US20130234252A1 - Integrated circuit and method for fabricating the same - Google Patents

Integrated circuit and method for fabricating the same Download PDF

Info

Publication number
US20130234252A1
US20130234252A1 US13/412,714 US201213412714A US2013234252A1 US 20130234252 A1 US20130234252 A1 US 20130234252A1 US 201213412714 A US201213412714 A US 201213412714A US 2013234252 A1 US2013234252 A1 US 2013234252A1
Authority
US
United States
Prior art keywords
gate
layer
substrate
material layer
poly
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/412,714
Inventor
Hsiang-Chen Lee
Ping-Chia Shih
Ke-Chi Chen
Chih-Ming Wang
Chi-Cheng Huang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Microelectronics Corp
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to US13/412,714 priority Critical patent/US20130234252A1/en
Assigned to UNITED MICROELECTRONICS CORPORATION reassignment UNITED MICROELECTRONICS CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SHIH, PING-CHIA, WANG, CHIH-MING, CHEN, KE-CHI, HUANG, CHI-CHENG, LEE, HSIANG-CHEN
Publication of US20130234252A1 publication Critical patent/US20130234252A1/en
Priority to US14/255,948 priority patent/US8921185B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823835Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants

Definitions

  • the present invention relates to an integrated circuit and method for fabricating the same, more particularly to an integrated circuit integrating the high-k/metal gate semiconductor device with the poly-silicon semiconductor device and method for fabricating the same.
  • a leakage current occurs when the gate insulation layer of silicon oxide becomes thinner.
  • a high dielectric constant (high-k) material is used to replace silicon oxide for forming the gate insulation layer.
  • the gate of polysilicon may react with the high-k material to generate a Fermi-level pinning, so that the threshold voltage is increased and the performance of the device is affected. Therefore, a metal layer is used as a gate, so as to avoid an increase in the threshold voltage and reduce the resistance of the device.
  • the gate insulating layer should has specific thickness to avoid from being breakdown by the high operation voltage.
  • the invention is directed to an integrate circuit and method for fabricating the same for integrating the high-k/metal gate semiconductor device with the poly-silicon semiconductor device.
  • the invention provides an integrated circuit including a substrate, a first semiconductor device, a second semiconductor device and an interlayer dielectric layer. Moreover, at least one isolation structure has been formed in the he substrate so as to separate the substrate into a first active region and a second active region.
  • the first semiconductor device disposed on the first active region of the substrate includes a first gate insulating layer and a poly-silicon gate.
  • the first gate insulating layer is disposed on the substrate the first gate insulating layer.
  • the poly-silicon gate having a first thickness is disposed on the first gate insulating layer.
  • the second semiconductor device disposed on the second active region of the substrate includes a second gate insulating layer and a metal gate.
  • the second gate insulating layer is disposed on the substrate, and the second dielectric constant is less than the first dielectric constant.
  • the metal gate having a second thickness is disposed on the second gate insulating layer, and the second thickness is less than the first thickness.
  • the interlayer dielectric layer is disposed on the substrate and covering the first semiconductor device.
  • the first gate insulating layer has a first dielectric constant and the second gate insulating layer has a second dielectric constant greater than the first dielectric constant.
  • the first semiconductor device further includes a first spacer disposed on sidewalls of the poly-silicon gate
  • the second semiconductor device further includes a second spacer disposed on sidewalls of the metal gate.
  • the first semiconductor device further includes a plurality of first source/drain regions disposed in the substrate beside the first spacer
  • the second semiconductor device further includes a plurality of second source/drain disposed in the substrate beside the second spacer.
  • the integrated circuit further includes a plurality of source/drain metal salicides disposed in the substrate and located on the first source/drain regions and the second source/drain regions.
  • the first semiconductor device further includes a metal salicide pattern disposed on the poly-silicon gate.
  • the first gate insulating layer includes at least one of oxide layer and nitride layer.
  • the invention further provides a method for fabricating the integrated circuit including the following steps. First, a substrate with at least one isolation structure formed therein so as to separate the substrate into a first active region and a second active region is provided. Further, a first stacked structure has been formed on the first active region and a second stacked structure has been formed on the second active region. Next, an interlayer dielectric layer is formed and covers the first stacked structure and the second stacked structure. Afterward, the interlayer dielectric layer is planarized to expose the top surface of the first stacked structure. Accordingly, the second stacked structure is still covered by the interlayer dielectric layer after planarizing.
  • the second stacked structure includes a second gate insulating layer and a dummy gate sequentially formed on the substrate, and after planarizing the interlayer dielectric layer, the dummy gate is removed so as to form an opening and then a metal gate is formed in the opening.
  • the method for forming the first stacked structure and the second stacked structure includes the following steps. First, a second dielectric material layer is formed on the substrate. Next, a first poly-silicon layer is formed on the second dielectric material layer. Later, a portion of the second dielectric material layer and a portion of the first poly-silicon layer are removed to expose the first active region. Afterward, a first dielectric material layer is formed on the first active region. Then, a second poly-silicon layer is conformally formed on the substrate with a first thickness. Moreover, the second poly-silicon layer constructs a gate material layer with the first poly-silicon.
  • a first portion of the gate material layer is the portion of the second poly-silicon layer located on the first active region and a second portion of the gate material layer with a second thickness greater than the first thickness is constructed from the portion of the first poly-silicon layer remained on the substrate and the portion of the second poly-silicon layer located on the second active region.
  • the gate material layer, the first dielectric material layer and the second dielectric material layer are patterned to form the first stacked structure on the first active region and the second stacked structure on the second active region.
  • the first stacked structure includes a first gate insulating layer and a poly-silicon gate sequentially stacked on the substrate
  • the second stacked structure includes a second gate insulating layer and a dummy gate sequentially stacked on the substrate.
  • the first dielectric material layer has a first dielectric constant and the second dielectric material layer has a second dielectric constant greater than the first dielectric constant.
  • the method for fabricating the integrated circuit further includes the step of forming a mask layer on the gate material layer conformally before patterning the gate material layer, the first dielectric material layer and the second dielectric material layer. Furthermore, the mask layer is patterned with the gate material layer, the first dielectric material layer and the second dielectric material layer.
  • the method for fabricating integrated circuit before forming the interlayer dielectric layer, further includes the following steps. First, a portion of the mask layer located on the poly-silicon gate is removed to expose the poly-silicon gate. Then, the poly-silicon gate is doped.
  • a plurality of first source/drain regions are further formed in the substrate beside the dummy gate and a plurality of second source/drain regions are further formed in the substrate beside the poly-silicon gate while the poly-silicon gate is doped.
  • a plurality of source/drain metal salicides are further formed in the substrate and on the first source/drain regions and the second source/drain regions.
  • the method for forming the first stacked structure and the second stacked structure includes the following steps. First, a first dielectric material layer and a gate material layer are formed on the substrate sequentially. Moreover, the first dielectric material layer covers the first active region and the second active region, and the gate material has a first portion with a first thickness located above the first active region and the second portion with a second thickness located above the second active region greater than the first thickness. Then, the gate material layer and the first dielectric material layer are patterned to form the first stacked structure on the first active region and the second stacked structure on the second active region.
  • the first stacked structure includes a first gate insulating layer and a poly-silicon gate sequentially stacked on the substrate
  • the second stacked structure includes a patterning first dielectric material layer and a dummy gate sequentially stacked on the substrate.
  • the dummy gate is removed to form an opening exposing the patterning first dielectric material layer and then the patterning first dielectric material layer is also removed. Afterward, a second gate insulating layer and a metal gate are formed in the opening sequentially.
  • the first dielectric material layer has a first dielectric constant and the second gate insulating layer has a second dielectric constant greater than the first dielectric constant.
  • the method of forming the gate material layer includes the following steps. First, a poly-silicon layer with the second thickness is formed on the first dielectric material layer. Then, a portion of the poly-silicon layer located on the first active region is thinned to the first thickness.
  • a first spacer is further formed on the sidewalls of the first stacked structure and a second spacer is further formed on the sidewalls of the second stacked structure.
  • a plurality of first source/drain regions are further formed in the substrate beside the first spacer and a plurality of second source/drain regions are further formed in the substrate beside the second spacer.
  • a metal silicides pattern is further formed on the poly-silicon gate.
  • the integrated circuit of the invention integrated high-k/metal gate semiconductor device with poly-silicon semiconductor device which have different heights is fabricated with simple process, therefore the process cost and the consuming time can be decreased.
  • FIGS. 1A-1K illustrate cross-section views of an integrated circuit during the fabricating process thereof according to an embodiment of the present invention.
  • FIGS. 2A-2E illustrate cross-section views of an integrated circuit during the fabricating process thereof according to an embodiment of the present invention.
  • FIGS. 1A-1K illustrate cross-section views of an integrated circuit during the fabricating process thereof according to an embodiment of the present invention.
  • a substrate 102 such as a silicon substrate, a silicon-containing substrate, or a silicon-on-insulator (SOI) substrate, with a plurality of isolation structures 101 formed therein is provided.
  • a first active region 103 and a second active region of the substrate 102 are defined by the isolation structures 101 .
  • one of the isolation structures 101 is located between the first active region 103 and the second active region 105 .
  • the isolation structures 101 are, for example, shallow trench isolation (STI) structures or filed oxide isolation structures.
  • STI shallow trench isolation
  • a first stacked structure 104 has been formed on the first active region 103 of the substrate 102 and a second stacked structure 104 a has been formed on the second active region 105 .
  • the first stacked structure 104 includes a first gate insulating layer 110 a and a poly-silicon gate 112 a stacked on the substrate 102 sequentially.
  • the second stacked structure 104 a includes a second gate insulating layer 110 b and a dummy gate 112 b stacked on the substrate 102 sequentially.
  • the method of forming the first stacked structure 104 and the second stacked structure 104 a includes the following steps. Firstly, a second dielectric material layer 107 b and a first poly-silicon layer 109 a are sequentially formed on the substrate 102 .
  • the second dielectric material layer 107 b has a second dielectric constant, which may be greater than 4.
  • the materials of the second dielectric material layer 107 b may include hafnium dioxide (HfO 2 ), zirconium dioxide (ZrO 2 ), aluminum oxide (Al 2 O 3 ), aluminum nitride (AlN), titanium dioxide (TiO 2 ), lanthanum oxide (La 2 O 3 ), yttrium oxide (Y 2 O 3 ), gadolinium oxide (Gd 2 O 3 ), tantalum pentoxide (Ta2O 5 ) or a combination thereof, for example.
  • the method of forming the second dielectric material layer 107 b includes performing a chemical vapor deposition (CVD) process, for example.
  • the second dielectric material layer 107 b can be a single dielectric layer or a structure including multiple dielectric layers, but the invention is not limited hereto.
  • a portion of the first poly-silicon layer 109 a and a portion of the second dielectric material layer 107 b disposed on the first active region 103 are removed to expose the first active region 103 of the substrate 102 . Then, a first dielectric material layer 107 a is formed on the first active region 103 . Moreover, the first dielectric material layer 107 a has a first dielectric constant less than the second dielectric constant.
  • a conformal dielectric material layer (not shown) is formed on the substrate 102 at first, and then the portions of the dielectric material layer located out of the first active region 103 are removed so as to remain the first dielectric material layer 107 a on the first active region 103 . Furthermore, in other embodiment, the portions of the dielectric material layer located out of the first active region 103 may be removed with other layers in later processes.
  • a second poly-silicon layer 109 b having a first thickness h 1 is conformally formed on the substrate 102 to construct the gate material layer 106 with the first poly-silicon layer 109 a.
  • the portion of the second poly-silicon layer 109 b disposed above the first active region 103 is used as the first portion 106 a of the gate material layer 106 .
  • the remained portion of the first poly-silicon layer 109 a is stacked by a portion of the second poly-silicon layer 109 b disposed above the second active region 105 to construct the second portion 106 b of the gate material layer 106 with a second thickness h 2 greater than the first thickness h 1 .
  • the second thickness h 2 is about 500 angstroms and the difference between that and the first thickness h 1 is about 100 to 150 angstroms, but the invention is not limited hereto.
  • a mask layer 108 may be optionally and conformally formed on the gate material layer 106 in this embodiment.
  • the hard mask layer 108 includes a material having an etching selectivity high enough with respect to the gate material layer 106 , such as silicon nitride or silicon oxynitride (SiON).
  • the method of forming the hard mask layer 132 includes performing a chemical vapor deposition process or a physical vapor deposition process, for example.
  • the first dielectric material layer 107 a, the second dielectric material layer 107 b and the gate material layer 106 are patterned to form a first stacked structure 104 on the first active region 103 and form a second stacked structure 104 a on the second active region 105 .
  • the mask layer 108 may be patterned to respectively form a mask pattern 108 a and a mask pattern 108 b above the first active region 103 and the second active region 105 .
  • the first dielectric material layer 107 a, the second dielectric material layer 107 b and the gate material layer 106 are patterned by using the same photo mask (not shown).
  • the patterning process includes performing general lithography and etching processes, for example.
  • lightly doped regions 114 may be formed in the substrate 102 beside the first stacked structure 104 in the first active region 103 and the second stacked structure 104 a in the second active region 105 according to an embodiment.
  • the lightly doped regions 114 in the first active region 103 are N-type lightly doped regions.
  • the lightly doped regions 114 in the first active region 103 are P-type lightly doped regions.
  • a first spacer 116 a may be optionally formed on sidewalls of the first stacked structure 104 and a second spacer 116 b may be optionally formed on sidewalls of the second stacked structure 104 a.
  • the first spacer 116 a is formed on the sidewalls of the mask pattern 108 a, the poly-silicon gate 112 a and the first gate dielectric layer 110 a.
  • the second spacer 116 b is formed on the sidewalls of the mask pattern 108 b, the dummy gate 112 b and the second gate dielectric layer 110 b.
  • the first spacer 116 a and the second spacer 116 b include silicon oxide, silicon nitride or silicon oxynitride (SiON), for example.
  • the method of forming the first spacer 116 a and the second spacer 116 b includes forming a spacer material layer (not shown) on the substrate 102 by a CVD process, and then removing a portion of the spacer material layer by an anisotropic etching process.
  • Each of the first spacer 116 a and second spacer 116 b can be a single layer or a multi-layer structure, and only a single layer is shown in FIG. 1E .
  • the present invention does not limit to this embodiment.
  • the first spacer 116 a and the second spacer 116 b are not formed.
  • first source/drain regions 118 a are formed in the substrate 102 beside the first stacked structure 104
  • second source/drain regions 118 b are formed in the substrate 102 beside the second stacked structure 104 a.
  • the method of forming the first source/drain regions 118 a and the second source/drain regions 118 b includes performing an ion implantation process, for example.
  • the first active region 103 is for forming an NMOS transistor
  • the first source/drain regions 118 a are N-type heavily doped regions.
  • the first source/drain regions 118 a are P-type heavily doped regions.
  • the mask pattern 108 b formed on the poly-silicon gate 112 a is removed before forming the first source/drain regions 118 a and the second source/drain regions in this embodiment, so that the poly-silicon gate 112 a can be doped during the ion implantation process of the first source/drain regions 118 a and the second source/drain regions, but the invention is not limited hereto.
  • the method further includes forming a metal salicide pattern 120 a on the poly-silicon gate 112 a, and forming a plurality of source/drain metal salicides 120 b on the surface of the substrate 102 beside the dummy gate 112 b and the poly-silicon gate 112 a.
  • the source/drain metal salicides 120 b are formed on the surface of the first source/drain regions 118 a and the second source/drain regions 118 b which are previously formed.
  • the method of forming the metal salicide pattern 120 a and the source/drain metal salicides 120 b includes forming a metal layer (not shown) on the substrate 102 .
  • the metal salicide pattern 120 a and the source/drain metal salicides 120 b include TiSi, CoSi, NiSi, PtSi, WSi, TaSi, MoSi or a combination thereof.
  • the method further includes optionally forming a protection layer 130 on the substrate 102 , so as to cover the formed structures in the first active region 103 and the second active region 105 .
  • the protection layer 130 includes silicon nitride or silicon oxynitride (SiON), and the forming method thereof includes performing a CVD or PVD process, for example.
  • the protection layer 130 conformally covers the surface of the formed structures on the substrate 102 and selectively applies tension stress or compress stress on the NMOS transistor or PMONS transistor.
  • an ILD layer 140 is formed on the protection layer 130 .
  • the ILD layer 140 includes SiO, SiN, SiON or a combination thereof, and the forming method thereof includes performing a CVD process, for example.
  • a planarization process is performed, so as to remove a portion of the interlayer dielectric layer 140 and the protection layer 130 until the surface of the dummy gate 112 b is exposed. Since there is a height difference exist between the poly-silicon gate 112 a and the dummy gate 112 b, after the step of performing the planarization process in FIG. 1I , the surface of the dummy gate 112 b is exposed while the poly-silicon gate 112 a (and the metal salicide layer 120 a ) is not exposed and still covered by the protection layer 130 and the interlayer dielectric layer 140 .
  • the planarization process is a chemical mechanical polishing (CMP) process, for example.
  • the exposed dummy gate 112 b is removed to form an opening 142 , as shown in FIG. 1J .
  • the method of removing the dummy gate 112 b includes performing an etching process, for example.
  • the poly-silicon gate 112 a is unexposed and covered by the protection layer 130 and the interlayer dielectric layer 140 , so that removal or peeling of the poly-silicon gate 112 a is not observed.
  • a metal gate 150 is formed in the opening 142 , therefore an integrated circuit 100 is substantially completed.
  • the metal gate 150 includes work function metal and/or low-resistance metal and the material thereof is, for example, Ti, TiAl x , Ti rich TiN, Al or a combination thereof, for example.
  • the method of forming the metal gate 150 includes forming a metal material layer (not shown) to cover the interlayer dielectric layer 140 and fill up the opening 142 . Thereafter, a CMP process or an etching back process is performed, so as to remove a portion of the metal material layer outside the opening 142 .
  • a first semiconductor device 160 a and a second semiconductor device 160 b are formed on the substrate 102 .
  • the first semiconductor device 160 a is a transistor or memory device having the poly-silicon gate 112 a
  • the second semiconductor device 160 b is a MOS transistor having the metal gate 150 .
  • a plurality of interconnect layers may be formed on the structure of FIG. 1K to cover the metal gate 150 and the interlayer dielectric layer 140 .
  • the plurality of interconnect layers are usually comprised of a plurality of interlayer dielectric layers and a plurality of interconnect structures in the interlayer dielectric layers.
  • the integrated circuit 100 formed by the above-mentioned method includes a substrate 102 , a first semiconductor device 160 a, a second semiconductor device 160 b and an interlayer dielectric layer 140 .
  • a plurality of isolation structures 101 have been formed in the substrate 102 of the integrated circuit 100 so as to separate the substrate 102 to a first active region 103 and a second active region 105 .
  • the first semiconductor device 160 a is disposed on the first active region 103 of the substrate 102 and includes a first gate dielectric layer 110 a and a poly-silicon gate 112 a.
  • the first semiconductor device 160 a further includes a first spacer 116 a.
  • the first gate dielectric layer 110 a is disposed on the substrate 100 and has a first dielectric constant.
  • the poly-silicon gate 112 a is disposed on the first gate dielectric layer 110 a and has a first thickness hl.
  • the first spacer 116 a is disposed on the sidewall of the poly-silicon gate 112 a.
  • the first semiconductor device 160 a further includes the light doped drain regions 114 , the first source/drain regions 118 a and the source/drain silicides 120 b . Moreover, the first semiconductor device 160 a also can include a metal salicide pattern 120 a.
  • the light doped drain regions 114 are disposed in the substrate 102 beside the metal gate 150 .
  • the first source/drain regions 118 a are disposed in the substrate 102 beside the first spacers 116 a.
  • the lightly doped regions 114 and the first source/drain 118 a can be N-type or P-type doped regions depending on the conductivity type of the first semiconductor device 160 a.
  • the source/drain metal salicides 120 b are disposed on the surface of the first source/drain regions 118 a, and the metal salicide pattern 120 a is disposed on the poly-silicon gate 112 a.
  • the second semiconductor device 160 b is disposed on the second active region 105 of the substrate 102 .
  • the second semiconductor device 160 b includes a second gate dielectric layer 110 b and a metal gate 150 , and preferably the second semiconductor device 160 b further includes a second spacer 116 b.
  • the second gate dielectric layer 110 b is disposed on the substrate 102 .
  • the metal gate 150 is disposed on the second gate dielectric layer 110 b with a second thickness h 2 greater than the first thickness h 1 of the poly-silicon gate 112 a.
  • the thickness difference between the metal gate 150 of the second semiconductor device 160 b and the poly-silicon gate 112 a of the first semiconductor device 160 a is about 100 to 150 angstroms.
  • the difference between the top surface of the metal gate 150 and that of the poly-silicon gate 112 a is about 100 to 150 angstroms.
  • the second spacer 116 b is disposed on the sidewall of the metal gate 150 .
  • the second semiconductor device 160 b further includes the light doped drain regions 114 and the second source/drain regions 118 b.
  • the light doped drain regions 114 are disposed in the substrate 102 beside the metal gate 150 .
  • the second source/drain regions 118 b are disposed in the substrate 102 beside the second spacers 116 b.
  • the lightly doped regions 114 and the second source/drain 118 b can be N-type or P-type doped regions depending on the conductivity type of the second semiconductor device 160 b.
  • the source/drain metal salicides 120 b are disposed on the surface of the second source/drain regions 118 b.
  • the interlayer dielectric layer 140 covers the first semiconductor device 160 a but exposes the metal gate 150 of the second semiconductor device 160 b.
  • the integrated circuit 100 further includes the protection layer 130 covers the first semiconductor device 160 a and is disposed between the interlayer dielectric layer 140 and the first semiconductor device 160 a. Specifically, the protection layer 130 covers the second spacer 116 b of the second semiconductor device 160 b but exposes the metal gate 150 of the second semiconductor device 160 b.
  • a plurality of interconnect layers may be disposed on the structure of FIG. 1K to cover the metal gate 150 and the interlayer dielectric layer 140 .
  • the plurality of interconnect layers are usually comprised of a plurality of interlayer dielectric layers and a plurality of interconnect structures in the interlayer dielectric layers.
  • the second semiconductor device 160 b is a high-k/metal gate transistor. Further, the second gate dielectric layer 110 b with high dielectric constant is formed on the substrate 102 before removing dummy gate 112 b, but the invention is not limited hereto. In other embodiment, the second gate dielectric layer 110 b and the metal gate 150 of the second semiconductor device 160 b can be formed after removing the dummy gate 112 b. The details would be described in the following embodiment.
  • FIGS. 2A-2E illustrate cross-section views of an integrated circuit during the fabricating process thereof according to an embodiment of the present invention.
  • the method of forming the gate material layer includes, for example, forming a first dielectric material layer 107 a and a poly-silicon layer 206 sequentially on the substrate 102 to cover the first active region 103 and the second active region 105 .
  • the poly-silicon layer 206 has the second thickness h 2 .
  • the first dielectric material layer 107 a may be, for example, at least one of oxide layer and nitride layer.
  • a portion of the poly-silicon layer 206 is removed for thinning the portion of the poly-silicon layer 206 located above the first active region 103 to the first thickness h 1 . Therefore, the gate material layer 106 having a first portion 106 a and the second portion 106 b is formed. Then, a mask layer 108 is optional formed on the gate material layer 106 .
  • the processes described in the FIG. 1E to FIG. 1I are performed to form the structure shown in FIG. 2C .
  • the dummy gate 112 b is removed by using the first dielectric material layer 107 a as an etching stop layer.
  • the first dielectric material layer 107 a is removed after removing the dummy gate 112 b to form an opening 242 exposing a portion of the substrate 102 .
  • a high-k dielectric layer is formed in the opening 242 to as a second gate dielectric layer 210 b.
  • the second gate dielectric layer 210 b covers the bottom and the sidewalls of the opening 242 .
  • the metal gate 250 is formed in the opening 242 . Therefore, the integrated circuit 200 is substantially completed.
  • a plurality of interconnect layers may be formed on the structure of FIG. 2E to cover the metal gate 150 and the interlayer dielectric layer 140 .
  • the plurality of interconnect layers are usually comprised of a plurality of interlayer dielectric layers and a plurality of interconnect structures in the interlayer dielectric layers.
  • the integrated circuit 200 is similar to or the same with the integrated circuit 100 except for the second gate dielectric layer 210 b .
  • the second gate dielectric layer 210 b of the integrated circuit 200 covers the bottom and sidewalls of the opening 242 .
  • the second gate dielectric layer 110 b of the integrated circuit 100 is disposed on the bottom of the opening 142 .
  • the process of high-k/metal gate semiconductor device is integrated with the process of poly-silicon semiconductor device in the embodiments of the invention, therefore an integrated circuit having at least two different semiconductor devices can be fabricated to increase the flexible of use of the integrated circuit.
  • the method of the invention can simplify the process of forming two gates with different heights, so that the process cost and the consuming time can be decreased.

Abstract

An integrated circuit includes a substrate, a first semiconductor device, a second semiconductor device and an interlayer dielectric layer. At least one isolation structure has been formed in the he substrate so as to separate the substrate into a first active region and a second active region. The first semiconductor device disposed on the first active region of the substrate includes a first gate insulating layer and a poly-silicon gate stacked on the substrate sequentially. The second semiconductor device disposed on the second active region of the substrate includes a second gate insulating layer and a metal gate stacked on the substrate sequentially. The material of the second gate insulating layer is different from that of the first gate insulating layer. The thickness of the metal gate is greater than that of the poly-silicon gate. The interlayer dielectric layer is disposed on the substrate and covering the first semiconductor device.

Description

    BACKGROUND
  • 1. Technical Field
  • The present invention relates to an integrated circuit and method for fabricating the same, more particularly to an integrated circuit integrating the high-k/metal gate semiconductor device with the poly-silicon semiconductor device and method for fabricating the same.
  • 2. Description of the Related Art
  • As the dimension of a semiconductor device is getting less, the dimension of the gate structure and the thickness of the gate insulation layer are reduced accordingly. However, a leakage current occurs when the gate insulation layer of silicon oxide becomes thinner. To reduce the leakage current, a high dielectric constant (high-k) material is used to replace silicon oxide for forming the gate insulation layer. The gate of polysilicon may react with the high-k material to generate a Fermi-level pinning, so that the threshold voltage is increased and the performance of the device is affected. Therefore, a metal layer is used as a gate, so as to avoid an increase in the threshold voltage and reduce the resistance of the device.
  • However, for the high voltage device, electrostatic discharge (ESD) device, flash device and non-volatile memory (NVM) device, the gate insulating layer should has specific thickness to avoid from being breakdown by the high operation voltage.
  • BRIEF SUMMARY
  • The invention is directed to an integrate circuit and method for fabricating the same for integrating the high-k/metal gate semiconductor device with the poly-silicon semiconductor device.
  • The invention provides an integrated circuit including a substrate, a first semiconductor device, a second semiconductor device and an interlayer dielectric layer. Moreover, at least one isolation structure has been formed in the he substrate so as to separate the substrate into a first active region and a second active region. The first semiconductor device disposed on the first active region of the substrate includes a first gate insulating layer and a poly-silicon gate. The first gate insulating layer is disposed on the substrate the first gate insulating layer. The poly-silicon gate having a first thickness is disposed on the first gate insulating layer. The second semiconductor device disposed on the second active region of the substrate includes a second gate insulating layer and a metal gate. The second gate insulating layer is disposed on the substrate, and the second dielectric constant is less than the first dielectric constant. The metal gate having a second thickness is disposed on the second gate insulating layer, and the second thickness is less than the first thickness. The interlayer dielectric layer is disposed on the substrate and covering the first semiconductor device.
  • In some embodiments of the present invention, the first gate insulating layer has a first dielectric constant and the second gate insulating layer has a second dielectric constant greater than the first dielectric constant.
  • In some embodiments of the present invention, the first semiconductor device further includes a first spacer disposed on sidewalls of the poly-silicon gate, and the second semiconductor device further includes a second spacer disposed on sidewalls of the metal gate.
  • In some embodiments of the present invention, the first semiconductor device further includes a plurality of first source/drain regions disposed in the substrate beside the first spacer, and the second semiconductor device further includes a plurality of second source/drain disposed in the substrate beside the second spacer.
  • In some embodiments of the present invention, the integrated circuit further includes a plurality of source/drain metal salicides disposed in the substrate and located on the first source/drain regions and the second source/drain regions.
  • In some embodiments of the present invention, the first semiconductor device further includes a metal salicide pattern disposed on the poly-silicon gate.
  • In some embodiments of the present invention, the first gate insulating layer includes at least one of oxide layer and nitride layer.
  • The invention further provides a method for fabricating the integrated circuit including the following steps. First, a substrate with at least one isolation structure formed therein so as to separate the substrate into a first active region and a second active region is provided. Further, a first stacked structure has been formed on the first active region and a second stacked structure has been formed on the second active region. Next, an interlayer dielectric layer is formed and covers the first stacked structure and the second stacked structure. Afterward, the interlayer dielectric layer is planarized to expose the top surface of the first stacked structure. Accordingly, the second stacked structure is still covered by the interlayer dielectric layer after planarizing.
  • In some embodiments of the present invention, the second stacked structure includes a second gate insulating layer and a dummy gate sequentially formed on the substrate, and after planarizing the interlayer dielectric layer, the dummy gate is removed so as to form an opening and then a metal gate is formed in the opening.
  • In some embodiments of the present invention, the method for forming the first stacked structure and the second stacked structure includes the following steps. First, a second dielectric material layer is formed on the substrate. Next, a first poly-silicon layer is formed on the second dielectric material layer. Later, a portion of the second dielectric material layer and a portion of the first poly-silicon layer are removed to expose the first active region. Afterward, a first dielectric material layer is formed on the first active region. Then, a second poly-silicon layer is conformally formed on the substrate with a first thickness. Moreover, the second poly-silicon layer constructs a gate material layer with the first poly-silicon. Further, a first portion of the gate material layer is the portion of the second poly-silicon layer located on the first active region and a second portion of the gate material layer with a second thickness greater than the first thickness is constructed from the portion of the first poly-silicon layer remained on the substrate and the portion of the second poly-silicon layer located on the second active region. After that, the gate material layer, the first dielectric material layer and the second dielectric material layer are patterned to form the first stacked structure on the first active region and the second stacked structure on the second active region. Accordingly, the first stacked structure includes a first gate insulating layer and a poly-silicon gate sequentially stacked on the substrate, and the second stacked structure includes a second gate insulating layer and a dummy gate sequentially stacked on the substrate.
  • In some embodiments of the present invention, the first dielectric material layer has a first dielectric constant and the second dielectric material layer has a second dielectric constant greater than the first dielectric constant.
  • In some embodiments of the present invention, the method for fabricating the integrated circuit further includes the step of forming a mask layer on the gate material layer conformally before patterning the gate material layer, the first dielectric material layer and the second dielectric material layer. Furthermore, the mask layer is patterned with the gate material layer, the first dielectric material layer and the second dielectric material layer.
  • In some embodiments of the present invention, before forming the interlayer dielectric layer, the method for fabricating integrated circuit further includes the following steps. First, a portion of the mask layer located on the poly-silicon gate is removed to expose the poly-silicon gate. Then, the poly-silicon gate is doped.
  • In some embodiments of the present invention, a plurality of first source/drain regions are further formed in the substrate beside the dummy gate and a plurality of second source/drain regions are further formed in the substrate beside the poly-silicon gate while the poly-silicon gate is doped.
  • In some embodiments of the present invention, a plurality of source/drain metal salicides are further formed in the substrate and on the first source/drain regions and the second source/drain regions.
  • In some embodiments of the present invention, the method for forming the first stacked structure and the second stacked structure includes the following steps. First, a first dielectric material layer and a gate material layer are formed on the substrate sequentially. Moreover, the first dielectric material layer covers the first active region and the second active region, and the gate material has a first portion with a first thickness located above the first active region and the second portion with a second thickness located above the second active region greater than the first thickness. Then, the gate material layer and the first dielectric material layer are patterned to form the first stacked structure on the first active region and the second stacked structure on the second active region. Moreover, the first stacked structure includes a first gate insulating layer and a poly-silicon gate sequentially stacked on the substrate, and the second stacked structure includes a patterning first dielectric material layer and a dummy gate sequentially stacked on the substrate.
  • In some embodiments of the present invention, after planarizing the interlayer dielectric layer, the dummy gate is removed to form an opening exposing the patterning first dielectric material layer and then the patterning first dielectric material layer is also removed. Afterward, a second gate insulating layer and a metal gate are formed in the opening sequentially.
  • In some embodiments of the present invention, the first dielectric material layer has a first dielectric constant and the second gate insulating layer has a second dielectric constant greater than the first dielectric constant.
  • In some embodiments of the present invention, the method of forming the gate material layer includes the following steps. First, a poly-silicon layer with the second thickness is formed on the first dielectric material layer. Then, a portion of the poly-silicon layer located on the first active region is thinned to the first thickness.
  • In some embodiments of the present invention, before forming the interlayer dielectric layer, a first spacer is further formed on the sidewalls of the first stacked structure and a second spacer is further formed on the sidewalls of the second stacked structure.
  • In some embodiments of the present invention, before forming the interlayer dielectric layer, a plurality of first source/drain regions are further formed in the substrate beside the first spacer and a plurality of second source/drain regions are further formed in the substrate beside the second spacer.
  • In some embodiments of the present invention, a metal silicides pattern is further formed on the poly-silicon gate.
  • The integrated circuit of the invention integrated high-k/metal gate semiconductor device with poly-silicon semiconductor device which have different heights is fabricated with simple process, therefore the process cost and the consuming time can be decreased.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The above objects and advantages of the present invention will become more readily apparent to those ordinarily skilled in the art after reviewing the following detailed description and accompanying drawings, in which:
  • FIGS. 1A-1K illustrate cross-section views of an integrated circuit during the fabricating process thereof according to an embodiment of the present invention; and
  • FIGS. 2A-2E illustrate cross-section views of an integrated circuit during the fabricating process thereof according to an embodiment of the present invention.
  • DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS
  • Hereinafter, preferred embodiments of the present invention will be described in detail with reference to the accompanying drawings. Here, it is to be noted that the present invention is not limited thereto. Furthermore, the step serial numbers concerning the saturation adjustment method are not meant thereto limit the operating sequence, and any rearrangement of the operating sequence for achieving same functionality is still within the spirit and scope of the invention. The like numbered numerals designate similar or the same parts, regions or elements. It is to be understood that the drawings are not drawn to scale and are served only for illustration purposes.
  • FIGS. 1A-1K illustrate cross-section views of an integrated circuit during the fabricating process thereof according to an embodiment of the present invention. Referring to FIGS. 1A-1E, a substrate 102, such as a silicon substrate, a silicon-containing substrate, or a silicon-on-insulator (SOI) substrate, with a plurality of isolation structures 101 formed therein is provided. Moreover, a first active region 103 and a second active region of the substrate 102 are defined by the isolation structures 101. Accordingly, one of the isolation structures 101 is located between the first active region 103 and the second active region 105. In this embodiment, the isolation structures 101 are, for example, shallow trench isolation (STI) structures or filed oxide isolation structures.
  • As shown in FIG. 1E, a first stacked structure 104 has been formed on the first active region 103 of the substrate 102 and a second stacked structure 104 a has been formed on the second active region 105. The first stacked structure 104 includes a first gate insulating layer 110 a and a poly-silicon gate 112 a stacked on the substrate 102 sequentially. The second stacked structure 104 a includes a second gate insulating layer 110 b and a dummy gate 112 b stacked on the substrate 102 sequentially.
  • In detail, as shown in FIG. 1B, the method of forming the first stacked structure 104 and the second stacked structure 104 a includes the following steps. Firstly, a second dielectric material layer 107 b and a first poly-silicon layer 109 a are sequentially formed on the substrate 102. In this embodiment, the second dielectric material layer 107 b has a second dielectric constant, which may be greater than 4. The materials of the second dielectric material layer 107 b may include hafnium dioxide (HfO2), zirconium dioxide (ZrO2), aluminum oxide (Al2O3), aluminum nitride (AlN), titanium dioxide (TiO2), lanthanum oxide (La2O3), yttrium oxide (Y2O3), gadolinium oxide (Gd2O3), tantalum pentoxide (Ta2O5) or a combination thereof, for example. The method of forming the second dielectric material layer 107 b includes performing a chemical vapor deposition (CVD) process, for example. Further, according to an embodiment of the present invention, the second dielectric material layer 107 b can be a single dielectric layer or a structure including multiple dielectric layers, but the invention is not limited hereto.
  • Referring to FIG. 1C, a portion of the first poly-silicon layer 109 a and a portion of the second dielectric material layer 107 b disposed on the first active region 103 are removed to expose the first active region 103 of the substrate 102. Then, a first dielectric material layer 107 a is formed on the first active region 103. Moreover, the first dielectric material layer 107 a has a first dielectric constant less than the second dielectric constant.
  • In this embodiment, a conformal dielectric material layer (not shown) is formed on the substrate 102 at first, and then the portions of the dielectric material layer located out of the first active region 103 are removed so as to remain the first dielectric material layer 107 a on the first active region 103. Furthermore, in other embodiment, the portions of the dielectric material layer located out of the first active region 103 may be removed with other layers in later processes.
  • Referring to FIG. 1D, a second poly-silicon layer 109 b having a first thickness h1 is conformally formed on the substrate 102 to construct the gate material layer 106 with the first poly-silicon layer 109 a. In detail, the portion of the second poly-silicon layer 109 b disposed above the first active region 103 is used as the first portion 106 a of the gate material layer 106. The remained portion of the first poly-silicon layer 109 a is stacked by a portion of the second poly-silicon layer 109 b disposed above the second active region 105 to construct the second portion 106 b of the gate material layer 106 with a second thickness h2 greater than the first thickness h1. In this embodiment, the second thickness h2 is about 500 angstroms and the difference between that and the first thickness h1 is about 100 to 150 angstroms, but the invention is not limited hereto.
  • Further, a mask layer 108 may be optionally and conformally formed on the gate material layer 106 in this embodiment. The hard mask layer 108 includes a material having an etching selectivity high enough with respect to the gate material layer 106, such as silicon nitride or silicon oxynitride (SiON). The method of forming the hard mask layer 132 includes performing a chemical vapor deposition process or a physical vapor deposition process, for example.
  • Referring to FIG. 1E, the first dielectric material layer 107 a, the second dielectric material layer 107 b and the gate material layer 106 are patterned to form a first stacked structure 104 on the first active region 103 and form a second stacked structure 104 a on the second active region 105. Moreover, before patterning the first dielectric material layer 107 a, the second dielectric material layer 107 b and the gate material layer 106, the mask layer 108 may be patterned to respectively form a mask pattern 108 a and a mask pattern 108 b above the first active region 103 and the second active region 105. After that, the first dielectric material layer 107 a, the second dielectric material layer 107 b and the gate material layer 106 are patterned by using the same photo mask (not shown). In this embodiment, the patterning process includes performing general lithography and etching processes, for example.
  • After the patterning process is completed, lightly doped regions 114 may be formed in the substrate 102 beside the first stacked structure 104 in the first active region 103 and the second stacked structure 104 a in the second active region 105 according to an embodiment. When the first active region 103 is for forming an NMOS transistor, the lightly doped regions 114 in the first active region 103 are N-type lightly doped regions. When the first active region 103 is for forming a PMOS transistor, the lightly doped regions 114 in the first active region 103 are P-type lightly doped regions.
  • According to another embodiment, after forming the lightly doped regions 114, a first spacer 116 a may be optionally formed on sidewalls of the first stacked structure 104 and a second spacer 116 b may be optionally formed on sidewalls of the second stacked structure 104 a.
  • In details, the first spacer 116 a is formed on the sidewalls of the mask pattern 108 a, the poly-silicon gate 112 a and the first gate dielectric layer 110 a. The second spacer 116 b is formed on the sidewalls of the mask pattern 108 b, the dummy gate 112 b and the second gate dielectric layer 110 b. The first spacer 116 a and the second spacer 116 b include silicon oxide, silicon nitride or silicon oxynitride (SiON), for example. The method of forming the first spacer 116 a and the second spacer 116 b includes forming a spacer material layer (not shown) on the substrate 102 by a CVD process, and then removing a portion of the spacer material layer by an anisotropic etching process. Each of the first spacer 116 a and second spacer 116 b can be a single layer or a multi-layer structure, and only a single layer is shown in FIG. 1E. The present invention does not limit to this embodiment. According to another embodiment, the first spacer 116 a and the second spacer 116 b are not formed.
  • Thereafter, as shown in FIG. 1F, first source/drain regions 118 a are formed in the substrate 102 beside the first stacked structure 104, and second source/drain regions 118 b are formed in the substrate 102 beside the second stacked structure 104 a. In an embodiment, the method of forming the first source/drain regions 118 a and the second source/drain regions 118 b includes performing an ion implantation process, for example. When the first active region 103 is for forming an NMOS transistor, the first source/drain regions 118 a are N-type heavily doped regions. When the first active region 103 is for forming a PMOS transistor, the first source/drain regions 118 a are P-type heavily doped regions. Moreover, the mask pattern 108 b formed on the poly-silicon gate 112 a is removed before forming the first source/drain regions 118 a and the second source/drain regions in this embodiment, so that the poly-silicon gate 112 a can be doped during the ion implantation process of the first source/drain regions 118 a and the second source/drain regions, but the invention is not limited hereto.
  • Referring to FIG. 1G, according to a preferred embodiment of the present invention, the method further includes forming a metal salicide pattern 120 a on the poly-silicon gate 112 a, and forming a plurality of source/drain metal salicides 120 b on the surface of the substrate 102 beside the dummy gate 112 b and the poly-silicon gate 112 a. The source/drain metal salicides 120 b are formed on the surface of the first source/drain regions 118 a and the second source/drain regions 118 b which are previously formed. The method of forming the metal salicide pattern 120 a and the source/drain metal salicides 120 b includes forming a metal layer (not shown) on the substrate 102. Thereafter, an annealing process is performed, so that metal salicidation occurs between the metal layer and the poly-silicon gate 112 a and between the metal layer and the first source/drain regions 118 a and the second source/drain regions 118 b, and thus, the metal salicide pattern 120 a is formed on the surface of the poly-silicon gate 112 a, and the source/drain metal salicides 120 b are formed on the surface of the first source/drain regions 118 a and the second source/drain regions 118 b. Afterwards, the unreacted metal layer is removed. The metal salicide pattern 120 a and the source/drain metal salicides 120 b include TiSi, CoSi, NiSi, PtSi, WSi, TaSi, MoSi or a combination thereof.
  • Referring to FIG. 1H, according to a preferred embodiment of the present invention, the method further includes optionally forming a protection layer 130 on the substrate 102, so as to cover the formed structures in the first active region 103 and the second active region 105. The protection layer 130 includes silicon nitride or silicon oxynitride (SiON), and the forming method thereof includes performing a CVD or PVD process, for example. The protection layer 130 conformally covers the surface of the formed structures on the substrate 102 and selectively applies tension stress or compress stress on the NMOS transistor or PMONS transistor. Thereafter, an ILD layer 140 is formed on the protection layer 130. The ILD layer 140 includes SiO, SiN, SiON or a combination thereof, and the forming method thereof includes performing a CVD process, for example.
  • Referring to FIG. 1I, a planarization process is performed, so as to remove a portion of the interlayer dielectric layer 140 and the protection layer 130 until the surface of the dummy gate 112 b is exposed. Since there is a height difference exist between the poly-silicon gate 112 a and the dummy gate 112 b, after the step of performing the planarization process in FIG. 1I, the surface of the dummy gate 112 b is exposed while the poly-silicon gate 112 a (and the metal salicide layer 120 a) is not exposed and still covered by the protection layer 130 and the interlayer dielectric layer 140. In this embodiment, the planarization process is a chemical mechanical polishing (CMP) process, for example.
  • Thereafter, the exposed dummy gate 112 b is removed to form an opening 142, as shown in FIG. 1J. The method of removing the dummy gate 112 b includes performing an etching process, for example. The poly-silicon gate 112 a is unexposed and covered by the protection layer 130 and the interlayer dielectric layer 140, so that removal or peeling of the poly-silicon gate 112 a is not observed.
  • Referring to FIG. 1K, a metal gate 150 is formed in the opening 142, therefore an integrated circuit 100 is substantially completed. The metal gate 150 includes work function metal and/or low-resistance metal and the material thereof is, for example, Ti, TiAlx, Ti rich TiN, Al or a combination thereof, for example. The method of forming the metal gate 150 includes forming a metal material layer (not shown) to cover the interlayer dielectric layer 140 and fill up the opening 142. Thereafter, a CMP process or an etching back process is performed, so as to remove a portion of the metal material layer outside the opening 142. Thus, a first semiconductor device 160 a and a second semiconductor device 160 b are formed on the substrate 102. Specifically, the first semiconductor device 160 a is a transistor or memory device having the poly-silicon gate 112 a, and the second semiconductor device 160 b is a MOS transistor having the metal gate 150.
  • After that, a plurality of interconnect layers may be formed on the structure of FIG. 1K to cover the metal gate 150 and the interlayer dielectric layer 140. The plurality of interconnect layers are usually comprised of a plurality of interlayer dielectric layers and a plurality of interconnect structures in the interlayer dielectric layers.
  • As shown in FIG. 1K, the integrated circuit 100 formed by the above-mentioned method includes a substrate 102, a first semiconductor device 160 a, a second semiconductor device 160 b and an interlayer dielectric layer 140. According to a preferred embodiment, a plurality of isolation structures 101 have been formed in the substrate 102 of the integrated circuit 100 so as to separate the substrate 102 to a first active region 103 and a second active region 105.
  • The first semiconductor device 160 a is disposed on the first active region 103 of the substrate 102 and includes a first gate dielectric layer 110 a and a poly-silicon gate 112 a. Preferably, the first semiconductor device 160 a further includes a first spacer 116 a. In details, the first gate dielectric layer 110 a is disposed on the substrate 100 and has a first dielectric constant. The poly-silicon gate 112 a is disposed on the first gate dielectric layer 110 a and has a first thickness hl. The first spacer 116 a is disposed on the sidewall of the poly-silicon gate 112 a.
  • The first semiconductor device 160 a further includes the light doped drain regions 114, the first source/drain regions 118 a and the source/drain silicides 120 b. Moreover, the first semiconductor device 160 a also can include a metal salicide pattern 120 a. The light doped drain regions 114 are disposed in the substrate 102 beside the metal gate 150. The first source/drain regions 118 a are disposed in the substrate 102 beside the first spacers 116 a. The lightly doped regions 114 and the first source/drain 118 a can be N-type or P-type doped regions depending on the conductivity type of the first semiconductor device 160 a. The source/drain metal salicides 120 b are disposed on the surface of the first source/drain regions 118 a, and the metal salicide pattern 120 a is disposed on the poly-silicon gate 112 a.
  • The second semiconductor device 160 b is disposed on the second active region 105 of the substrate 102. The second semiconductor device 160 b includes a second gate dielectric layer 110 b and a metal gate 150, and preferably the second semiconductor device 160 b further includes a second spacer 116 b. In details, the second gate dielectric layer 110 b is disposed on the substrate 102. The metal gate 150 is disposed on the second gate dielectric layer 110 b with a second thickness h2 greater than the first thickness h1 of the poly-silicon gate 112 a. According to a preferred embodiment of the present invention, the thickness difference between the metal gate 150 of the second semiconductor device 160 b and the poly-silicon gate 112 a of the first semiconductor device 160 a is about 100 to 150 angstroms. In other words, the difference between the top surface of the metal gate 150 and that of the poly-silicon gate 112 a is about 100 to 150 angstroms. The second spacer 116 b is disposed on the sidewall of the metal gate 150.
  • The second semiconductor device 160 b further includes the light doped drain regions 114 and the second source/drain regions 118 b. The light doped drain regions 114 are disposed in the substrate 102 beside the metal gate 150. The second source/drain regions 118 b are disposed in the substrate 102 beside the second spacers 116 b. The lightly doped regions 114 and the second source/drain 118 b can be N-type or P-type doped regions depending on the conductivity type of the second semiconductor device 160 b. The source/drain metal salicides 120 b are disposed on the surface of the second source/drain regions 118 b.
  • The interlayer dielectric layer 140 covers the first semiconductor device 160 a but exposes the metal gate 150 of the second semiconductor device 160 b. Further, the integrated circuit 100 further includes the protection layer 130 covers the first semiconductor device 160 a and is disposed between the interlayer dielectric layer 140 and the first semiconductor device 160 a. Specifically, the protection layer 130 covers the second spacer 116 b of the second semiconductor device 160 b but exposes the metal gate 150 of the second semiconductor device 160 b.
  • According to an embodiment, a plurality of interconnect layers may be disposed on the structure of FIG. 1K to cover the metal gate 150 and the interlayer dielectric layer 140. The plurality of interconnect layers are usually comprised of a plurality of interlayer dielectric layers and a plurality of interconnect structures in the interlayer dielectric layers.
  • Accordingly, the second semiconductor device 160 b is a high-k/metal gate transistor. Further, the second gate dielectric layer 110 b with high dielectric constant is formed on the substrate 102 before removing dummy gate 112 b, but the invention is not limited hereto. In other embodiment, the second gate dielectric layer 110 b and the metal gate 150 of the second semiconductor device 160 b can be formed after removing the dummy gate 112 b. The details would be described in the following embodiment.
  • FIGS. 2A-2E illustrate cross-section views of an integrated circuit during the fabricating process thereof according to an embodiment of the present invention. Referring to FIG. 2A, in this embodiment, the method of forming the gate material layer includes, for example, forming a first dielectric material layer 107 a and a poly-silicon layer 206 sequentially on the substrate 102 to cover the first active region 103 and the second active region 105. The poly-silicon layer 206 has the second thickness h2. The first dielectric material layer 107 a may be, for example, at least one of oxide layer and nitride layer.
  • Referring to 2B, a portion of the poly-silicon layer 206 is removed for thinning the portion of the poly-silicon layer 206 located above the first active region 103 to the first thickness h1. Therefore, the gate material layer 106 having a first portion 106 a and the second portion 106 b is formed. Then, a mask layer 108 is optional formed on the gate material layer 106.
  • After that, the processes described in the FIG. 1E to FIG. 1I are performed to form the structure shown in FIG. 2C. Then, as shown in FIG. 2D, the dummy gate 112 b is removed by using the first dielectric material layer 107 a as an etching stop layer. The first dielectric material layer 107 a is removed after removing the dummy gate 112 b to form an opening 242 exposing a portion of the substrate 102.
  • Referring to FIG. 2E, a high-k dielectric layer is formed in the opening 242 to as a second gate dielectric layer 210 b. Specifically, the second gate dielectric layer 210 b covers the bottom and the sidewalls of the opening 242. Last, the metal gate 250 is formed in the opening 242. Therefore, the integrated circuit 200 is substantially completed. After that, a plurality of interconnect layers may be formed on the structure of FIG. 2E to cover the metal gate 150 and the interlayer dielectric layer 140. The plurality of interconnect layers are usually comprised of a plurality of interlayer dielectric layers and a plurality of interconnect structures in the interlayer dielectric layers.
  • Referring to FIG. 1K and FIG. 2E, the integrated circuit 200 is similar to or the same with the integrated circuit 100 except for the second gate dielectric layer 210 b. In detail, the second gate dielectric layer 210 b of the integrated circuit 200 covers the bottom and sidewalls of the opening 242. The second gate dielectric layer 110 b of the integrated circuit 100 is disposed on the bottom of the opening 142.
  • In summary, the process of high-k/metal gate semiconductor device is integrated with the process of poly-silicon semiconductor device in the embodiments of the invention, therefore an integrated circuit having at least two different semiconductor devices can be fabricated to increase the flexible of use of the integrated circuit. Furthermore, the method of the invention can simplify the process of forming two gates with different heights, so that the process cost and the consuming time can be decreased.
  • While the invention has been described in terms of what is presently considered to be the most practical and preferred embodiments, it is to be understood that the invention needs not be limited to the disclosed embodiment. On the contrary, it is intended to cover various modifications and similar arrangements included within the spirit and scope of the appended claims which are to be accorded with the broadest interpretation so as to encompass all such modifications and similar structures.

Claims (22)

What is claimed is:
1. An integrated circuit, comprising:
a substrate with at least one of isolation structures formed therein so as to separate the substrate into a first active region and a second active area;
a first semiconductor device disposed on the first active region of the substrate and comprising:
a first gate insulating layer having a first dielectric constant disposed on the substrate the first gate insulating layer; and
a poly-silicon gate disposed on the first gate insulating layer;
a second semiconductor device disposed on the second active region of the substrate and comprising:
a second gate insulating layer disposed on the substrate, wherein the material of the second gate insulating layer is different from that of the first gate insulating layer;
a metal gate having a second thickness disposed on the second gate insulating layer, wherein the second thickness is greater than the first thickness; and
an interlayer dielectric layer disposed on the substrate and covering the first semiconductor device.
2. The integrated circuit according to claim 1, wherein the first gate insulating layer has a first dielectric constant and the second agate insulating layer has a second dielectric constant greater than the first dielectric constant.
3. The integrated circuit according to claim 1, wherein the first semiconductor device further comprises a first spacer disposed on sidewalls of the poly-silicon gate, and the second semiconductor device further comprises a second spacer disposed on sidewalls of the metal gate.
4. The integrated circuit according to claim 3, wherein the first semiconductor device further comprises a plurality of first source/drain regions disposed in the substrate beside the first spacer, the second semiconductor device further comprises a plurality of second source/drain disposed in the substrate beside the second spacer.
5. The integrated circuit according to claim 3, further comprises a plurality of source/drain metal salicides disposed in the substrate and located on the first source/drain regions and the second source/drain regions.
6. The integrated circuit according to claim 1, wherein the first semiconductor device further comprises a metal salicide pattern disposed on the poly-silicon gate.
7. The integrated circuit according to claim 1, wherein the first gate insulating layer comprises at least one of oxide layer and nitride layer.
8. A method for fabricating an integrated circuit, comprising the steps of:
providing a substrate with at least one isolation structure formed therein so as to separate the substrate into a first active region with a first stacked structure formed thereon and a second active region with a second stacked structure formed thereon;
forming an interlayer dielectric layer covering the first stacked structure and the second stacked structure; and
planarizing the interlayer dielectric layer to expose the top surface of the first stacked structure,
wherein the second stacked structure is still covered by the interlayer dielectric layer after planarizing.
9. The method for fabricating the integrated circuit according to claim 8, wherein the second stacked structure comprises a second gate insulating layer and a dummy gate sequentially formed on the substrate, and after planarizing the interlayer dielectric layer, the method further comprises the steps of:
removing the dummy gate so as to form an opening; and
forming a metal gate in the opening.
10. The method for fabricating the integrated circuit according to claim 8, wherein the method for forming the first stacked structure and the second stacked structure comprises the steps of:
forming a second dielectric material layer on the substrate;
forming a first poly-silicon layer on the second dielectric material layer;
removing a portion of the second dielectric material layer and a portion of the first poly-silicon layer to expose the first active region;
forming a first dielectric material layer on the first active region;
forming a second poly-silicon layer conformally on the substrate, wherein the second poly-silicon layer has a first thickness and constructs a gate material layer with the first poly-silicon, a first portion of the gate material layer is the portion of the second poly-silicon layer located on the first active region and a second portion of the gate material layer is constructed from the portion of the first poly-silicon layer remained on the substrate and the portion of the second poly-silicon layer located on the second active region, the second portion of the gate material layer has a second thickness greater than the first thickness; and
patterning the gate material layer, the first dielectric material layer and the second dielectric material layer to form the first stacked structure on the first active region and the second stacked structure on the second active region, wherein the first stacked structure comprises a first gate insulating layer and a poly-silicon gate sequentially stacked on the substrate, and the second stacked structure comprises a second gate insulating layer and a dummy gate sequentially stacked on the substrate.
11. The method for fabricating the integrated circuit according to claim 10, wherein the first dielectric material layer has a first dielectric constant and the second dielectric material layer has a second dielectric constant greater than the first dielectric constant.
12. The method for fabricating the integrated circuit according to claim 10, further comprising the step of forming a mask layer on the gate material layer conformally before patterning the gate material layer, the first dielectric material layer and the second dielectric material layer, wherein the mask layer is patterned with the gate material layer, the first dielectric material layer and the second dielectric material layer.
13. The method for fabricating the integrated circuit according to claim 12, wherein before forming the interlayer dielectric layer, further comprising the steps of:
removing a portion of the mask layer to expose the poly-silicon gate; and
doping the poly-silicon gate.
14. The method for fabricating the integrated circuit according to claim 13, further comprising the step of forming a plurality of first source/drain regions in the substrate beside the dummy gate and a plurality of second source/drain regions in the substrate beside the poly-silicon gate while doping the poly-silicon gate.
15. The method for fabricating the integrated circuit according to claim 14, further comprising the step of forming a plurality of source/drain metal silicides in the substrate and on the first source/drain regions and the second source/drain regions.
16. The method for fabricating the integrated circuit according to claim 8, wherein the method for forming the first stacked structure and the second stacked structure comprises the steps of:
forming a first dielectric material layer and a gate material layer on the substrate sequentially, wherein the first dielectric material layer covers the first active region and the second active region, the gate material has a first portion with a first thickness located above the first active region and the second portion with a second thickness located above the second active region greater than the first thickness; and
patterning the gate material layer and the first dielectric material layer to form the first stacked structure on the first active region and the second stacked structure on the second active region, wherein the first stacked structure comprises a first gate insulating layer and a poly-silicon gate sequentially stacked on the substrate, and the second stacked structure comprises a patterning first dielectric material layer and a dummy gate sequentially stacked on the substrate.
17. The method for fabricating the integrated circuit according to claim 16, wherein after planarizing the interlayer dielectric layer, the method further comprises the steps of:
removing the dummy gate so as to form an opening exposing the patterning first dielectric material layer;
removing the patterning first dielectric material layer;
forming a second gate insulating layer in the opening; and
forming a metal gate in the opening.
18. The method for fabricating the integrated circuit according to claim 17, wherein the first dielectric material layer has a first dielectric constant and the second gate insulating layer has a second dielectric constant greater than the first dielectric constant.
19. The method for fabricating the integrated circuit according to claim 18, wherein the method of forming the gate material layer comprises the steps of:
forming a poly-silicon layer with the second thickness on the first dielectric material layer; and
thinning a portion of the poly-silicon layer located on the first active region to the first thickness.
20. The method for fabricating the integrated circuit according to claim 8, further comprises the step of forming a first spacer on the sidewalls of the first stacked structure and a second spacer on the sidewalls of the second stacked structure before forming the interlayer dielectric layer.
21. The method for fabricating the integrated circuit according to claim 20, further comprises the step of forming a plurality of first source/drain regions in the substrate beside the first spacer and a plurality of second source/drain regions in the substrate beside the second spacer before forming the interlayer dielectric layer.
22. The method for fabricating the integrated circuit according to claim 8, further comprising the step of forming a metal silicides pattern on the poly-silicon gate.
US13/412,714 2012-03-06 2012-03-06 Integrated circuit and method for fabricating the same Abandoned US20130234252A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US13/412,714 US20130234252A1 (en) 2012-03-06 2012-03-06 Integrated circuit and method for fabricating the same
US14/255,948 US8921185B2 (en) 2012-03-06 2014-04-17 Method for fabricating integrated circuit with different gate heights and different materials

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/412,714 US20130234252A1 (en) 2012-03-06 2012-03-06 Integrated circuit and method for fabricating the same

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/255,948 Division US8921185B2 (en) 2012-03-06 2014-04-17 Method for fabricating integrated circuit with different gate heights and different materials

Publications (1)

Publication Number Publication Date
US20130234252A1 true US20130234252A1 (en) 2013-09-12

Family

ID=49113334

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/412,714 Abandoned US20130234252A1 (en) 2012-03-06 2012-03-06 Integrated circuit and method for fabricating the same
US14/255,948 Active US8921185B2 (en) 2012-03-06 2014-04-17 Method for fabricating integrated circuit with different gate heights and different materials

Family Applications After (1)

Application Number Title Priority Date Filing Date
US14/255,948 Active US8921185B2 (en) 2012-03-06 2014-04-17 Method for fabricating integrated circuit with different gate heights and different materials

Country Status (1)

Country Link
US (2) US20130234252A1 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140353794A1 (en) * 2013-05-28 2014-12-04 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and method of forming
US20150194351A1 (en) * 2012-06-29 2015-07-09 SK Hynix Inc. Semiconductor device and method of manufacturing the same
US9589803B2 (en) * 2012-08-10 2017-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Gate electrode of field effect transistor
CN108231686A (en) * 2018-01-10 2018-06-29 德淮半导体有限公司 Semiconductor devices and its manufacturing method
US10373683B2 (en) 2017-03-30 2019-08-06 United Microelectronics Corp. DRAM device with embedded flash memory for redundancy and fabrication method thereof
CN110739351A (en) * 2018-07-18 2020-01-31 帅群微电子股份有限公司 Semiconductor power element and method for manufacturing the same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6171910B1 (en) * 1999-07-21 2001-01-09 Motorola Inc. Method for forming a semiconductor device
US20100038692A1 (en) * 2008-08-14 2010-02-18 Harry Chuang Integrating the Formation of I/O and Core MOS Devices with MOS Capacitors and Resistors
US20110156154A1 (en) * 2009-12-30 2011-06-30 Jan Hoentschel High-k metal gate electrode structures formed at different process stages of a semiconductor device

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001060630A (en) * 1999-08-23 2001-03-06 Nec Corp Manufacture of semiconductor device
KR100399356B1 (en) * 2001-04-11 2003-09-26 삼성전자주식회사 Method of forming cmos type semiconductor device having dual gate
US7977181B2 (en) * 2008-10-06 2011-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for gate height control in a gate last process
US8093116B2 (en) * 2008-10-06 2012-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for N/P patterning in a gate last process

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6171910B1 (en) * 1999-07-21 2001-01-09 Motorola Inc. Method for forming a semiconductor device
US20100038692A1 (en) * 2008-08-14 2010-02-18 Harry Chuang Integrating the Formation of I/O and Core MOS Devices with MOS Capacitors and Resistors
US8294216B2 (en) * 2008-08-14 2012-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Integrating the formation of I/O and core MOS devices with MOS capacitors and resistors
US20110156154A1 (en) * 2009-12-30 2011-06-30 Jan Hoentschel High-k metal gate electrode structures formed at different process stages of a semiconductor device

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9312190B2 (en) * 2012-06-29 2016-04-12 SK Hynix Inc. Semiconductor device and method of manufacturing the same
US20150194351A1 (en) * 2012-06-29 2015-07-09 SK Hynix Inc. Semiconductor device and method of manufacturing the same
US9177869B2 (en) * 2012-06-29 2015-11-03 SK Hynix Inc. Semiconductor device and method of manufacturing the same
US10516031B2 (en) 2012-08-10 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming the gate electrode of field effect transistor
US9589803B2 (en) * 2012-08-10 2017-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Gate electrode of field effect transistor
US9812551B2 (en) 2012-08-10 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming the gate electrode of field effect transistor
US10797156B2 (en) 2012-08-10 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming the gate electrode of field effect transistor
US9837322B2 (en) * 2013-05-28 2017-12-05 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and method of forming
US20140353794A1 (en) * 2013-05-28 2014-12-04 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and method of forming
US10373683B2 (en) 2017-03-30 2019-08-06 United Microelectronics Corp. DRAM device with embedded flash memory for redundancy and fabrication method thereof
US10529423B2 (en) 2017-03-30 2020-01-07 United Microelectronics Corp. DRAM device with embedded flash memory for redundancy and fabrication method thereof
CN108231686A (en) * 2018-01-10 2018-06-29 德淮半导体有限公司 Semiconductor devices and its manufacturing method
CN110739351A (en) * 2018-07-18 2020-01-31 帅群微电子股份有限公司 Semiconductor power element and method for manufacturing the same

Also Published As

Publication number Publication date
US8921185B2 (en) 2014-12-30
US20140227844A1 (en) 2014-08-14

Similar Documents

Publication Publication Date Title
US11894443B2 (en) Method of making gate structure of a semiconductor device
KR101908854B1 (en) Semiconductor device and fabricating method thereof
CN109873035B (en) Semiconductor element and manufacturing method thereof
US8546227B2 (en) Contact for high-K metal gate device
US8951855B2 (en) Manufacturing method for semiconductor device having metal gate
US8765546B1 (en) Method for fabricating fin-shaped field-effect transistor
TWI489589B (en) Methods of fabricating semiconductor devices
US9793382B2 (en) Manufacturing method of semiconductor device
CN103165674B (en) There is the FinFET of multi-Vt
KR101423373B1 (en) Semiconductor device having metal gate electrode and method of fabrication thereof
US8350337B2 (en) Semiconductor device and method of forming the same
CN106684041B (en) Semiconductor element and manufacturing method thereof
US9276008B2 (en) Embedded NVM in a HKMG process
US20180012975A1 (en) Method of fabricating semiconductor device
US8921185B2 (en) Method for fabricating integrated circuit with different gate heights and different materials
US10062769B2 (en) Methods of fabricating semiconductor devices
CN109994537B (en) Semiconductor element and manufacturing method thereof
US20190140083A1 (en) Bipolar junction transistor and method for fabricating the same
US8492259B2 (en) Method of forming metal gate structure
US10971397B2 (en) Semiconductor device and method of fabricating the same
US9620369B2 (en) Method for fabricating semiconductor device to integrate transistor with passive device
US9082630B2 (en) Semiconductor device and fabricating method thereof
CN109994472B (en) Semiconductor device and method for fabricating the same
TWI555206B (en) Integrated circuit and method for fabricating the same
US20090014818A1 (en) Semiconductor device and manufacturing method thereof

Legal Events

Date Code Title Description
AS Assignment

Owner name: UNITED MICROELECTRONICS CORPORATION, TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LEE, HSIANG-CHEN;SHIH, PING-CHIA;CHEN, KE-CHI;AND OTHERS;SIGNING DATES FROM 20120103 TO 20120106;REEL/FRAME:027810/0334

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION