US20130221420A1 - Structure comprising a ruthenium metal material - Google Patents

Structure comprising a ruthenium metal material Download PDF

Info

Publication number
US20130221420A1
US20130221420A1 US13/859,319 US201313859319A US2013221420A1 US 20130221420 A1 US20130221420 A1 US 20130221420A1 US 201313859319 A US201313859319 A US 201313859319A US 2013221420 A1 US2013221420 A1 US 2013221420A1
Authority
US
United States
Prior art keywords
ruthenium
semiconductor device
layer
ruthenium metal
smooth
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/859,319
Inventor
Sam Yang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Priority to US13/859,319 priority Critical patent/US20130221420A1/en
Publication of US20130221420A1 publication Critical patent/US20130221420A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L27/108
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/65Electrodes comprising a noble metal or a noble metal oxide, e.g. platinum (Pt), ruthenium (Ru), ruthenium dioxide (RuO2), iridium (Ir), iridium dioxide (IrO2)
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • H10B12/0335Making a connection between the transistor and the capacitor, e.g. plug
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/31DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor
    • H10B12/315DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor with the capacitor higher than a bit line
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/485Bit line contacts

Definitions

  • This invention relates to the field of semiconductor assembly and, more particularly, to a method for forming layer of ruthenium metal.
  • DRAMs dynamic random access memories
  • microprocessors microprocessors
  • logic devices several conductive structures are commonly formed.
  • transistor gates and capacitor bottom (storage) and top plates typically manufactured from doped polysilicon
  • interconnects and runners typically formed from aluminum and/or copper, are formed on various types of devices.
  • RuO 2 ruthenium oxide
  • RuO 2 exhibits good step coverage and a uniform thickness across various topographies.
  • RuO 2 is not stable and is a strong oxidizer. It will, over time, oxidize various metal layers that are in close proximity. For example, if RuO 2 is used as a capacitor bottom plate, it will oxidize a titanium nitride or tungsten nitride top plate through a tantalum pentoxide (Ta 2 O 5 ) capacitor dielectric.
  • a barrier layer must be formed to protect a polysilicon contact pad from the RuO 2 , as the RuO 2 will oxidize the polysilicon and result in a bottom plate being electrically isolated from the contact pad by a silicon dioxide layer.
  • ruthenium metal is stable and is easily planarized during chemical mechanical polishing (CMP).
  • CMP chemical mechanical polishing
  • methods for forming a ruthenium metal layer for example, using chemical vapor deposition (CVD), result in a layer that has poor step coverage and has a rough surface.
  • Ruthenium metal is formed excessively thin over features with excessive slope changes, and it does not adequately form in narrow areas such as deep digit line contact openings in a manner adequate to maintain its conductive integrity.
  • a method for forming a uniform ruthenium metal layer across severe topographies and that forms within deep, narrow openings would be desirable.
  • the present invention provides a new method that reduces problems associated with the manufacture of semiconductor devices, particularly problems in forming a ruthenium metal layer.
  • a ruthenium precursor and oxygen are introduced into a chamber to form a ruthenium oxide layer.
  • the ruthenium oxide layer is heated in the presence of a hydrogen-rich gas to convert the ruthenium oxide layer to a ruthenium metal layer.
  • the uniformity of the completed ruthenium metal layer is significantly dependent on the flow rate of oxygen introduced with the ruthenium precursor. As the flow rate of oxygen is increased from a minimum to form the ruthenium oxide layer, the uniformity of the completed ruthenium metal layer increases. As the oxygen flow rate increases past a critical point, however, the uniformity of the completed ruthenium metal layer begins to deteriorate.
  • FIG. 1 is a cross section depicting a wafer substrate assembly in one exemplary use of the method described herein;
  • FIG. 2 depicts the FIG. 1 structure subsequent to a dielectric etch and the formation of a ruthenium oxide layer
  • FIG. 3 depicts the FIG. 2 structure subsequent to annealing the ruthenium oxide layer to form a ruthenium metal layer, and after forming a protective layer over the ruthenium metal layer;
  • FIG. 4 depicts the FIG. 3 structure subsequent to performing an etch of the ruthenium metal layer and after forming a mask layer over the wafer substrate assembly surface;
  • FIG. 5 depicts the FIG. 4 structure subsequent to forming a cell dielectric layer, a capacitor top plate layer, a planar dielectric layer, and a mask layer to define an opening to a digit line contact pad;
  • FIG. 6 is a cross section depicting another exemplary embodiment of the invention to form a transistor control gate
  • FIG. 7 depicts the FIG. 6 structure after converting ruthenium oxide to ruthenium metal, and after the formation of a gate capping layer and a patterned photoresist layer;
  • FIG. 8 depicts the FIG. 7 structure after etching the capping layer, the ruthenium metal layer, and the gate oxide layer, and after forming a spacer layer;
  • FIG. 9 depicts the FIG. 8 structure after a spacer etch.
  • Various embodiments of the inventive method comprise forming a ruthenium oxide layer, then converting the ruthenium oxide layer to ruthenium metal.
  • a ruthenium precursor and an oxygen source are introduced into a chamber such as an Applied Materials 5000 chemical vapor deposition (CVD) tool.
  • CVD chemical vapor deposition
  • the oxygen source such as O 2 gas
  • O 2 gas is preferably pumped into the chamber at a flow rate of between about 10 standard cm 3 (sccm) and about 1000 sccm, more preferably at a flow rate of between about 150 sccm and about 250 sccm, and most preferably at about 200 sccm.
  • the ruthenium precursor may include a number of materials, including tricarbonyl-1,3-cyclohexadiene ruthenium (referred to herein as “CHDR”), bisethylcyclopentadienylruthenium (Ru(C 2 H 5 C 5 H 4 ) 2 , referred to herein as “Ru(EtCp) 2 ”), and ruthenium octanedionate (referred to herein as “Ru(OD) 3 ”).
  • CHDR tricarbonyl-1,3-cyclohexadiene ruthenium
  • Ru(EtCp) 2 bisethylcyclopentadienylruthenium
  • Ru(OD) 3 ruthenium octanedionate
  • the ruthenium precursor is preferably pumped into the chamber at a flow rate of between about 10 sccm to about 2000 sccm, more preferably at a flow rate of between about 100 and about 1000 sccm, and most preferably at a flow rate of about 500 sccm.
  • the chamber further comprises an environment having a pressure preferably between about 0.1 Torr to about 90 Torr, and more preferably at a pressure of between about 1.0 Torr and about 9.0 Torr.
  • a chamber temperature of between about 100° C. and about 600° C., more preferably between about 150° C. and about 450° C., and most preferably about 210° C. would be sufficient.
  • the ruthenium oxide forms at a rate of about 200 ⁇ /minute.
  • the oxygen source gas flow rate was found during testing to significantly affect the quality of the completed ruthenium metal layer.
  • the flow rate of O 2 was around 200 sccm during the above-described formation of RuO 2 , for example between about 150 sccm and 250 sccm
  • the completed ruthenium metal layer was relatively uniform and had minimal pinholing.
  • the completed ruthenium metal layer was subject to an increasing number of pinholes and an increasingly rough surface the further the flow rate varied from about 200 sccm. While a smooth ruthenium metal layer will be desirable in most uses, a rough layer may also be useful, for example to form a layer having an increased surface area.
  • the ruthenium oxide forms at a rate of about 200 ⁇ /minute.
  • the material that forms is believed to be a mixture of Ru and RuO 2 , however it is expected that RuO x where “x” is other than 2 will also form in various ratios. RuO 2 content in the film increases as the O 2 flow rate increases.
  • the ruthenium oxide layer is heated or annealed in the presence of a hydrogen-rich gas to form the ruthenium metal layer.
  • the hydrogen-rich gas reacts with the oxygen from the ruthenium oxide layer, leaving a layer of ruthenium metal.
  • Sufficient hydrogen-rich gasses include ammonia (NH 3 ) and hydrogen gas (H 2 ), preferably at a flow rate of between about 100 sccm and about 10,000 sccm, more preferably between about 500 sccm and about 8,000 sccm, and most preferably between about 3,000 sccm and about 6,000 sccm.
  • the chamber further comprises an environment having a pressure preferably between about 1 Torr to about 760 Torr, and more preferably at a pressure of between about 100 Torr and about 660 Torr.
  • a chamber temperature of between about 400° C. and about 800° C., more preferably between about 450° C. and about 750° C., and most preferably between about 475° C. and about 650° C. would be sufficient.
  • an annealing duration of between about 10 seconds and about 5 minutes will be sufficient, and a duration of between about 30 seconds and about 3 minutes, for example 60 seconds, will be sufficient for most thicknesses.
  • the resulting ruthenium metal layer Upon completion of the anneal step, the resulting ruthenium metal layer will have a thickness of from about 50% to about 80% of the thickness of the ruthenium oxide layer before annealing.
  • FIGS. 1-5 depict an exemplary use of a ruthenium metal layer as a capacitor bottom plate formed in one inventive embodiment of the invention.
  • FIG. 1 depicts a semiconductor wafer substrate assembly comprising a semiconductor wafer 10 , field oxide 12 , doped wafer areas 13 , transistor control gates typically comprising a polysilicon gate 14 A and silicide 14 B, and surrounding dielectric typically comprising gate oxide 16 A, nitride spacers 16 B, and capping layer 16 C, for example tetraethyl orthosilicate (TEOS).
  • TEOS tetraethyl orthosilicate
  • the device further comprises polysilicon contact pads including pads 18 , to which the ruthenium metal container capacitor bottom plate will be electrically coupled, and pads 20 (only one depicted), which will form a portion of a digit line contact to the wafer 10 .
  • FIGS. 1-5 further depict a conductive barrier layer 21 such as tungsten nitride (WN x ), TiN, TaN, TaSiN, TiSiN, and tungsten, or a stack of more than one of these layers.
  • a dielectric layer 22 for example borophosphosilicate glass (BPSG), separates the pads.
  • the polysilicon layer that forms pads 18 , 20 is recessed within layer 22 before formation of barrier layer 21 , layer 21 is formed, then layer 21 can be removed from over oxide layer 22 using chemical mechanical polishing (CMP).
  • CMP chemical mechanical polishing
  • a barrier layer between about 50 ⁇ and about 1,000 ⁇ thick would be sufficient.
  • a second layer of dielectric 24 which can be one or more layers of TEOS and/or BPSG. With current technology, layer 24 can be about 14,000 ⁇ thick.
  • a layer of photoresist 26 defines openings 28 , which overlie pads 18 to which the container capacitors will be electrically coupled.
  • the structure of FIG. 1 is exposed to a vertical anisotropic etch, which removes the dielectric layer 24 selective to the polysilicon contact pads 18 .
  • FIG. 2 depicts openings 30 in dielectric 24 , which result from the etch of the FIG. 1 structure.
  • the etch exposes barrier layer 21 , which is electrically coupled with pads 18 , 20 , and the pads 18 , 20 contact the doped regions 13 .
  • Pads 18 decrease the amount of oxide that the etch of the FIG. 1 structure must remove. Without pads 18 , the etch would be required to remove the additional thickness of oxide layer 22 to expose doped regions 13 .
  • a blanket layer of ruthenium oxide (RuO 2 ) 32 is formed over exposed surfaces including barrier layer 21 .
  • the barrier layer prevents oxidation of the polysilicon pads 18 by the RuO 2 layer.
  • a RuO 2 layer between about 300 angstroms ( ⁇ ) thick and about 400 ⁇ thick would be sufficient for this exemplary embodiment.
  • Such as layer can be formed by providing a CHDR precursor at a flow rate of about 500 sccm and an O 2 flow rate of about 200 sccm in a chamber having a temperature of about 210° C. and a pressure of from about 1 Torr to about 9 Torr for about 2 minutes.
  • the RuO 2 32 is converted to ruthenium metal 34 as depicted in FIG. 3 .
  • This can be completed in situ in the chamber or in a different chamber by providing a hydrogen gas (H 2 ) or ammonia (NH 3 ) flow rate of between about 500 sccm and 5,000 sccm, at a temperature of between about 475° C. and about 750° C. at a pressure of between about 5 Torr to about 660 Torr for a duration of between about one minute and about three minutes.
  • H 2 hydrogen gas
  • NH 3 ammonia
  • the openings 30 are filled with a sacrificial protective material 36 such as photoresist and the ruthenium metal and a portion of dielectric 24 are etched, for example using CMP.
  • a sacrificial protective material 36 such as photoresist
  • the ruthenium metal and a portion of dielectric 24 are etched, for example using CMP.
  • CMP CMP
  • a photoresist mask 40 is formed over the structure to protect the oxide layer between the two container capacitors depicted, then an oxide etch is completed to remove a portion of the exposed oxide depicted as 50 in FIG. 5 .
  • a blanket cell dielectric layer such as silicon nitride 52 (cell nitride), Ta 2 O 5 , Al 2 O 3 , HfO 2 ,ZrO 2 , barium strontium titanate (BST), hafnium silicate (HfO 2 .SiO 2 ) or zirconium silicate (ZrO 2 .SiO 2 ) is formed.
  • a capacitor top plate 54 is formed, for example from TiN, tungsten nitride (or a WN x layer), Pt, Ru, W, Pt—Rh, Ta, TaN, RuO 2 , etc.
  • a planar layer of BPSG 56 which with current technology has a thickness of about 4,000 ⁇ , is formed and a patterned photoresist layer 58 is formed that defines an opening 60 , which will expose digit line contact pad 20 . Wafer processing continues according to means know in the art to form a semiconductor memory device.
  • the exemplary embodiment described above will provide a smooth capacitor ruthenium metal bottom plate layer. It may be desirable to provide a rough bottom plate layer to increase the surface area and therefore the capacitance between the bottom and top plates. Such a layer can be formed according to the description above, except that an O 2 flow rate of between about 10 sccm and about 100 sccm is provided. This process will result in a rough ruthenium metal surface subsequent to annealing the RuO 2 layer. However, a conductive bottom layer such as WN x or TaN may be necessary under the ruthenium metal layer to ensure complete electrical conduction across the layer with minimal resistance.
  • RuO 2 step coverage and coverage across vertical or near vertical surfaces may not be adequate to form a blanket layer, which is free from pinholing and may even form regions of isolated ruthenium metal.
  • FIGS. 6-9 depict an embodiment of the invention for use as a control gate layer.
  • FIG. 6 depicts a semiconductor wafer 10 having regions of field oxide 12 formed therein.
  • FIG. 6 further depicts blanket gate oxide layer 62 , for example about 60 ⁇ thick, formed thereover.
  • the gate oxide may comprise any number of materials, including SiO 2 , SiO x N y (oxynitride), HfO 2 , ZrO 2 , TiO 2 , Ta 2 O 5 , hafnium silicate (HfO 2 .SiO 2 ), or zirconium silicate (ZrO 2 .SiO 2 ) formed according to means known in the art.
  • a blanket layer of RuO 2 64 is formed thereover.
  • a blanket layer can be formed by providing a CHDR precursor at a flow rate of about 500 sccm and an O 2 flow rate of about 200 sccm in a chamber having a temperature of about 210° C. and a pressure of from about 1 Torr to about 9 Torr for between about one minute and about five minutes based on a formation rate of about 200 ⁇ /min.
  • the RuO 2 64 is converted to ruthenium metal 70 as depicted in FIG. 7 .
  • This can be completed in a chamber by providing a hydrogen gas (H 2 ) or ammonia gas (NH 3 ) flow rate of between about 500 sccm and about 5,000 sccm, at a temperature of between about 475° C. and about 750° C. at a pressure of about 5 Torr to about 660 Torr for a duration of between about one minute and about three minutes.
  • H 2 hydrogen gas
  • NH 3 ammonia gas
  • a planar gate capping layer 72 about 2,500 ⁇ thick is formed over the ruthenium layer.
  • This capping layer can be a planar layer of silicon nitride between about 100 ⁇ and about 500 ⁇ thick, and can also comprise a thin TEOS layer interposed between the ruthenium metal control gate and the nitride capping layer.
  • a patterned photoresist layer 74 is formed.
  • the structure of FIG. 7 is etched using an anisotropic etch that defines the transistor gate stack including gate oxide 80 , ruthenium metal control gate 82 , and nitride capping layer 84 as depicted in FIG. 8 .
  • the ruthenium metal layer can be etched using O 2 or O 3 with a biased plasma in a dry etch chamber.
  • any necessary wafer implantation for example, using arsenic, phosphorous, or boron, is performed to alter the electrical characteristics of the wafer and to form doped regions 88 according to means known in the art.
  • a conformal blanket spacer layer 86 such as a silicon nitride layer, is formed over exposed surfaces.
  • spacer layer is etched to form spacers 90 as depicted in FIG. 9 .
  • Wafer processing continues according to means known in the art to form a functional semiconductor device.

Abstract

A method for forming a ruthenium metal layer comprises combining a ruthenium precursor with a measured amount of oxygen to form a ruthenium oxide layer. The ruthenium oxide is annealed in the presence of a hydrogen-rich gas to react the oxygen in the ruthenium oxide with hydrogen, which results in a ruthenium metal layer. By varying the oxygen flow rate during the formation of ruthenium oxide, a ruthenium metal layer having various degrees of smooth and rough textures can be formed.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a divisional of U.S. patent application Ser. No. 11/389,707, filed Mar. 27, 2006, pending, which application is a continuation of U.S. patent application Ser. No. 10/658,868, filed Sep. 8, 2003, now U.S. Pat. No. 7,018,675, issued Mar. 28, 2006, which is a divisional of U.S. patent application Ser. No. 09/710,626, filed Nov. 10, 2000, now U.S. Pat. No. 6,617,248, issued Sep. 9, 2003, the disclosure of each of which is hereby incorporated herein in its entirety by this reference.
  • TECHNICAL FIELD
  • This invention relates to the field of semiconductor assembly and, more particularly, to a method for forming layer of ruthenium metal.
  • BACKGROUND
  • During the manufacture of semiconductor devices such as dynamic random access memories (DRAMs), microprocessors, and logic devices, several conductive structures are commonly formed. For example, transistor gates and capacitor bottom (storage) and top plates, typically manufactured from doped polysilicon, and interconnects and runners, typically formed from aluminum and/or copper, are formed on various types of devices.
  • A conductive material that has been used for various semiconductor device structures such as capacitor plates in ferroelectric devices is ruthenium oxide (RuO2). Ruthenium oxide exhibits good step coverage and a uniform thickness across various topographies. However, RuO2 is not stable and is a strong oxidizer. It will, over time, oxidize various metal layers that are in close proximity. For example, if RuO2 is used as a capacitor bottom plate, it will oxidize a titanium nitride or tungsten nitride top plate through a tantalum pentoxide (Ta2O5) capacitor dielectric. Further, a barrier layer must be formed to protect a polysilicon contact pad from the RuO2, as the RuO2 will oxidize the polysilicon and result in a bottom plate being electrically isolated from the contact pad by a silicon dioxide layer.
  • Attempts have also been made to use ruthenium metal as capacitor plates or as various other structures, as ruthenium metal is stable and is easily planarized during chemical mechanical polishing (CMP). However, methods for forming a ruthenium metal layer, for example, using chemical vapor deposition (CVD), result in a layer that has poor step coverage and has a rough surface. Ruthenium metal is formed excessively thin over features with excessive slope changes, and it does not adequately form in narrow areas such as deep digit line contact openings in a manner adequate to maintain its conductive integrity.
  • A method for forming a uniform ruthenium metal layer across severe topographies and that forms within deep, narrow openings would be desirable.
  • SUMMARY
  • The present invention provides a new method that reduces problems associated with the manufacture of semiconductor devices, particularly problems in forming a ruthenium metal layer. In accordance with one embodiment of the invention a ruthenium precursor and oxygen are introduced into a chamber to form a ruthenium oxide layer. Next, the ruthenium oxide layer is heated in the presence of a hydrogen-rich gas to convert the ruthenium oxide layer to a ruthenium metal layer.
  • As will be discussed, the uniformity of the completed ruthenium metal layer is significantly dependent on the flow rate of oxygen introduced with the ruthenium precursor. As the flow rate of oxygen is increased from a minimum to form the ruthenium oxide layer, the uniformity of the completed ruthenium metal layer increases. As the oxygen flow rate increases past a critical point, however, the uniformity of the completed ruthenium metal layer begins to deteriorate.
  • While it is believed that a uniform ruthenium metal layer formed in accordance with various described embodiments is most desirable, a less than uniform ruthenium metal layer formed in accordance with the descriptions herein may also have utility.
  • Objects and advantages will become apparent to those skilled in the art from the following detailed description read in conjunction with the appended claims and the drawings attached hereto.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a cross section depicting a wafer substrate assembly in one exemplary use of the method described herein;
  • FIG. 2 depicts the FIG. 1 structure subsequent to a dielectric etch and the formation of a ruthenium oxide layer;
  • FIG. 3 depicts the FIG. 2 structure subsequent to annealing the ruthenium oxide layer to form a ruthenium metal layer, and after forming a protective layer over the ruthenium metal layer;
  • FIG. 4 depicts the FIG. 3 structure subsequent to performing an etch of the ruthenium metal layer and after forming a mask layer over the wafer substrate assembly surface;
  • FIG. 5 depicts the FIG. 4 structure subsequent to forming a cell dielectric layer, a capacitor top plate layer, a planar dielectric layer, and a mask layer to define an opening to a digit line contact pad;
  • FIG. 6 is a cross section depicting another exemplary embodiment of the invention to form a transistor control gate;
  • FIG. 7 depicts the FIG. 6 structure after converting ruthenium oxide to ruthenium metal, and after the formation of a gate capping layer and a patterned photoresist layer;
  • FIG. 8 depicts the FIG. 7 structure after etching the capping layer, the ruthenium metal layer, and the gate oxide layer, and after forming a spacer layer; and
  • FIG. 9 depicts the FIG. 8 structure after a spacer etch.
  • It should be emphasized that the drawings herein may not be to exact scale and are schematic representations. The drawings are not intended to portray the specific parameters, materials, particular uses, or the structural details of the invention, which can be determined by one of skill in the art by examination of the information herein.
  • DETAILED DESCRIPTION
  • Various embodiments of the inventive method comprise forming a ruthenium oxide layer, then converting the ruthenium oxide layer to ruthenium metal. To form the ruthenium oxide layer, a ruthenium precursor and an oxygen source are introduced into a chamber such as an Applied Materials 5000 chemical vapor deposition (CVD) tool. It should be noted that the values specified herein are calibrated for an Applied Materials 5000, but they may be modified for other chambers if necessary.
  • The oxygen source, such as O2 gas, is preferably pumped into the chamber at a flow rate of between about 10 standard cm3 (sccm) and about 1000 sccm, more preferably at a flow rate of between about 150 sccm and about 250 sccm, and most preferably at about 200 sccm.
  • The ruthenium precursor may include a number of materials, including tricarbonyl-1,3-cyclohexadiene ruthenium (referred to herein as “CHDR”), bisethylcyclopentadienylruthenium (Ru(C2H5C5H4)2, referred to herein as “Ru(EtCp)2”), and ruthenium octanedionate (referred to herein as “Ru(OD)3”). The ruthenium precursor is preferably pumped into the chamber at a flow rate of between about 10 sccm to about 2000 sccm, more preferably at a flow rate of between about 100 and about 1000 sccm, and most preferably at a flow rate of about 500 sccm.
  • The chamber further comprises an environment having a pressure preferably between about 0.1 Torr to about 90 Torr, and more preferably at a pressure of between about 1.0 Torr and about 9.0 Torr. A chamber temperature of between about 100° C. and about 600° C., more preferably between about 150° C. and about 450° C., and most preferably about 210° C. would be sufficient. At the preferred parameters the ruthenium oxide forms at a rate of about 200 Å/minute.
  • The oxygen source gas flow rate was found during testing to significantly affect the quality of the completed ruthenium metal layer. When the flow rate of O2 was around 200 sccm during the above-described formation of RuO2, for example between about 150 sccm and 250 sccm, the completed ruthenium metal layer was relatively uniform and had minimal pinholing. At an O2 flow rate above or below about 200 sccm, especially at flow rates less than about 200 sccm, the completed ruthenium metal layer was subject to an increasing number of pinholes and an increasingly rough surface the further the flow rate varied from about 200 sccm. While a smooth ruthenium metal layer will be desirable in most uses, a rough layer may also be useful, for example to form a layer having an increased surface area.
  • Using the gas and precursor flow rates, temperature, and pressure indicated, the ruthenium oxide forms at a rate of about 200 Å/minute. The material that forms is believed to be a mixture of Ru and RuO2, however it is expected that RuOx where “x” is other than 2 will also form in various ratios. RuO2 content in the film increases as the O2 flow rate increases.
  • Next, the ruthenium oxide layer is heated or annealed in the presence of a hydrogen-rich gas to form the ruthenium metal layer. The hydrogen-rich gas reacts with the oxygen from the ruthenium oxide layer, leaving a layer of ruthenium metal. Sufficient hydrogen-rich gasses include ammonia (NH3) and hydrogen gas (H2), preferably at a flow rate of between about 100 sccm and about 10,000 sccm, more preferably between about 500 sccm and about 8,000 sccm, and most preferably between about 3,000 sccm and about 6,000 sccm. The chamber further comprises an environment having a pressure preferably between about 1 Torr to about 760 Torr, and more preferably at a pressure of between about 100 Torr and about 660 Torr. A chamber temperature of between about 400° C. and about 800° C., more preferably between about 450° C. and about 750° C., and most preferably between about 475° C. and about 650° C. would be sufficient. For generally any thickness of RuO2, an annealing duration of between about 10 seconds and about 5 minutes will be sufficient, and a duration of between about 30 seconds and about 3 minutes, for example 60 seconds, will be sufficient for most thicknesses.
  • Upon completion of the anneal step, the resulting ruthenium metal layer will have a thickness of from about 50% to about 80% of the thickness of the ruthenium oxide layer before annealing.
  • FIGS. 1-5 depict an exemplary use of a ruthenium metal layer as a capacitor bottom plate formed in one inventive embodiment of the invention. FIG. 1 depicts a semiconductor wafer substrate assembly comprising a semiconductor wafer 10, field oxide 12, doped wafer areas 13, transistor control gates typically comprising a polysilicon gate 14A and silicide 14B, and surrounding dielectric typically comprising gate oxide 16A, nitride spacers 16B, and capping layer 16C, for example tetraethyl orthosilicate (TEOS). The device further comprises polysilicon contact pads including pads 18, to which the ruthenium metal container capacitor bottom plate will be electrically coupled, and pads 20 (only one depicted), which will form a portion of a digit line contact to the wafer 10. FIGS. 1-5 further depict a conductive barrier layer 21 such as tungsten nitride (WNx), TiN, TaN, TaSiN, TiSiN, and tungsten, or a stack of more than one of these layers. A dielectric layer 22, for example borophosphosilicate glass (BPSG), separates the pads.
  • The polysilicon layer that forms pads 18, 20 is recessed within layer 22 before formation of barrier layer 21, layer 21 is formed, then layer 21 can be removed from over oxide layer 22 using chemical mechanical polishing (CMP). A barrier layer between about 50 Å and about 1,000 Å thick would be sufficient. Also depicted is a second layer of dielectric 24, which can be one or more layers of TEOS and/or BPSG. With current technology, layer 24 can be about 14,000 Å thick. A layer of photoresist 26 defines openings 28, which overlie pads 18 to which the container capacitors will be electrically coupled. The structure of FIG. 1 is exposed to a vertical anisotropic etch, which removes the dielectric layer 24 selective to the polysilicon contact pads 18.
  • FIG. 2 depicts openings 30 in dielectric 24, which result from the etch of the FIG. 1 structure. The etch exposes barrier layer 21, which is electrically coupled with pads 18, 20, and the pads 18, 20 contact the doped regions 13. Pads 18 decrease the amount of oxide that the etch of the FIG. 1 structure must remove. Without pads 18, the etch would be required to remove the additional thickness of oxide layer 22 to expose doped regions 13.
  • After forming the openings, a blanket layer of ruthenium oxide (RuO2) 32, is formed over exposed surfaces including barrier layer 21. The barrier layer prevents oxidation of the polysilicon pads 18 by the RuO2 layer. A RuO2 layer between about 300 angstroms (Å) thick and about 400 Å thick would be sufficient for this exemplary embodiment. Such as layer can be formed by providing a CHDR precursor at a flow rate of about 500 sccm and an O2 flow rate of about 200 sccm in a chamber having a temperature of about 210° C. and a pressure of from about 1 Torr to about 9 Torr for about 2 minutes.
  • Next, the RuO 2 32 is converted to ruthenium metal 34 as depicted in FIG. 3. This can be completed in situ in the chamber or in a different chamber by providing a hydrogen gas (H2) or ammonia (NH3) flow rate of between about 500 sccm and 5,000 sccm, at a temperature of between about 475° C. and about 750° C. at a pressure of between about 5 Torr to about 660 Torr for a duration of between about one minute and about three minutes. This results in a ruthenium metal layer bottom plate layer 34 between about 150 Å and about 250 Å thick.
  • Subsequently, the openings 30 are filled with a sacrificial protective material 36 such as photoresist and the ruthenium metal and a portion of dielectric 24 are etched, for example using CMP. This removes the ruthenium metal from the horizontal surface of dielectric 24 to result in the ruthenium metal capacitor bottom plate structures 34 of FIG. 4. A photoresist mask 40 is formed over the structure to protect the oxide layer between the two container capacitors depicted, then an oxide etch is completed to remove a portion of the exposed oxide depicted as 50 in FIG. 5. Next, the photoresist layers 36, 40 of FIG. 4 are removed and a blanket cell dielectric layer such as silicon nitride 52 (cell nitride), Ta2O5, Al2O3, HfO2,ZrO2, barium strontium titanate (BST), hafnium silicate (HfO2.SiO2) or zirconium silicate (ZrO2.SiO2) is formed. Next, a capacitor top plate 54 is formed, for example from TiN, tungsten nitride (or a WNx layer), Pt, Ru, W, Pt—Rh, Ta, TaN, RuO2, etc. A planar layer of BPSG 56, which with current technology has a thickness of about 4,000 Å, is formed and a patterned photoresist layer 58 is formed that defines an opening 60, which will expose digit line contact pad 20. Wafer processing continues according to means know in the art to form a semiconductor memory device.
  • The exemplary embodiment described above will provide a smooth capacitor ruthenium metal bottom plate layer. It may be desirable to provide a rough bottom plate layer to increase the surface area and therefore the capacitance between the bottom and top plates. Such a layer can be formed according to the description above, except that an O2 flow rate of between about 10 sccm and about 100 sccm is provided. This process will result in a rough ruthenium metal surface subsequent to annealing the RuO2 layer. However, a conductive bottom layer such as WNx or TaN may be necessary under the ruthenium metal layer to ensure complete electrical conduction across the layer with minimal resistance. This may be required because at the lower O2 flow rates required to form the textured ruthenium metal layer after annealing, RuO2 step coverage and coverage across vertical or near vertical surfaces may not be adequate to form a blanket layer, which is free from pinholing and may even form regions of isolated ruthenium metal.
  • FIGS. 6-9 depict an embodiment of the invention for use as a control gate layer. FIG. 6 depicts a semiconductor wafer 10 having regions of field oxide 12 formed therein. FIG. 6 further depicts blanket gate oxide layer 62, for example about 60 Å thick, formed thereover. The gate oxide may comprise any number of materials, including SiO2, SiOxNy (oxynitride), HfO2, ZrO2, TiO2, Ta2O5, hafnium silicate (HfO2.SiO2), or zirconium silicate (ZrO2.SiO2) formed according to means known in the art. After forming the gate oxide layer, a blanket layer of RuO 2 64, for example between about 200 Å and about 1,000 Å thick, is formed thereover. Such a layer can be formed by providing a CHDR precursor at a flow rate of about 500 sccm and an O2 flow rate of about 200 sccm in a chamber having a temperature of about 210° C. and a pressure of from about 1 Torr to about 9 Torr for between about one minute and about five minutes based on a formation rate of about 200 Å/min.
  • Next, the RuO 2 64 is converted to ruthenium metal 70 as depicted in FIG. 7. This can be completed in a chamber by providing a hydrogen gas (H2) or ammonia gas (NH3) flow rate of between about 500 sccm and about 5,000 sccm, at a temperature of between about 475° C. and about 750° C. at a pressure of about 5 Torr to about 660 Torr for a duration of between about one minute and about three minutes. These process parameters result in a ruthenium metal layer 70 between about 150 Å to about 800 Å thick.
  • Subsequently, a planar gate capping layer 72 about 2,500 Å thick is formed over the ruthenium layer. This capping layer can be a planar layer of silicon nitride between about 100 Å and about 500 Å thick, and can also comprise a thin TEOS layer interposed between the ruthenium metal control gate and the nitride capping layer. After completing the nitride capping layer, a patterned photoresist layer 74 is formed. The structure of FIG. 7 is etched using an anisotropic etch that defines the transistor gate stack including gate oxide 80, ruthenium metal control gate 82, and nitride capping layer 84 as depicted in FIG. 8. The ruthenium metal layer can be etched using O2 or O3 with a biased plasma in a dry etch chamber.
  • After etching the FIG. 7 structure to result in the gate stack of FIG. 8, any necessary wafer implantation, for example, using arsenic, phosphorous, or boron, is performed to alter the electrical characteristics of the wafer and to form doped regions 88 according to means known in the art. Next, a conformal blanket spacer layer 86, such as a silicon nitride layer, is formed over exposed surfaces. A Si3N4 layer between about 400 Å and about 1,000 Å thick, formed according to means known in the art, would be sufficient.
  • Finally, the spacer layer is etched to form spacers 90 as depicted in FIG. 9. Wafer processing continues according to means known in the art to form a functional semiconductor device.
  • While this invention has been described with reference to illustrative embodiments, this description is not meant to be construed in a limiting sense. Various modifications of the illustrative embodiments, as well as additional embodiments of the invention, will be apparent to persons skilled in the art upon reference to this description. It is therefore contemplated that the appended claims will cover any such modifications or embodiments as fall within the true scope of the invention.

Claims (20)

1. A semiconductor device comprising:
first and second dielectric sidewalls; and
a smooth-surfaced ruthenium metal material adjacent the first and second dielectric sidewalls.
2. The semiconductor device of claim 1, wherein the smooth-surfaced ruthenium metal material defines at least one capacitor bottom plate.
3. The semiconductor device of claim 1, wherein the smooth-surfaced ruthenium metal material defines at least one gate of a transistor.
4. The semiconductor device of claim 3, wherein the at least one gate comprises at least one control gate.
5. The semiconductor device of claim 1, further comprising first and second spacers on the first and second dielectric sidewalls.
6. The semiconductor device of claim 1, wherein the smooth-surfaced ruthenium metal material is on an oxide.
7. The semiconductor device of claim 6, wherein the oxide is a gate oxide.
8. The semiconductor device of claim 1, wherein the first and second dielectric sidewalls define an opening in a dielectric material, wherein the smooth-surfaced ruthenium metal material is formed at least partially within the opening.
9. A semiconductor device, comprising:
a dielectric material having an opening therein, the opening defined by sidewalls; and
a smooth-surfaced ruthenium metal material in contact with the sidewalls of the dielectric material.
10. The semiconductor device of claim 9, wherein the smooth-surfaced ruthenium metal material is in contact with the sidewalls of the dielectric material and with a conductive material below the opening.
11. The semiconductor device of claim 9, wherein an upper surface of the dielectric material is co-planar with an upper surface of the smooth-surfaced ruthenium metal material.
12. The semiconductor device of claim 9, further comprising a cell dielectric material on the smooth-surfaced ruthenium metal material.
13. The semiconductor device of claim 12, further comprising a capacitor top plate on the cell dielectric material.
14. The semiconductor device of claim 13, further comprising another dielectric material on the capacitor top plate and filling a remainder of the opening.
15. The semiconductor device of claim 9, wherein the smooth-surfaced ruthenium metal material comprises a capacitor bottom plate.
16. A semiconductor device, comprising:
a transistor gate stack on a semiconductor wafer, the transistor gate stack comprising a gate oxide, a ruthenium control gate on the gate oxide, and a capping material on the ruthenium control gate.
17. The semiconductor device of claim 16, wherein the ruthenium control gate comprises smooth-surfaced ruthenium.
18. The semiconductor device of claim 16, further comprising spacers on sidewalls of the transistor gate stack.
19. The semiconductor device of claim 16, wherein the smooth-surfaced ruthenium control gate comprises a thickness of between about 150 Å and about 800 Å.
20. The semiconductor device of claim 16, wherein the capping material comprises a thickness of between about 100 Å and about 500 Å.
US13/859,319 2000-11-10 2013-04-09 Structure comprising a ruthenium metal material Abandoned US20130221420A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/859,319 US20130221420A1 (en) 2000-11-10 2013-04-09 Structure comprising a ruthenium metal material

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US09/710,626 US6617248B1 (en) 2000-11-10 2000-11-10 Method for forming a ruthenium metal layer
US10/658,868 US7018675B2 (en) 2000-11-10 2003-09-08 Method for forming a ruthenium metal layer
US11/389,707 US8441077B2 (en) 2000-11-10 2006-03-27 Method for forming a ruthenium metal layer and a structure comprising the ruthenium metal layer
US13/859,319 US20130221420A1 (en) 2000-11-10 2013-04-09 Structure comprising a ruthenium metal material

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/389,707 Division US8441077B2 (en) 2000-11-10 2006-03-27 Method for forming a ruthenium metal layer and a structure comprising the ruthenium metal layer

Publications (1)

Publication Number Publication Date
US20130221420A1 true US20130221420A1 (en) 2013-08-29

Family

ID=27789382

Family Applications (4)

Application Number Title Priority Date Filing Date
US09/710,626 Expired - Fee Related US6617248B1 (en) 2000-11-10 2000-11-10 Method for forming a ruthenium metal layer
US10/658,868 Expired - Fee Related US7018675B2 (en) 2000-11-10 2003-09-08 Method for forming a ruthenium metal layer
US11/389,707 Expired - Lifetime US8441077B2 (en) 2000-11-10 2006-03-27 Method for forming a ruthenium metal layer and a structure comprising the ruthenium metal layer
US13/859,319 Abandoned US20130221420A1 (en) 2000-11-10 2013-04-09 Structure comprising a ruthenium metal material

Family Applications Before (3)

Application Number Title Priority Date Filing Date
US09/710,626 Expired - Fee Related US6617248B1 (en) 2000-11-10 2000-11-10 Method for forming a ruthenium metal layer
US10/658,868 Expired - Fee Related US7018675B2 (en) 2000-11-10 2003-09-08 Method for forming a ruthenium metal layer
US11/389,707 Expired - Lifetime US8441077B2 (en) 2000-11-10 2006-03-27 Method for forming a ruthenium metal layer and a structure comprising the ruthenium metal layer

Country Status (1)

Country Link
US (4) US6617248B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018067464A1 (en) * 2016-10-03 2018-04-12 Applied Materials, Inc. Methods and devices using pvd ruthenium

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6617248B1 (en) * 2000-11-10 2003-09-09 Micron Technology, Inc. Method for forming a ruthenium metal layer
US7378719B2 (en) * 2000-12-20 2008-05-27 Micron Technology, Inc. Low leakage MIM capacitor
JP2002231656A (en) * 2001-01-31 2002-08-16 Hitachi Ltd Method for manufacturing semiconductor integrated circuit device
JP4559223B2 (en) * 2002-07-15 2010-10-06 株式会社日立国際電気 Semiconductor device manufacturing method and substrate processing apparatus
JP2005150416A (en) * 2003-11-17 2005-06-09 Hitachi Ltd Semiconductor integrated circuit device and its manufacturing method
EP1691421A1 (en) * 2005-02-10 2006-08-16 Nederlandse Organisatie voor toegepast-natuurwetenschappelijk onderzoek TNO Process for preparing a metal film on a substrate
US20060261441A1 (en) * 2005-05-23 2006-11-23 Micron Technology, Inc. Process for forming a low carbon, low resistance metal film during the manufacture of a semiconductor device and systems including same
US7713876B2 (en) * 2005-09-28 2010-05-11 Tokyo Electron Limited Method for integrating a ruthenium layer with bulk copper in copper metallization
US20070069383A1 (en) * 2005-09-28 2007-03-29 Tokyo Electron Limited Semiconductor device containing a ruthenium diffusion barrier and method of forming
US20070134861A1 (en) * 2005-12-14 2007-06-14 Jin-Ping Han Semiconductor devices and methods of manufacture thereof
WO2008034468A1 (en) 2006-09-22 2008-03-27 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for the deposition of a ruthenium containing film
US20080272421A1 (en) * 2007-05-02 2008-11-06 Micron Technology, Inc. Methods, constructions, and devices including tantalum oxide layers
US8889507B2 (en) 2007-06-20 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. MIM capacitors with improved reliability
KR101544198B1 (en) * 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 Method of depositing ruthenium film
US8012532B2 (en) 2007-12-18 2011-09-06 Micron Technology, Inc. Methods of making crystalline tantalum pentoxide
US8208241B2 (en) * 2008-06-04 2012-06-26 Micron Technology, Inc. Crystallographically orientated tantalum pentoxide and methods of making same
KR101583516B1 (en) * 2010-02-25 2016-01-11 삼성전자주식회사 Capacitor including an electrode structure, method of manufacturing the capacitor and semiconductor device having an electrode structure
US8357614B2 (en) 2010-04-19 2013-01-22 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Ruthenium-containing precursors for CVD and ALD
US8932911B2 (en) * 2013-02-27 2015-01-13 GlobalFoundries, Inc. Integrated circuits and methods for fabricating integrated circuits with capping layers between metal contacts and interconnects
US9786597B2 (en) * 2013-03-11 2017-10-10 International Business Machines Corporation Self-aligned pitch split for unidirectional metal wiring
US10950444B2 (en) * 2018-01-30 2021-03-16 Tokyo Electron Limited Metal hard mask layers for processing of microelectronic workpieces
US11355339B2 (en) * 2018-06-29 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Forming nitrogen-containing layers as oxidation blocking layers
US11821070B2 (en) 2019-11-11 2023-11-21 Applied Materials, Inc. Ruthenium film deposition using low valent metal precursors
KR20220076870A (en) 2020-12-01 2022-06-08 삼성전자주식회사 Semiconductor device and method for fabricating the same
CN117529798A (en) * 2021-06-03 2024-02-06 应用材料公司 Atomic layer etching of metal features

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5270241A (en) * 1992-03-13 1993-12-14 Micron Technology, Inc. Optimized container stacked capacitor DRAM cell utilizing sacrificial oxide deposition and chemical mechanical polishing
US5619051A (en) * 1994-06-27 1997-04-08 Nec Corporation Semiconductor nonvolatile memory cell
US5861332A (en) * 1996-06-27 1999-01-19 Hyundai Electronics Industries Co., Ltd. Method for fabricating capacitors of semiconductor devices
US5986301A (en) * 1995-01-27 1999-11-16 Kabushiki Kaisha Toshiba Thin film capacitor with electrodes having a perovskite structure and a metallic conductivity
US6159818A (en) * 1999-09-02 2000-12-12 Micron Technology, Inc. Method of forming a container capacitor structure
US6165880A (en) * 1998-06-15 2000-12-26 Taiwan Semiconductor Manufacturing Company Double spacer technology for making self-aligned contacts (SAC) on semiconductor integrated circuits
US6218297B1 (en) * 1998-09-03 2001-04-17 Micron Technology, Inc. Patterning conductive metal layers and methods using same
US6258729B1 (en) * 1999-09-02 2001-07-10 Micron Technology, Inc. Oxide etching method and structures resulting from same
US6288431B1 (en) * 1997-04-04 2001-09-11 Nippon Steel Corporation Semiconductor device and a method of manufacturing the same
US20020008270A1 (en) * 1998-09-03 2002-01-24 Micron Technology, Inc. Diffusion barrier layers and methods of forming same
US20020058414A1 (en) * 2000-06-08 2002-05-16 Micron Technology, Inc. Methods for forming rough ruthenium-containing layers and structures/methods using same
US20020146513A1 (en) * 2001-04-05 2002-10-10 Applied Materials, Inc. Cvd ruthenium seed for cvd ruthenium deposition
US20030020122A1 (en) * 2001-07-24 2003-01-30 Joo Jae Hyun Methods of forming integrated circuit electrodes and capacitors by wrinkling a layer that includes a noble metal oxide, and integrated circuit electrodes and capacitors fabricated thereby
US6617248B1 (en) * 2000-11-10 2003-09-09 Micron Technology, Inc. Method for forming a ruthenium metal layer
US20060022252A1 (en) * 2004-07-30 2006-02-02 Samsung Electronics Co., Ltd. Nonvolatile memory device and method of fabricating the same
US20130244133A1 (en) * 2009-06-10 2013-09-19 Friedrich Wilhelm Wieland Electrocatalyst, Fuel Cell Cathode and Fuel Cell

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4936956A (en) * 1984-11-23 1990-06-26 Massachusetts Institute Of Technology Microelectrochemical devices based on inorganic redox active material and method for sensing
JPH0271439A (en) 1988-09-07 1990-03-12 Canon Inc Recording and reproducing device
DE69127070T2 (en) 1991-05-01 1998-02-12 Ibm Superconducting circuit components with a metallic substrate and their manufacturing processes
US5401680A (en) * 1992-02-18 1995-03-28 National Semiconductor Corporation Method for forming a ceramic oxide capacitor having barrier layers
US5314727A (en) * 1992-07-28 1994-05-24 Minnesota Mining & Mfg. Co./Regents Of The University Of Minnesota Chemical vapor deposition of iron, ruthenium, and osmium
KR0147640B1 (en) * 1995-05-30 1998-08-01 김광호 Capacitor of semiconductor device & its fabrication method
JPH09102591A (en) 1995-07-28 1997-04-15 Toshiba Corp Semiconductor device and manufacture thereof
JPH1050951A (en) 1996-07-30 1998-02-20 Nec Corp Semiconductor device, and its manufacture
JP3749776B2 (en) 1997-02-28 2006-03-01 株式会社東芝 Semiconductor device
US6278152B1 (en) 1997-06-27 2001-08-21 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
JP3419665B2 (en) * 1997-10-27 2003-06-23 沖電気工業株式会社 Method for manufacturing semiconductor device
TW372365B (en) 1998-04-20 1999-10-21 United Microelectronics Corp Manufacturing method for capacitors of dynamic random access memory
US6737696B1 (en) * 1998-06-03 2004-05-18 Micron Technology, Inc. DRAM capacitor formulation using a double-sided electrode
JP2000156480A (en) * 1998-09-03 2000-06-06 Hitachi Ltd Semiconductor integrated circuit device and manufacture thereof
US6475854B2 (en) * 1999-12-30 2002-11-05 Applied Materials, Inc. Method of forming metal electrodes
TW490718B (en) 2000-01-25 2002-06-11 Toshiba Corp Semiconductor device and the manufacturing method thereof
US7253076B1 (en) * 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
US6440495B1 (en) * 2000-08-03 2002-08-27 Applied Materials, Inc. Chemical vapor deposition of ruthenium films for metal electrode applications
US6875518B2 (en) * 2000-10-18 2005-04-05 Jsr Corporation Ruthenium film, ruthenium oxide film and process for forming the same
JP2002231656A (en) * 2001-01-31 2002-08-16 Hitachi Ltd Method for manufacturing semiconductor integrated circuit device
US6649211B2 (en) * 2002-02-28 2003-11-18 The United States Of America As Represented By The Secretary Of The Navy Selective deposition of hydrous ruthenium oxide thin films

Patent Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5270241A (en) * 1992-03-13 1993-12-14 Micron Technology, Inc. Optimized container stacked capacitor DRAM cell utilizing sacrificial oxide deposition and chemical mechanical polishing
US5619051A (en) * 1994-06-27 1997-04-08 Nec Corporation Semiconductor nonvolatile memory cell
US5986301A (en) * 1995-01-27 1999-11-16 Kabushiki Kaisha Toshiba Thin film capacitor with electrodes having a perovskite structure and a metallic conductivity
US5861332A (en) * 1996-06-27 1999-01-19 Hyundai Electronics Industries Co., Ltd. Method for fabricating capacitors of semiconductor devices
US6288431B1 (en) * 1997-04-04 2001-09-11 Nippon Steel Corporation Semiconductor device and a method of manufacturing the same
US6165880A (en) * 1998-06-15 2000-12-26 Taiwan Semiconductor Manufacturing Company Double spacer technology for making self-aligned contacts (SAC) on semiconductor integrated circuits
US6218297B1 (en) * 1998-09-03 2001-04-17 Micron Technology, Inc. Patterning conductive metal layers and methods using same
US20020008270A1 (en) * 1998-09-03 2002-01-24 Micron Technology, Inc. Diffusion barrier layers and methods of forming same
US6159818A (en) * 1999-09-02 2000-12-12 Micron Technology, Inc. Method of forming a container capacitor structure
US6258729B1 (en) * 1999-09-02 2001-07-10 Micron Technology, Inc. Oxide etching method and structures resulting from same
US20020058414A1 (en) * 2000-06-08 2002-05-16 Micron Technology, Inc. Methods for forming rough ruthenium-containing layers and structures/methods using same
US6617248B1 (en) * 2000-11-10 2003-09-09 Micron Technology, Inc. Method for forming a ruthenium metal layer
US8441077B2 (en) * 2000-11-10 2013-05-14 Micron Technology, Inc. Method for forming a ruthenium metal layer and a structure comprising the ruthenium metal layer
US20020146513A1 (en) * 2001-04-05 2002-10-10 Applied Materials, Inc. Cvd ruthenium seed for cvd ruthenium deposition
US20030020122A1 (en) * 2001-07-24 2003-01-30 Joo Jae Hyun Methods of forming integrated circuit electrodes and capacitors by wrinkling a layer that includes a noble metal oxide, and integrated circuit electrodes and capacitors fabricated thereby
US20060022252A1 (en) * 2004-07-30 2006-02-02 Samsung Electronics Co., Ltd. Nonvolatile memory device and method of fabricating the same
US20130244133A1 (en) * 2009-06-10 2013-09-19 Friedrich Wilhelm Wieland Electrocatalyst, Fuel Cell Cathode and Fuel Cell

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018067464A1 (en) * 2016-10-03 2018-04-12 Applied Materials, Inc. Methods and devices using pvd ruthenium
US10388532B2 (en) 2016-10-03 2019-08-20 Applied Materials, Inc. Methods and devices using PVD ruthenium

Also Published As

Publication number Publication date
US20060165894A1 (en) 2006-07-27
US8441077B2 (en) 2013-05-14
US7018675B2 (en) 2006-03-28
US20050074980A1 (en) 2005-04-07
US6617248B1 (en) 2003-09-09

Similar Documents

Publication Publication Date Title
US8441077B2 (en) Method for forming a ruthenium metal layer and a structure comprising the ruthenium metal layer
US6830983B2 (en) Method of making an oxygen diffusion barrier for semiconductor devices using platinum, rhodium, or iridium stuffed with silicon oxide
US6559003B2 (en) Method of producing a ferroelectric semiconductor memory
US7060552B2 (en) Memory device with hydrogen-blocked ferroelectric capacitor
US6690052B2 (en) Semiconductor device having a capacitor with a multi-layer dielectric
KR100227843B1 (en) Process for forming interconnector and method for fabricating capacitor therewith
US6337238B1 (en) Semiconductor device having a dielectric film and a fabrication process thereof
US20030205729A1 (en) MIM capacitor with metal nitride electrode materials and method of formation
US6150183A (en) Method for manufacturing metal oxide capacitor and method for manufacturing semiconductor memory device
US6835976B2 (en) Semiconductor device and its manufacture
KR100418580B1 (en) Method of forming a capacitor of a semiconductor device
KR100418586B1 (en) Method of forming memory device
JP3189813B2 (en) Method for manufacturing semiconductor device
US7042034B2 (en) Capacitor
KR100190112B1 (en) Ferroelectric capacitor and method of manufacturing thereof
KR100688054B1 (en) Method for fabricating concave capacitor in ferroelectric semiconductor device
KR100585092B1 (en) Capacitor of integrated circuit having a aluminum-oxide spacer on the side wall of capacitor and fabricating method the same
JP2003218235A (en) Memory device with composite contact plug and method of manufacturing the same
US20010046716A1 (en) Method for manufacturing a semiconductor device
KR100406547B1 (en) Method for fabricating capacitor in semiconductor memory device
KR20020094176A (en) Method of forming memory device having electroplating electrode
JP2004071759A (en) Semiconductor device and its manufacturing method
KR20030003352A (en) Capacitor in semiconductor device and method for fabricating the same

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: EXPRESSLY ABANDONED -- DURING EXAMINATION