US20110272099A1 - Plasma processing apparatus and method for the plasma processing of substrates - Google Patents

Plasma processing apparatus and method for the plasma processing of substrates Download PDF

Info

Publication number
US20110272099A1
US20110272099A1 US13/128,265 US200913128265A US2011272099A1 US 20110272099 A1 US20110272099 A1 US 20110272099A1 US 200913128265 A US200913128265 A US 200913128265A US 2011272099 A1 US2011272099 A1 US 2011272099A1
Authority
US
United States
Prior art keywords
electrode
process chamber
plasma
gap
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/128,265
Inventor
Ulrich Kroll
Boris Legradic
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Oerlikon Surface Solutions AG Pfaeffikon
TEL Solar AG
Original Assignee
Oerlikon Trading AG Truebbach
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Oerlikon Trading AG Truebbach filed Critical Oerlikon Trading AG Truebbach
Priority to US13/128,265 priority Critical patent/US20110272099A1/en
Assigned to OERLIKON SOLAR AG, TRUBBACH reassignment OERLIKON SOLAR AG, TRUBBACH ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LEGRADIC, BORIS, KROLL, ULRICH
Publication of US20110272099A1 publication Critical patent/US20110272099A1/en
Assigned to TEL SOLAR AG reassignment TEL SOLAR AG CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: OERLIKON SOLAR AG, TRUBBACH
Assigned to OC OERLIKON BALZERS AG reassignment OC OERLIKON BALZERS AG LICENSE (SEE DOCUMENT FOR DETAILS). Assignors: TEL SOLAR AG
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/0203Protection arrangements
    • H01J2237/0206Extinguishing, preventing or controlling unwanted discharges

Definitions

  • the present invention refers to a plasma processing apparatus or system with improved (low energy) ion bombardment properties and to a method for processing substrates in an apparatus of said kind.
  • Plasma processing refers to deposition- and/or etching processes, heating, surface conditioning and other treatments of substrates.
  • FIG. 1 A plasma processing apparatus of that kind is shown in FIG. 1 . It comprises a process chamber 7 with walls defining an enclosure, a first plane electrode 1 , a second plane electrode 2 , both arranged within said process chamber 7 , electrically connectable with at least one RF power source. Electrodes 1 and 2 define a plasma generation region 6 . A substrate 5 to be processed is placed on a substrate holder or, as shown, directly on one of the electrodes. Thus the substrate is exposed to the effects of the plasma during processing. Process gas inlet means 3 as well as exhaust means 4 for removal of residual gases are shown schematically in FIG. 1 . Process gas distribution means have been omitted.
  • thin film silicon layers amorphous, nano/microcrystalline material etc.
  • its alloys with C, N, O etc. are deposited by the PECVD (plasma enhanced chemical vapor deposition) technique using this parallel plate setup and capacitive RF power coupling.
  • PECVD plasma enhanced chemical vapor deposition
  • the substrates are placed on a grounded electrode whereas the other electrode serves as the RF powered electrode and as a gas distribution shower head (process gas distribution means).
  • gas distribution shower head process gas distribution means
  • Triode configurations lead to excellent material, but reduce the deposition rates because a recombination of radicals in the zone between grid and substrate takes place.
  • Increased plasma excitations frequencies into the VHF/UHF regime reduces the necessary peak-to-peak voltage for a given power of the plasma, but again the ion-bombardment increases with the RF power and, hence, can not be controlled independently and adjusted alone.
  • FIG. 2 shows a plasma processing apparatus comprising an electrode configuration facing a substrate 21 with a first electrode 24 and a second electrode 22 spaced apart by insulators 23 .
  • the second electrode 22 is arranged in a stripe pattern in a parallel plane to the first electrode 24 , resulting in a structure of parallel trenches 26 with a part of the first electrode 24 acting as the trench base 27 and electrode 22 acting as trench shoulder.
  • Electrical power, preferably RF power 25 is being applied between electrodes 22 and 24 , such that plasma is generated in the trench 26 and adjacent to electrode(s) 22 .
  • this trench 26 could be described as elongated cavity and might even be using a hollow cathode effect.
  • Process gas is being delivered to the trenches 26 via holes in the trench base 27 .
  • the smooth and even distribution of the process gas is essential for an effective operation and in order to achieve a homogeneous result of the processing, e.g. layer deposition or etching step.
  • the stripe pattern of electrode bars allows control of the plasma generation areas, however, the manufacturing effort is considerable, since the elements of second electrode 22 and insulators 23 have to be assembled individually; furthermore many drill holes are required in order to achieve a smooth and even process gas distribution.
  • the standing wave phenomenon will occur. This is due to the fact that with increasing RF/VHF frequency (>13.56 MHz and electrode diameters >1 m) the free space wavelength decreases and thus a standing wave in the reactor develops, starting from the point of delivery, the connecting point of the RF power to the electrode.
  • the design shown in FIG. 2 tries to avoid this by multiple points of delivery for the RF power, one per electrode 22 .
  • this means that a costly and elaborate wiring is necessary in order to achieve at least partial independence of said phenomenon. The cost for such wiring will increase with increasing size of the electrode according to FIG. 2 .
  • the design according to FIG. 2 does not resolve the problem of the standing wave completely. Standing waves may still occur along the parallel trenches 26 , especially for large area electrodes.
  • a design as described in FIG. 2 cures this problem at least partially, because electrode surfaces are distributed and arranged in close relationship so this inhomogeneity, especially for the central regions of large areas electrodes will be reduced.
  • FIG. 1 shows a parallel plate reactor design (simplified) according to Prior Art.
  • FIG. 2 shows a reactor as described in Prior Art document U.S. Pat. No. 7,090,705
  • FIG. 3 shows a first embodiment of the invention in side view
  • FIG. 4 shows a top view on a perforated electrode according to the invention
  • FIG. 5 shows photographs made from a small scale reactor following the principles of the invention.
  • FIG. 6 shows a second embodiment of the invention in side view.
  • a plasma processing apparatus 30 comprises a process chamber with process chamber walls 35 , process gas inlet means and process gas distribution means in said process chamber, exhaust means for removal of residual gases, at least a first and second electrode 31 , 32 arranged within said process chamber, electrically connectable with at least one RF power source 39 . Further a substrate mount 34 for a substrate 33 to be processed is being provided for.
  • the second electrode 32 exhibits a pattern of openings and is arranged at a distance to the first electrode 31 so that a process gas delivered to the gap 38 between the electrodes 31 , 32 does not ignite a plasma in the gap 38 during operation.
  • a plasma processing apparatus 50 comprises a process chamber with process chamber walls 35 , process gas inlet means and process gas distribution means in said process chamber, exhaust means for removal of residual gases, at least a perforated (conductive) RF plate 51 arranged within said process chamber, electrically connectable with at least one RF power source 39 . Further a substrate mount 34 for a substrate 33 to be processed is provided for.
  • the RF plate 51 exhibits a pattern of openings and is arranged at a distance to backside wall 53 so that a process gas delivered to a gap 55 between the RF plate 51 and backside wall 53 does not ignite a plasma in the gap 55 during operation.
  • a method for plasma processing of substrates comprises introducing a substrate 33 into a plasma processing apparatus 30 , placing the substrate 33 on a substrate holding means 34 facing an electrode 32 with openings 36 therein, setting appropriate process conditions (pressure, process gases, temperature) and igniting localized plasmas 37 in the openings 36 of said electrode 32 and processing said substrate.
  • the inventive solution is based on a modified electrode configuration for a plasma processing system (or plasma reactor) 30 as shown as a first embodiment's side view in FIG. 3 .
  • a grounded plate 32 with holes or openings 36 is arranged adjacent to an electrode 31 operatively connectable to a RF power source 39 .
  • Gas inlet means (not shown) are preferably provided for delivery of process gases into the gap 38 between grounded plate 32 and powered electrode 31 .
  • a commonly used gas shower-head can be also implemented in this setup by e.g. providing for holes in the powered electrode 31 located opposite the holes of the grounded electrode.
  • the distance between said electrode 31 and grounded plate 32 is chosen such that in the gap 38 between electrode 31 and plate 32 no plasma will ignite (effective dark-space shielding). The distance can vary depending on the voltage and the RF frequency applied and the gas pressure and nature of gas set in the gap 38 .
  • the distance can be set by the use of isolating spacers, such as ceramic screws, this way defining the separation distance between electrodes 32 and 31 .
  • the distance between the RF electrode 31 and the grounded plate 32 is arranged to be at around 1 to 3 mm.
  • the plasma is forced to burn in the holes 36 of the electrically grounded plate 32 .
  • the spatial distribution of radicals produced in the burning localized plasma(s) 37 and the ratio of grounded/powered electrode area can be adjusted by a proper design of the distribution of the holes, the holes' diameters, the shape of the holes and their area density. This way it is additionally possible to achieve on substrate 33 a uniform layer with an excellent thickness uniformity and superior etch rate uniformity, respectively.
  • the hollow cathode principle can be extended to these holes to even further enhance the plasma dissociation.
  • a preferred embodiment would comprise holes with a diameter of 1-30 mm, preferably 8-15 mm, further preferred 10 mm.
  • the thickness of the perforated ground plate/electrode 32 can be selected between 1-15 mm, preferably 5-15 mm and 10 mm further preferred. Such “thick” electrode could then also be used to act as gas distribution means to allow dosing of process gas to the gap between electrodes 31 and 32 .)
  • a substrate 33 can be located on a substrate holder 34 , which again can be designed to be electrically floating, i.e. separated from the perforated ground electrode plate, the powered RF electrode 32 and the process chamber walls 35 by an appropriate distance (approx. 5 mm to 100 mm, depending on pressure, hole geometry etc.).
  • an additional, separate, second RF power supply 40 can be connected between substrate holder 34 and ground, which will allow an independent bias and, hence, control of the moderate but sometimes still beneficial ion bombardment.
  • this crystallinity and/or density of a deposited layer can be varied to a larger degree, because the ions generated by the localized plasmas can be directed towards the substrate.
  • FIG. 4 shows a top view on perforated ground plate/electrode 32 with holes 36 .
  • Electrode 32 can be manufactured from a single sheet of metal with a few mm thickness. Then, the holes can be easily laser-cut, which will also ease variations of the diameter of the holes and avoid the efforts of drilling including the problem of drill-breakage.
  • the products to be processed with an inventive plasma processing system include large area (>1 m 2 ), essentially flat substrates, such as solar panels on glass and glass ceramics as well as other material (plastic, stainless steel), further display panels for TFT or other applications.
  • the range of applications includes deposition and/or etching processes, heating, surface conditioning and other treatments of aforementioned substrates.
  • Process gases useful in etching processes are CF 4 , SF 6 , Cl 2 , HCl, BCl 3 , O 2 or others.
  • gases like are CF 4 , SF 6 , Cl 2 , HCl, BCl 3 , O 2 or others.
  • Silane SiH 4 disilane, dichlorosilane, SiF 4 , GeH4 etc. plus eventual dopants, ammonium NH 3 , nitrogen N 2 , Hydrazin etc. (for silicon nitride layers), N 2 O, CO 2 and O 2 etc. (for silicon oxide layers), hydrogen H 2 (as dilutant for many deposition processes) are used with preference.
  • the plasma processing apparatus according to the invention can be used for RF/VHF frequencies between several hundred kHz to several hundred MHz. By far preferred are the industrially used 13.56 MHz plus its harmonics like 40 MHz, and more. A small-scale reactor in operation is shown in FIG. 5 a ) and b), the localized plasmas are visible as bright spots.
  • the inventive principle can be further simplified, as shown in FIG. 6 .
  • a perforated (conductive) RF plate 51 is being used.
  • Plate 51 is connected to RF power source 39 .
  • the process chamber wall 53 just behind will act through the holes as anode.
  • the distance between RF plate 51 and backside wall 53 is chosen such that in the gap 55 no plasma will ignite (effective dark-space shielding).
  • this space will, in connection with a gas distribution system (not shown) provide each localized plasma with process gases.
  • the perforated RF plate 51 allows compensating the effect of the standing wave effect in the same way as grounded plate 32 for the embodiment in FIG. 3 by adjusting size, density and configuration of the localized plasmas.
  • the options and limitations cited above can be seamlessly applied also for this embodiment, unless indicated to the contrary.
  • a plasma 52 adjacent to perforated RF plate 51 , facing the processing region 54 might occur. This is due to the fact that not only between plate 51 and backside wall 53 an electric field is being established, but also between powered plate 51 and the other regions of process chamber walls 35 .
  • a second RF power supply 40 can be advantageously connected between substrate holder 34 and ground, which will allow an independent bias and, hence, control of the moderate but sometimes still beneficial ion bombardment as described above for FIG. 3 .
  • one RF generator is used to generate locally the reactive radicals.
  • This RF voltage generates a high bombardment, but this bombardment will be directed onto the RF electrode 31 or 51 and its corresponding ground connection (perforated ground plate 32 and backside wall 53 ) and not to a floating electrode or substrate holder 34 where the substrate 33 is placed and the soft deposition conditions should occur.
  • this means plasma generation and creation of radicals are being decoupled from the deposition, especially if a separate (RF) substrate bias voltage 40 is being used.
  • the deposition rate can be increased by using higher frequencies and/or higher voltages without risking damage to the substrate.
  • the inventive design takes the phenomenon into account and allows to compensate it by the hole pattern design as described above (diameter, arrangement, density etc. . . . ). This allows keeping the wiring simple, using only one or 2-4 connection points to one electrode and at the same time the constructional and assembly efforts for the electrodes are minimized.

Abstract

A plasma processing apparatus (30, 50) comprises a process chamber with process chamber walls (35), process gas inlet means and process gas distribution means in said process chamber, exhaust means for removal of residual gases and a substrate mount (34) for a substrate (33). In a first embodiment a conductive plate (51) is arranged within said process chamber, electrically connectable with at least one RF power source (39) facing said conductive plate (51), exhibiting a pattern of openings and arranged at a distance to a backside wall (53) of said process chamber so that a process gas delivered to a gap (55) between the conductive plate (51) and said backside wall (53) does not ignite a plasma in the gap (55) during operation. In a second embodiment a first and second electrode are arranged within said process chamber adjacent each other with a gap in-between. The first electrode is connectable to a RF power source and the second electrode is connected to ground. The second electrode exhibits a pattern of openings and is arranged at a distance such that a process gas delivered to said gap does not ignite a plasma during operation.

Description

  • The present invention refers to a plasma processing apparatus or system with improved (low energy) ion bombardment properties and to a method for processing substrates in an apparatus of said kind. Plasma processing refers to deposition- and/or etching processes, heating, surface conditioning and other treatments of substrates.
  • BACKGROUND OF THE INVENTION
  • Many plasma processing systems known in the art are construed according to the so-called parallel plate reactor principle. A plasma processing apparatus of that kind is shown in FIG. 1. It comprises a process chamber 7 with walls defining an enclosure, a first plane electrode 1, a second plane electrode 2, both arranged within said process chamber 7, electrically connectable with at least one RF power source. Electrodes 1 and 2 define a plasma generation region 6. A substrate 5 to be processed is placed on a substrate holder or, as shown, directly on one of the electrodes. Thus the substrate is exposed to the effects of the plasma during processing. Process gas inlet means 3 as well as exhaust means 4 for removal of residual gases are shown schematically in FIG. 1. Process gas distribution means have been omitted.
  • Typically thin film silicon layers (amorphous, nano/microcrystalline material etc.) and its alloys with C, N, O etc. are deposited by the PECVD (plasma enhanced chemical vapor deposition) technique using this parallel plate setup and capacitive RF power coupling. Usually the substrates are placed on a grounded electrode whereas the other electrode serves as the RF powered electrode and as a gas distribution shower head (process gas distribution means). Using such a setup, homogeneous depositions of amorphous and nano/microcrystalline layers over large areas in the square meter range have been obtained successfully.
  • RELATED ART
  • In the classical parallel plate configuration the maximal possible ion energy is correlated in a well known manner (Köhler et al. J. Appl. Phys. 57 (1985), p. 59 and J. Appl. Phys. 58 (1985), p. 3350) with the applied RF peak/to/peak voltage which is closely correlated with the RF power applied. Ion bombardment with ions accelerated towards a substrate over a certain threshold voltage value in the process chamber creates defects in the deposited bulk material and damages sensitive interfaces and, hence, deteriorates the material quality and interface performance. Several attempts using VHF and/or high pressure deposition regime, triode configuration etc. were carried out to reduce this bombardment especially for the deposition of microcrystalline layers. Triode configurations lead to excellent material, but reduce the deposition rates because a recombination of radicals in the zone between grid and substrate takes place. Increased plasma excitations frequencies into the VHF/UHF regime reduces the necessary peak-to-peak voltage for a given power of the plasma, but again the ion-bombardment increases with the RF power and, hence, can not be controlled independently and adjusted alone.
  • An efficient manner to control the ion bombardment independently of the RF power could be realized by placing the substrates on a floating electrode. In this case only the floating potential, which is much lower than the plasma power dependent plasma potential, would accelerate ions towards the substrate leading to a considerable reduction of the maximum possible ion energies. However, simply allowing the grounded electrode to become electrically floating in the parallel plate setup would remove most of the electrical ground especially at large area applications due to the absence of the electrode ground potential. Only the grounded chamber walls in electrical contact with the plasma would remain as ground for the plasma.
  • There are Prior Art applications addressing this problem. FIG. 2 (cited from U.S. Pat. No. 7,090,705) shows a plasma processing apparatus comprising an electrode configuration facing a substrate 21 with a first electrode 24 and a second electrode 22 spaced apart by insulators 23. The second electrode 22 is arranged in a stripe pattern in a parallel plane to the first electrode 24, resulting in a structure of parallel trenches 26 with a part of the first electrode 24 acting as the trench base 27 and electrode 22 acting as trench shoulder. Electrical power, preferably RF power 25 is being applied between electrodes 22 and 24, such that plasma is generated in the trench 26 and adjacent to electrode(s) 22. Technically this trench 26 could be described as elongated cavity and might even be using a hollow cathode effect.
  • Process gas is being delivered to the trenches 26 via holes in the trench base 27. The smooth and even distribution of the process gas is essential for an effective operation and in order to achieve a homogeneous result of the processing, e.g. layer deposition or etching step.
  • The stripe pattern of electrode bars allows control of the plasma generation areas, however, the manufacturing effort is considerable, since the elements of second electrode 22 and insulators 23 have to be assembled individually; furthermore many drill holes are required in order to achieve a smooth and even process gas distribution.
  • For large area plasma deposition systems based on the parallel plate reactor principle the standing wave phenomenon will occur. This is due to the fact that with increasing RF/VHF frequency (>13.56 MHz and electrode diameters >1 m) the free space wavelength decreases and thus a standing wave in the reactor develops, starting from the point of delivery, the connecting point of the RF power to the electrode. The design shown in FIG. 2 tries to avoid this by multiple points of delivery for the RF power, one per electrode 22. However, this means that a costly and elaborate wiring is necessary in order to achieve at least partial independence of said phenomenon. The cost for such wiring will increase with increasing size of the electrode according to FIG. 2. However, the design according to FIG. 2 does not resolve the problem of the standing wave completely. Standing waves may still occur along the parallel trenches 26, especially for large area electrodes.
  • A further general problem of the parallel-plate reactor design, especially for large surfaces, lies in the fact, that the electrical current flow properties are not equal for all areas of the electrode(s). Regions close to the edges of the electrodes perceive “more” effective anode area than central areas of the electrodes due to the fact that the walls of the process chamber usually have ground connection and therefore will also act as an anode. A design as described in FIG. 2 cures this problem at least partially, because electrode surfaces are distributed and arranged in close relationship so this inhomogeneity, especially for the central regions of large areas electrodes will be reduced.
  • It is therefore an objective of the invention to avoid the disadvantages of the Prior Art designs, to demonstrate a lightweight, costeffective and scalable design of an electrode to be used in a plasma processing apparatus. It is further an object of the invention to provide for a method for plasma treatment of substrates with increased flexibility in terms of deposition rate, influence on crystallinity of deposited layers and layer homogeneity.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 shows a parallel plate reactor design (simplified) according to Prior Art.
  • FIG. 2 shows a reactor as described in Prior Art document U.S. Pat. No. 7,090,705
  • FIG. 3 shows a first embodiment of the invention in side view
  • FIG. 4 shows a top view on a perforated electrode according to the invention
  • FIG. 5 shows photographs made from a small scale reactor following the principles of the invention.
  • FIG. 6 shows a second embodiment of the invention in side view.
  • SOLUTION ACCORDING TO THE INVENTION
  • A plasma processing apparatus 30 comprises a process chamber with process chamber walls 35, process gas inlet means and process gas distribution means in said process chamber, exhaust means for removal of residual gases, at least a first and second electrode 31, 32 arranged within said process chamber, electrically connectable with at least one RF power source 39. Further a substrate mount 34 for a substrate 33 to be processed is being provided for. The second electrode 32 exhibits a pattern of openings and is arranged at a distance to the first electrode 31 so that a process gas delivered to the gap 38 between the electrodes 31, 32 does not ignite a plasma in the gap 38 during operation.
  • In an alternative embodiment a plasma processing apparatus 50 comprises a process chamber with process chamber walls 35, process gas inlet means and process gas distribution means in said process chamber, exhaust means for removal of residual gases, at least a perforated (conductive) RF plate 51 arranged within said process chamber, electrically connectable with at least one RF power source 39. Further a substrate mount 34 for a substrate 33 to be processed is provided for. The RF plate 51 exhibits a pattern of openings and is arranged at a distance to backside wall 53 so that a process gas delivered to a gap 55 between the RF plate 51 and backside wall 53 does not ignite a plasma in the gap 55 during operation.
  • A method for plasma processing of substrates comprises introducing a substrate 33 into a plasma processing apparatus 30, placing the substrate 33 on a substrate holding means 34 facing an electrode 32 with openings 36 therein, setting appropriate process conditions (pressure, process gases, temperature) and igniting localized plasmas 37 in the openings 36 of said electrode 32 and processing said substrate.
  • DETAILED DESCRIPTION OF THE INVENTION
  • The inventive solution is based on a modified electrode configuration for a plasma processing system (or plasma reactor) 30 as shown as a first embodiment's side view in FIG. 3.
  • A grounded plate 32 with holes or openings 36 (perforated ground plate) is arranged adjacent to an electrode 31 operatively connectable to a RF power source 39. Gas inlet means (not shown) are preferably provided for delivery of process gases into the gap 38 between grounded plate 32 and powered electrode 31. A commonly used gas shower-head can be also implemented in this setup by e.g. providing for holes in the powered electrode 31 located opposite the holes of the grounded electrode. The distance between said electrode 31 and grounded plate 32 is chosen such that in the gap 38 between electrode 31 and plate 32 no plasma will ignite (effective dark-space shielding). The distance can vary depending on the voltage and the RF frequency applied and the gas pressure and nature of gas set in the gap 38. Technically the distance can be set by the use of isolating spacers, such as ceramic screws, this way defining the separation distance between electrodes 32 and 31. In one embodiment the distance between the RF electrode 31 and the grounded plate 32 is arranged to be at around 1 to 3 mm.
  • By disposing the grounded plate 32 in vicinity to electrode 31 the plasma is forced to burn in the holes 36 of the electrically grounded plate 32. This way localized plasma(s) 37 can be generated. The spatial distribution of radicals produced in the burning localized plasma(s) 37 and the ratio of grounded/powered electrode area can be adjusted by a proper design of the distribution of the holes, the holes' diameters, the shape of the holes and their area density. This way it is additionally possible to achieve on substrate 33 a uniform layer with an excellent thickness uniformity and superior etch rate uniformity, respectively.
  • Moreover, the hollow cathode principle can be extended to these holes to even further enhance the plasma dissociation. In this case a preferred embodiment would comprise holes with a diameter of 1-30 mm, preferably 8-15 mm, further preferred 10 mm. The thickness of the perforated ground plate/electrode 32 can be selected between 1-15 mm, preferably 5-15 mm and 10 mm further preferred. Such “thick” electrode could then also be used to act as gas distribution means to allow dosing of process gas to the gap between electrodes 31 and 32.)
  • A substrate 33 can be located on a substrate holder 34, which again can be designed to be electrically floating, i.e. separated from the perforated ground electrode plate, the powered RF electrode 32 and the process chamber walls 35 by an appropriate distance (approx. 5 mm to 100 mm, depending on pressure, hole geometry etc.).
  • In a further embodiment an additional, separate, second RF power supply 40 can be connected between substrate holder 34 and ground, which will allow an independent bias and, hence, control of the moderate but sometimes still beneficial ion bombardment. By this crystallinity and/or density of a deposited layer can be varied to a larger degree, because the ions generated by the localized plasmas can be directed towards the substrate.
  • FIG. 4 shows a top view on perforated ground plate/electrode 32 with holes 36. Here a regular pattern of holes is being shown; however, the density of holes could be varied in order to compensate e. g. edge effects, standing wave effects etc. Electrode 32 can be manufactured from a single sheet of metal with a few mm thickness. Then, the holes can be easily laser-cut, which will also ease variations of the diameter of the holes and avoid the efforts of drilling including the problem of drill-breakage.
  • The products to be processed with an inventive plasma processing system include large area (>1 m2), essentially flat substrates, such as solar panels on glass and glass ceramics as well as other material (plastic, stainless steel), further display panels for TFT or other applications. The range of applications includes deposition and/or etching processes, heating, surface conditioning and other treatments of aforementioned substrates. Process gases useful in etching processes are CF4, SF6, Cl2, HCl, BCl3, O2 or others. For deposition of layers, especially semiconductor layers, gases like
  • Silane SiH4, disilane, dichlorosilane, SiF4, GeH4 etc. plus eventual dopants, ammonium NH3, nitrogen N2, Hydrazin etc. (for silicon nitride layers), N2O, CO2 and O2 etc. (for silicon oxide layers), hydrogen H2 (as dilutant for many deposition processes) are used with preference.
  • The plasma processing apparatus according to the invention can be used for RF/VHF frequencies between several hundred kHz to several hundred MHz. By far preferred are the industrially used 13.56 MHz plus its harmonics like 40 MHz, and more. A small-scale reactor in operation is shown in FIG. 5 a) and b), the localized plasmas are visible as bright spots.
  • In a third embodiment, the inventive principle can be further simplified, as shown in FIG. 6. Instead of using a powered electrode 31 in conjunction with a grounded, perforated plate 32 (FIG. 3) a perforated (conductive) RF plate 51 is being used. Plate 51 is connected to RF power source 39. The process chamber wall 53 just behind will act through the holes as anode. The distance between RF plate 51 and backside wall 53 (=part of process chamber walls 35) is chosen such that in the gap 55 no plasma will ignite (effective dark-space shielding). However, this space will, in connection with a gas distribution system (not shown) provide each localized plasma with process gases.
  • Localized plasmas 37 will ignite in the openings of RF plate 51. The perforated RF plate 51 allows compensating the effect of the standing wave effect in the same way as grounded plate 32 for the embodiment in FIG. 3 by adjusting size, density and configuration of the localized plasmas. The options and limitations cited above can be seamlessly applied also for this embodiment, unless indicated to the contrary.
  • In this third embodiment a plasma 52 adjacent to perforated RF plate 51, facing the processing region 54 might occur. This is due to the fact that not only between plate 51 and backside wall 53 an electric field is being established, but also between powered plate 51 and the other regions of process chamber walls 35.
  • A second RF power supply 40 can be advantageously connected between substrate holder 34 and ground, which will allow an independent bias and, hence, control of the moderate but sometimes still beneficial ion bombardment as described above for FIG. 3.
  • In order to avoid that substrate 34 is affected in unwanted manner by ion bombardment it should be taken care that no or at least minimal capacitively coupling occurs between substrate holder 34 and the residual process chamber wall 35.
  • Further Advantages of the Invention
  • By using a reactor setup according to the invention's first to third embodiment, one RF generator is used to generate locally the reactive radicals. This RF voltage generates a high bombardment, but this bombardment will be directed onto the RF electrode 31 or 51 and its corresponding ground connection (perforated ground plate 32 and backside wall 53) and not to a floating electrode or substrate holder 34 where the substrate 33 is placed and the soft deposition conditions should occur. Technically this means plasma generation and creation of radicals are being decoupled from the deposition, especially if a separate (RF) substrate bias voltage 40 is being used. Thus, the deposition rate can be increased by using higher frequencies and/or higher voltages without risking damage to the substrate.
  • Since the main and strong bombardment will not take place on the substrate the latter will be less heated up by the bombardment and, hence, will remain less affected by heating up during the deposition.
  • In contrast to the design of FIG. 2, where the standing wave effect is partially avoided by complex electrical wiring and arrangement of segmented electrodes 22, the inventive design takes the phenomenon into account and allows to compensate it by the hole pattern design as described above (diameter, arrangement, density etc. . . . ). This allows keeping the wiring simple, using only one or 2-4 connection points to one electrode and at the same time the constructional and assembly efforts for the electrodes are minimized.
  • It is possible to extend (scale up) the inventive design to an arbitrary size (very large area reactors, >3 m2) without an immense technological constructive effort.

Claims (6)

1. A plasma processing apparatus (30) comprising
a process chamber with process chamber walls (35), process gas inlet means and process gas distribution means, exhaust means for removal of residual gases, at least a first and second electrode (31, 32) arranged adjacent to each other thus forming a gap (38) in-between, at least one RF power source (39) electrically connectable with said electrodes (31, 32), and a substrate mount (34) for a substrate (33) facing second electrode (32), characterized in that the second electrode (32) exhibits a pattern of openings (36) and is arranged at such a distance to the first electrode (31) that a process gas delivered to the gap (38) between the electrodes (31, 32) does not ignite a plasma in the gap (38) during operation and wherein the second electrode is grounded.
2. An apparatus according to claim 1, wherein the distance between first electrode (31) and second electrode (32) is essentially between 1 to 3 mm.
3. An apparatus according to claim 1 or 2, wherein the second electrode is designed as a plate with a thickness between 1-15 mm.
4. An apparatus according to claims 1 or 2, wherein the substrate mount (34) is connectable to a second RF power supply (40).
5. A plasma processing apparatus (50) comprising a process chamber with process chamber walls (35), process gas inlet means and process gas distribution means in said process chamber, exhaust means for removal of residual gases, a conductive plate (51) arranged within said process chamber, electrically connectable with at least one RF power source (39) and a substrate mount (34) for a substrate (33) facing said conductive plate (51), characterized in that the conductive plate (51) exhibits a pattern of openings and is arranged at a distance to and facing a backside wall (53) of said process chamber so that a process gas delivered to a gap (55) between the conductive plate (51) and said backside wall (53) does not ignite a plasma in the gap (55) during operation.
6. An apparatus according to claim 5 wherein the substrate mount (34) is connectable to a second RF power supply (40).
US13/128,265 2008-05-02 2009-04-30 Plasma processing apparatus and method for the plasma processing of substrates Abandoned US20110272099A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/128,265 US20110272099A1 (en) 2008-05-02 2009-04-30 Plasma processing apparatus and method for the plasma processing of substrates

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US4989908P 2008-05-02 2008-05-02
US13/128,265 US20110272099A1 (en) 2008-05-02 2009-04-30 Plasma processing apparatus and method for the plasma processing of substrates
PCT/EP2009/055302 WO2009133189A1 (en) 2008-05-02 2009-04-30 Plasma processing apparatus and method for the plasma processing of substrates

Publications (1)

Publication Number Publication Date
US20110272099A1 true US20110272099A1 (en) 2011-11-10

Family

ID=40792878

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/128,265 Abandoned US20110272099A1 (en) 2008-05-02 2009-04-30 Plasma processing apparatus and method for the plasma processing of substrates
US12/989,967 Active 2030-01-25 US8518284B2 (en) 2008-05-02 2009-04-30 Plasma treatment apparatus and method for plasma-assisted treatment of substrates

Family Applications After (1)

Application Number Title Priority Date Filing Date
US12/989,967 Active 2030-01-25 US8518284B2 (en) 2008-05-02 2009-04-30 Plasma treatment apparatus and method for plasma-assisted treatment of substrates

Country Status (5)

Country Link
US (2) US20110272099A1 (en)
EP (2) EP2283510B1 (en)
CN (2) CN102017057B (en)
TW (1) TW201010525A (en)
WO (2) WO2009133189A1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120255678A1 (en) * 2011-04-11 2012-10-11 Lam Research Corporation Multi-Frequency Hollow Cathode System for Substrate Plasma Processing
US20130052811A1 (en) * 2008-10-02 2013-02-28 Varian Semiconductor Equipment Associates, Inc. Plasma uniformity control using biased array
US20170016117A1 (en) * 2013-06-21 2017-01-19 Universal Display Corporation Scalable triode pecvd source and system
WO2018045285A1 (en) * 2016-09-01 2018-03-08 Crockett Addison Randolph Apparatus for plasma processing on optical surfaces and methods of manufacturing and use thereof
US11380528B2 (en) 2017-06-14 2022-07-05 Hzo, Inc. Plasma processing apparatus

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2283510B1 (en) * 2008-05-02 2013-01-23 Oerlikon Solar AG, Trübbach Plasma treatment apparatus and method for plasma-assisted treatment of substrates
CN102110571B (en) * 2009-12-23 2015-01-14 北京北方微电子基地设备工艺研究中心有限责任公司 Plasma processing device
US9161427B2 (en) * 2010-02-17 2015-10-13 Vision Dynamics Holding B.V. Device and method for generating a plasma discharge for patterning the surface of a substrate
CN102333409B (en) * 2011-06-17 2013-01-02 深圳市华星光电技术有限公司 Atmospheric plasma device and manufacturing method thereof
JP5848140B2 (en) * 2012-01-20 2016-01-27 東京エレクトロン株式会社 Plasma processing equipment
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US20150087108A1 (en) * 2013-09-26 2015-03-26 Tel Solar Ag Process, Film, and Apparatus for Top Cell for a PV Device
US10580623B2 (en) * 2013-11-19 2020-03-03 Applied Materials, Inc. Plasma processing using multiple radio frequency power feeds for improved uniformity
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
JP6483259B2 (en) * 2015-06-29 2019-03-13 株式会社アルバック Substrate processing equipment
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017062087A1 (en) * 2015-10-08 2017-04-13 Applied Materials, Inc. Showerhead with reduced backside plasma ignition
US10596653B2 (en) * 2015-10-20 2020-03-24 Richard Keeton Cutting water table and methods of use
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US11742187B2 (en) * 2016-12-27 2023-08-29 Evatec Ag RF capacitive coupled etch reactor
US20180230597A1 (en) * 2017-02-14 2018-08-16 Applied Materials, Inc. Method and apparatus of remote plasmas flowable cvd chamber
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10699879B2 (en) * 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
CN110484895B (en) * 2018-05-14 2021-01-08 北京北方华创微电子装备有限公司 Chamber assembly and reaction chamber
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP2022519663A (en) * 2019-02-06 2022-03-24 エヴァテック・アーゲー Methods and equipment to generate ions
CN110092356B (en) * 2019-05-22 2021-04-02 浙江大学 Gas flow controlled surface dielectric barrier discharge ozone generating device and method

Citations (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4262631A (en) * 1979-10-01 1981-04-21 Kubacki Ronald M Thin film deposition apparatus using an RF glow discharge
US4601807A (en) * 1985-01-17 1986-07-22 International Business Machines Corporation Reactor for plasma desmear of high aspect ratio hole
US4826585A (en) * 1986-09-23 1989-05-02 Nordiko Limited Plasma processing apparatus
US4854263A (en) * 1987-08-14 1989-08-08 Applied Materials, Inc. Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US5248371A (en) * 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
US5423971A (en) * 1993-01-19 1995-06-13 Leybold Aktiengesellschaft Arrangement for coating substrates
US5627435A (en) * 1993-07-12 1997-05-06 The Boc Group, Inc. Hollow cathode array and method of cleaning sheet stock therewith
US5872426A (en) * 1997-03-18 1999-02-16 Stevens Institute Of Technology Glow plasma discharge device having electrode covered with perforated dielectric
US6001431A (en) * 1992-12-28 1999-12-14 Semiconductor Energy Laboratory Co., Ltd. Process for fabricating a magnetic recording medium
US6137231A (en) * 1996-09-10 2000-10-24 The Regents Of The University Of California Constricted glow discharge plasma source
US20010006093A1 (en) * 1999-12-07 2001-07-05 Toshihiro Tabuchi Surface treatment apparatus
US6261406B1 (en) * 1999-01-11 2001-07-17 Lsi Logic Corporation Confinement device for use in dry etching of substrate surface and method of dry etching a wafer surface
US6281469B1 (en) * 1997-01-17 2001-08-28 Unaxis Balzers Aktiengesellschaft Capacitively coupled RF-plasma reactor
US20010020582A1 (en) * 1999-04-28 2001-09-13 Hana Barankova Method and apparatus for plasma treatment of gas
US20010042799A1 (en) * 2000-02-16 2001-11-22 Apex Co. Ltd. Showerhead apparatus for radical-assisted deposition
US6350961B1 (en) * 1997-12-08 2002-02-26 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung E.V. Method and device for improving surfaces
US20020069968A1 (en) * 2000-01-20 2002-06-13 Ernst Keller Suspended gas distribution manifold for plasma chamber
US20020122896A1 (en) * 2001-03-02 2002-09-05 Skion Corporation Capillary discharge plasma apparatus and method for surface treatment using the same
US6446572B1 (en) * 2000-08-18 2002-09-10 Tokyo Electron Limited Embedded plasma source for plasma density improvement
US6451160B1 (en) * 1999-03-12 2002-09-17 Sharp Kabushiki Kaisha Plasma generation apparatus with a conductive connection member that electrically connects the power source to the electrode
US20020129769A1 (en) * 2001-03-19 2002-09-19 Apex Co. Ltd. Chemical vapor deposition apparatus
US6468386B1 (en) * 1999-03-08 2002-10-22 Trikon Holdings Ltd. Gas delivery system
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US20030052096A1 (en) * 2001-07-02 2003-03-20 Plasmasol, Llc Novel electrode for use with atmospheric pressure plasma emitter apparatus and method for using the same
US20030207033A1 (en) * 2002-05-06 2003-11-06 Applied Materials, Inc. Method and apparatus for deposition of low dielectric constant materials
US20040123800A1 (en) * 2002-08-08 2004-07-01 Ole Schlottmann Showerheads
US20040129211A1 (en) * 2003-01-07 2004-07-08 Applied Materials, Inc. Tunable gas distribution plate assembly
US20040206305A1 (en) * 2003-04-16 2004-10-21 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US6857387B1 (en) * 2000-05-03 2005-02-22 Applied Materials, Inc. Multiple frequency plasma chamber with grounding capacitor at cathode
US6886240B2 (en) * 2003-07-11 2005-05-03 Excellatron Solid State, Llc Apparatus for producing thin-film electrolyte
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US20050251990A1 (en) * 2004-05-12 2005-11-17 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US20060228496A1 (en) * 2004-05-12 2006-10-12 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US20090218212A1 (en) * 2008-02-28 2009-09-03 Tokyo Electron Limited Hollow cathode device and method for using the device to control the uniformity of a plasma process
US7721673B2 (en) * 2006-11-03 2010-05-25 Industrial Technology Research Institute Hollow cathode discharging apparatus
US20100218721A1 (en) * 2007-09-05 2010-09-02 Atomic Energy Council - Institute Of Nuclear Energy Research Hollow-cathode discharge apparatus for plasma-based processing
US8518284B2 (en) * 2008-05-02 2013-08-27 Tel Solar Ag Plasma treatment apparatus and method for plasma-assisted treatment of substrates

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000070117A1 (en) 1999-05-14 2000-11-23 The Regents Of The University Of California Low-temperature compatible wide-pressure-range plasma flow device
US6228438B1 (en) * 1999-08-10 2001-05-08 Unakis Balzers Aktiengesellschaft Plasma reactor for the treatment of large size substrates
JP2001164371A (en) * 1999-12-07 2001-06-19 Nec Corp Plasma cvd system and plasma cvd film deposition method
TWI307912B (en) * 2001-05-30 2009-03-21 Asm Inc Low temperature load and bake
KR100476136B1 (en) * 2002-12-02 2005-03-10 주식회사 셈테크놀러지 Apparatus for treating the surface of a substrate with atmospheric pressure plasma
DE112004000057B4 (en) * 2003-05-27 2008-09-25 Matsushita Electric Works, Ltd., Kadoma Plasma treatment apparatus and plasma treatment method
US7244474B2 (en) * 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7449220B2 (en) * 2004-04-30 2008-11-11 Oc Oerlikon Blazers Ag Method for manufacturing a plate-shaped workpiece
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US20070037408A1 (en) * 2005-08-10 2007-02-15 Hitachi Metals, Ltd. Method and apparatus for plasma processing
JP2007250967A (en) * 2006-03-17 2007-09-27 Tokyo Electron Ltd Plasma treating apparatus and method, and focus ring

Patent Citations (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4262631A (en) * 1979-10-01 1981-04-21 Kubacki Ronald M Thin film deposition apparatus using an RF glow discharge
US4601807A (en) * 1985-01-17 1986-07-22 International Business Machines Corporation Reactor for plasma desmear of high aspect ratio hole
US4826585A (en) * 1986-09-23 1989-05-02 Nordiko Limited Plasma processing apparatus
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US4854263A (en) * 1987-08-14 1989-08-08 Applied Materials, Inc. Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US5248371A (en) * 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
US6001431A (en) * 1992-12-28 1999-12-14 Semiconductor Energy Laboratory Co., Ltd. Process for fabricating a magnetic recording medium
US5423971A (en) * 1993-01-19 1995-06-13 Leybold Aktiengesellschaft Arrangement for coating substrates
US5627435A (en) * 1993-07-12 1997-05-06 The Boc Group, Inc. Hollow cathode array and method of cleaning sheet stock therewith
US6137231A (en) * 1996-09-10 2000-10-24 The Regents Of The University Of California Constricted glow discharge plasma source
US6281469B1 (en) * 1997-01-17 2001-08-28 Unaxis Balzers Aktiengesellschaft Capacitively coupled RF-plasma reactor
US5872426A (en) * 1997-03-18 1999-02-16 Stevens Institute Of Technology Glow plasma discharge device having electrode covered with perforated dielectric
US6350961B1 (en) * 1997-12-08 2002-02-26 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung E.V. Method and device for improving surfaces
US6261406B1 (en) * 1999-01-11 2001-07-17 Lsi Logic Corporation Confinement device for use in dry etching of substrate surface and method of dry etching a wafer surface
US6468386B1 (en) * 1999-03-08 2002-10-22 Trikon Holdings Ltd. Gas delivery system
US6451160B1 (en) * 1999-03-12 2002-09-17 Sharp Kabushiki Kaisha Plasma generation apparatus with a conductive connection member that electrically connects the power source to the electrode
US20010020582A1 (en) * 1999-04-28 2001-09-13 Hana Barankova Method and apparatus for plasma treatment of gas
US20010006093A1 (en) * 1999-12-07 2001-07-05 Toshihiro Tabuchi Surface treatment apparatus
US20020069968A1 (en) * 2000-01-20 2002-06-13 Ernst Keller Suspended gas distribution manifold for plasma chamber
US20010042799A1 (en) * 2000-02-16 2001-11-22 Apex Co. Ltd. Showerhead apparatus for radical-assisted deposition
US6435428B2 (en) * 2000-02-16 2002-08-20 Apex Co., Ltd. Showerhead apparatus for radical-assisted deposition
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US6857387B1 (en) * 2000-05-03 2005-02-22 Applied Materials, Inc. Multiple frequency plasma chamber with grounding capacitor at cathode
US6446572B1 (en) * 2000-08-18 2002-09-10 Tokyo Electron Limited Embedded plasma source for plasma density improvement
US20040036397A1 (en) * 2001-03-02 2004-02-26 Plasmion Corporation Capillary discharge plasma apparatus and method for surface treatment using the same
US20020122896A1 (en) * 2001-03-02 2002-09-05 Skion Corporation Capillary discharge plasma apparatus and method for surface treatment using the same
US20020129769A1 (en) * 2001-03-19 2002-09-19 Apex Co. Ltd. Chemical vapor deposition apparatus
US6886491B2 (en) * 2001-03-19 2005-05-03 Apex Co. Ltd. Plasma chemical vapor deposition apparatus
US7410676B2 (en) * 2001-03-19 2008-08-12 Ips Ltd. Chemical vapor deposition method
US20050217582A1 (en) * 2001-03-19 2005-10-06 Apex Co., Ltd. Chemical vapor deposition method
US20030052096A1 (en) * 2001-07-02 2003-03-20 Plasmasol, Llc Novel electrode for use with atmospheric pressure plasma emitter apparatus and method for using the same
US7098420B2 (en) * 2001-07-02 2006-08-29 Plasmasol Corporation Electrode for use with atmospheric pressure plasma emitter apparatus and method for using the same
US20030207033A1 (en) * 2002-05-06 2003-11-06 Applied Materials, Inc. Method and apparatus for deposition of low dielectric constant materials
US20040123800A1 (en) * 2002-08-08 2004-07-01 Ole Schlottmann Showerheads
US20040129211A1 (en) * 2003-01-07 2004-07-08 Applied Materials, Inc. Tunable gas distribution plate assembly
US20040206305A1 (en) * 2003-04-16 2004-10-21 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US6886240B2 (en) * 2003-07-11 2005-05-03 Excellatron Solid State, Llc Apparatus for producing thin-film electrolyte
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US20050251990A1 (en) * 2004-05-12 2005-11-17 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US20060228496A1 (en) * 2004-05-12 2006-10-12 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US7721673B2 (en) * 2006-11-03 2010-05-25 Industrial Technology Research Institute Hollow cathode discharging apparatus
US20100218721A1 (en) * 2007-09-05 2010-09-02 Atomic Energy Council - Institute Of Nuclear Energy Research Hollow-cathode discharge apparatus for plasma-based processing
US20090218212A1 (en) * 2008-02-28 2009-09-03 Tokyo Electron Limited Hollow cathode device and method for using the device to control the uniformity of a plasma process
US8409459B2 (en) * 2008-02-28 2013-04-02 Tokyo Electron Limited Hollow cathode device and method for using the device to control the uniformity of a plasma process
US20130228284A1 (en) * 2008-02-28 2013-09-05 Tokyo Electron Limited Hollow cathode device and method for using the device to control the uniformity of a plasma process
US8518284B2 (en) * 2008-05-02 2013-08-27 Tel Solar Ag Plasma treatment apparatus and method for plasma-assisted treatment of substrates

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
D. B. Hopkins (1975). "Design Considerations and Data for Gas-Insulated High Voltage Structures." - Report Number: LBL-4431. pp. 1-5. Source location: Berkeley Lab Publications System https://publications.lbl.gov/. Available: https://publications.lbl.gov/islandora/object/ir%3A103748. Accessed: 23 April 2016. *

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130052811A1 (en) * 2008-10-02 2013-02-28 Varian Semiconductor Equipment Associates, Inc. Plasma uniformity control using biased array
US8834732B2 (en) * 2008-10-02 2014-09-16 Varian Semiconductor Equipment Associates, Inc. Plasma uniformity control using biased array
US20120255678A1 (en) * 2011-04-11 2012-10-11 Lam Research Corporation Multi-Frequency Hollow Cathode System for Substrate Plasma Processing
US20170016117A1 (en) * 2013-06-21 2017-01-19 Universal Display Corporation Scalable triode pecvd source and system
WO2018045285A1 (en) * 2016-09-01 2018-03-08 Crockett Addison Randolph Apparatus for plasma processing on optical surfaces and methods of manufacturing and use thereof
US11380528B2 (en) 2017-06-14 2022-07-05 Hzo, Inc. Plasma processing apparatus

Also Published As

Publication number Publication date
EP2274764A1 (en) 2011-01-19
EP2283510A1 (en) 2011-02-16
CN102017057B (en) 2012-11-28
CN102017057A (en) 2011-04-13
TW201010525A (en) 2010-03-01
EP2283510B1 (en) 2013-01-23
US8518284B2 (en) 2013-08-27
WO2009133189A1 (en) 2009-11-05
WO2009133193A1 (en) 2009-11-05
CN102017056B (en) 2013-11-20
US20110049102A1 (en) 2011-03-03
CN102017056A (en) 2011-04-13

Similar Documents

Publication Publication Date Title
US20110272099A1 (en) Plasma processing apparatus and method for the plasma processing of substrates
TWI460786B (en) A plasma processing apparatus, a plasma processing method, and a memory medium
US7988814B2 (en) Plasma processing apparatus, plasma processing method, focus ring, and focus ring component
EP2407998B1 (en) Plasma processing in a capacitively-coupled reactor with trapezoidal-waveform excitation
US20100024729A1 (en) Methods and apparatuses for uniform plasma generation and uniform thin film deposition
JPH09312268A (en) Plasma enhanced chemical vapor deposition system and plasma etching device
JP5377749B2 (en) Plasma generator
US20100186671A1 (en) Arrangement for working substrates by means of plasma
US20210280389A1 (en) Large-area vhf pecvd chamber for low-damage and high-throughput plasma processing
JP5105898B2 (en) Silicon thin film deposition method
JPH1116843A (en) Electronic device manufacturing system
EP2211369A1 (en) Arrangement for working substrates by means of plasma
JP2003243365A (en) Plasma etching method
US8704445B2 (en) Method for improving uniformity of high-frequency plasma discharge by means of frequency modulation
JP5329796B2 (en) Plasma processing equipment
JP2007273773A (en) Plasma treatment device, and method of cleaning same
US20220119954A1 (en) Substrate processing tool capable of modulating one or more plasma temporally and/or spatially
JPH10265212A (en) Production of microcrystal and polycrystal silicon thin films
JP5038769B2 (en) Plasma processing equipment
JP4194466B2 (en) Plasma process apparatus and electronic device manufacturing method using the same
KR20100008052A (en) Chemical vapor deposition apparatus
JP2005353636A (en) Plasma processing apparatus
JP2006086470A (en) Plasma generator
JPH11111622A (en) Plasma chemical vapor deposition apparatus
KR101111062B1 (en) Apparatus for plasma processing

Legal Events

Date Code Title Description
AS Assignment

Owner name: OERLIKON SOLAR AG, TRUBBACH, SWITZERLAND

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:KROLL, ULRICH;LEGRADIC, BORIS;SIGNING DATES FROM 20110727 TO 20110926;REEL/FRAME:027060/0960

AS Assignment

Owner name: TEL SOLAR AG, SWITZERLAND

Free format text: CHANGE OF NAME;ASSIGNOR:OERLIKON SOLAR AG, TRUBBACH;REEL/FRAME:030578/0289

Effective date: 20121207

AS Assignment

Owner name: OC OERLIKON BALZERS AG, LIECHTENSTEIN

Free format text: LICENSE;ASSIGNOR:TEL SOLAR AG;REEL/FRAME:033459/0821

Effective date: 20081001

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION