US20110215469A1 - Method for forming a double embossing structure - Google Patents

Method for forming a double embossing structure Download PDF

Info

Publication number
US20110215469A1
US20110215469A1 US13/108,811 US201113108811A US2011215469A1 US 20110215469 A1 US20110215469 A1 US 20110215469A1 US 201113108811 A US201113108811 A US 201113108811A US 2011215469 A1 US2011215469 A1 US 2011215469A1
Authority
US
United States
Prior art keywords
layer
metal layer
metal
microns
thickness
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/108,811
Inventor
Hsin-Jung Lo
Mou-Shiung Lin
Chiu-Ming Chou
Chien-Kang Chou
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Qualcomm Inc
Original Assignee
Megica Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Megica Corp filed Critical Megica Corp
Priority to US13/108,811 priority Critical patent/US20110215469A1/en
Assigned to MEGICA CORPORATION reassignment MEGICA CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHOU, CHIEN-KANG, LIN, MOU-SHIUNG, LO, HSIN-JUNG, CHOU, CHIU-MING
Publication of US20110215469A1 publication Critical patent/US20110215469A1/en
Assigned to MEGIT ACQUISITION CORP. reassignment MEGIT ACQUISITION CORP. MERGER (SEE DOCUMENT FOR DETAILS). Assignors: MEGICA CORPORATION
Assigned to QUALCOMM INCORPORATED reassignment QUALCOMM INCORPORATED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MEGIT ACQUISITION CORP.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L24/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/0212Auxiliary members for bonding areas, e.g. spacers
    • H01L2224/02122Auxiliary members for bonding areas, e.g. spacers being formed on the semiconductor or solid-state body
    • H01L2224/02163Auxiliary members for bonding areas, e.g. spacers being formed on the semiconductor or solid-state body on the bonding area
    • H01L2224/02165Reinforcing structures
    • H01L2224/02166Collar structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0231Manufacturing methods of the redistribution layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/0347Manufacturing methods using a lift-off mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04042Bonding areas specifically adapted for wire connectors, e.g. wirebond pads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04073Bonding areas specifically adapted for connectors of different types
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05075Plural internal layers
    • H01L2224/0508Plural internal layers being stacked
    • H01L2224/05083Three-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05556Shape in side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05567Disposition the external layer being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05568Disposition the whole external layer protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05644Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/1147Manufacturing methods using a lift-off mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13021Disposition the bump connector being disposed in a recess of the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13023Disposition the whole bump connector protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13144Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • H01L2224/451Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/45138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/45144Gold (Au) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • H01L2224/451Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/45138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/45147Copper (Cu) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/484Connecting portions
    • H01L2224/48463Connecting portions the connecting portion on the bonding area of the semiconductor or solid-state body being a ball bond
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/485Material
    • H01L2224/48505Material at the bonding interface
    • H01L2224/48599Principal constituent of the connecting portion of the wire connector being Gold (Au)
    • H01L2224/486Principal constituent of the connecting portion of the wire connector being Gold (Au) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/48638Principal constituent of the connecting portion of the wire connector being Gold (Au) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/48644Gold (Au) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/485Material
    • H01L2224/48505Material at the bonding interface
    • H01L2224/48799Principal constituent of the connecting portion of the wire connector being Copper (Cu)
    • H01L2224/488Principal constituent of the connecting portion of the wire connector being Copper (Cu) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/48838Principal constituent of the connecting portion of the wire connector being Copper (Cu) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/48844Gold (Au) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L24/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00011Not relevant to the scope of the group, the symbol of which is combined with the symbol of this group
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01007Nitrogen [N]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01011Sodium [Na]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01014Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01015Phosphorus [P]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01023Vanadium [V]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01024Chromium [Cr]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01027Cobalt [Co]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01028Nickel [Ni]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01032Germanium [Ge]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01044Ruthenium [Ru]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01045Rhodium [Rh]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01046Palladium [Pd]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01047Silver [Ag]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0105Tin [Sn]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01073Tantalum [Ta]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01075Rhenium [Re]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01082Lead [Pb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04944th Group
    • H01L2924/04941TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04955th Group
    • H01L2924/04953TaN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/050414th Group
    • H01L2924/05042Si3N4
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/095Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00 with a principal constituent of the material being a combination of two or more materials provided in the groups H01L2924/013 - H01L2924/0715
    • H01L2924/097Glass-ceramics, e.g. devitrified glass
    • H01L2924/09701Low temperature co-fired ceramic [LTCC]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/10251Elemental semiconductors, i.e. Group IV
    • H01L2924/10253Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1027IV
    • H01L2924/10271Silicon-germanium [SiGe]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/10329Gallium arsenide [GaAs]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1305Bipolar Junction Transistor [BJT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/15786Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2924/15787Ceramics, e.g. crystalline carbides, nitrides or oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/15786Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2924/15788Glasses, e.g. amorphous oxides, nitrides or fluorides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19041Component type being a capacitor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19043Component type being a resistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/30107Inductance

Definitions

  • the invention relates to a process with a seed layer for two steps of electroplating and the corresponding structure, and more particularly to a metal layer deposited at the second step of electroplating capable of being used as a metal pad used to be wirebonded thereto or to have a gold bump or solder bump formed thereover, of being used as a metal bump, or of being used as metal vias connecting neighboring two patterned circuit layers.
  • Some reference teaches a process with a seed layer for two steps of electroplating, as follows;
  • Nobuhisa et al. (U.S. Pat. No. 6,707,159) teach a process with a seed layer for two steps of electroplating two gold layers for chip-on-chip package or for chip-on-PCB package.
  • Chiu-Ming et al. (US2006/0019490) teach a process with a seed layer for two steps of electroplating two gold layers, of electroplating a copper layer and a gold layer, or of electroplating a copper/gold layer and a solder layer.
  • Mou-Shiung Lin et al. (US2005/0277283) teach a process with a seed layer for two steps of electroplating.
  • the invention provides a method for fabricating a circuitry component comprising depositing a first metal layer over a substrate; forming a first pattern-defining layer over said first metal layer, a first opening in said first pattern-defining layer exposing said first metal layer; depositing a second metal layer over said first metal layer exposed by said first opening; removing said first pattern-defining layer; forming a second pattern-defining layer over said second metal layer, a second opening in said second pattern-defining layer exposing said second metal layer; depositing a third metal layer over said second metal layer exposed by said second opening; removing said second pattern-defining layer; after said removing said second pattern-defining layer, removing said first metal layer not under said second metal layer; and after said removing said first metal layer, forming a polymer layer over said second metal layer, wherein said third metal layer is used as a metal bump bonded to an external circuitry.
  • the invention provides another method for fabricating a circuitry component comprising depositing a first metal layer over a substrate; forming a first pattern-defining layer over said first metal layer, a first opening in said first pattern-defining layer exposing said first metal layer; depositing a second metal layer over said first metal layer exposed by said first opening; removing said first pattern-defining layer; forming a second pattern-defining layer over said second metal layer, a second opening in said second pattern-defining layer exposing said second metal layer; depositing a third metal layer over said second metal layer exposed by said second opening; and removing said second pattern-defining layer, wherein said third metal layer is used to be wirebonded thereto.
  • the invention provides another method for fabricating a circuitry component comprising depositing a first metal layer over a substrate; forming a first pattern-defining layer over said first metal layer, a first opening in said first pattern-defining layer exposing said first metal layer; depositing a second metal layer over said first metal layer exposed by said first opening; removing said first pattern-defining layer; forming a polymer layer over said second metal layer and part of said first metal layer; and removing said first metal layer not under said second metal layer and not under said polymer layer.
  • FIGS. 1-17 are cross-sectional views showing a process with a seed layer for two steps of electroplating, wherein the metal layer deposited at the second step of electroplating is capable of being used as a metal pad used to be wirebonded thereto or to have a gold bump or solder bump formed thereover, or of being used as a metal bump.
  • FIGS. 18-30 are cross-sectional views showing a process with a seed layer for two steps of electroplating, wherein the metal layer deposited at the second step of electroplating is capable of being a metal via connecting neighboring two coils.
  • FIGS. 31-56 are cross-sectional views showing a process with a seed layer for two steps of electroplating, wherein the metal layer deposited at the second step of electroplating is capable of being a metal via connecting neighboring two circuit layers.
  • FIGS. 57-68 are cross-sectional views showing a process with a patterned polymer layer formed before a seed layer and adhesion/barrier layer is removed.
  • the illustrated processes and structures are applied when the PI cap is formed after the double embossed structure is finished for a semiconductor wafer.
  • a semiconductor substrate 30 is provided, and the semiconductor substrate 30 may be Si substrate, GaAs substrate, GeSi substrate or SOI (silicon-on-insulator) substrate.
  • the semiconductor substrate 30 is a circular semiconductor wafer.
  • the semiconductor substrate 30 has an active surface having multiple electronic elements 32 , which are formed via doping trivalent or pentavalent ions, such as boron ions or phosphorus ions.
  • the electronic elements 32 may be MOS transistors, MOS devices, p-channel MOS devices, n-channel MOS devices, BiCMOS devices, Bipolar Junction Transistors, diffusion areas, resistors, capacitors, or CMOS devices.
  • a multiple thin-film insulating layers 36 and multiple thin-film circuit layers 38 are formed over the active surface of the semiconductor substrate 30 .
  • Each of the thin-film insulating layers 36 has a thickness less than 3 ⁇ m.
  • Each of the thin-film circuit layers 38 has a thickness less than 3 ⁇ m.
  • the thin-film circuit layers 38 are made of a copper material or an aluminum material.
  • the thin-film insulating layers 36 are usually formed with a CVD (Chemical Vapor Deposition) method.
  • the material of the thin-film insulating layers 36 may be silicon oxide, TEOS (Tetraethoxysilane), SiwCxOyHz, compound of silicon and nitrogen/compound of silicon, nitrogen and oxygen, SOG (Spin-On Glass), FSG (Fluoro-Silicate Glass), SiLK, black diamond, polyarylene ether, PBO (Polybenzoxazole), or porous silicon oxide.
  • the dielectric constant of the thin-film insulating layers 36 may be lower than 3.
  • a diffusion-barrier layer is firstly sputtered on the upper surface of one of the thin-film insulating layers 36 and on the bottoms and the sidewalls of the openings in said one of the thin-film insulating layers 36 ; next, a seed layer, such as copper, is sputtered on the diffusion-barrier layer; next, another copper layer is electroplated on the seed layer; and then, the electroplated copper layer, seed layer and diffusion-barrier layer outside the openings in said one of the thin-film insulating layers 36 are removed with a chemical mechanical polishing (CMP) method until the upper surface of said one of the thin-film insulating layers 36 is exposed.
  • CMP chemical mechanical polishing
  • an aluminum layer or an aluminum-copper alloy layer is sputtered on one of the thin-film insulating layers 36 ; and then, the aluminum layer or the aluminum-copper alloy layer is patterned with photolithographic and etching processes.
  • the thin-film circuit layers 38 can be interconnected or connected to the electronic elements 32 via conductive vias in openings in the thin-film insulating layers 36 .
  • the thickness of one of the thin-film circuit layers 38 is generally between 0.1 and 0.5 ⁇ m.
  • the thin-film circuit layers 38 are fabricated with a 5 ⁇ stepper or 5 ⁇ scanner or other superior equipment in the step of a photolithographic process.
  • a passivation layer 42 is formed over the thin-film insulating layers 36 and the thin-film circuit layers 38 with a CVD method.
  • the passivation layer 42 can protect the electronic elements 32 in the semiconductor substrate 30 from foreign ion contamination.
  • the passivation layer 42 can retard the penetration of mobile ions (such as sodium ions), moisture, transition metals (such as gold, silver, and copper) and impurities. Thereby, the passivation layer 42 can protect the thin-film circuit layers 38 , the thin-film insulating layers 36 and the underlying electronic elements 32 including: transistors, polysilicon resistors, polysilicon-polysilicon capacitors.
  • the passivation layer 42 is usually composed of silicon oxide, compounds of silicon and oxygen, silicate and phosphate glass, silicon nitride, or silicon oxy-nitride, etc. Below, ten methods for depositing the passivation layer 42 are to be introduced.
  • a silicon oxide layer with a thickness of between 0.2 and 1.2 ⁇ m is formed with a CVD method; and next, a silicon nitride layer with a thickness of between 0.2 and 1.2 ⁇ m is formed on the silicon oxide with a CVD method.
  • a silicon oxide layer with a thickness of between 0.2 and 1.2 ⁇ m is formed with a CVD method; next, a silicon oxy-nitride layer with a thickness of between 0.05 and 0.15 ⁇ m is formed on the silicon oxide with a plasma-enhanced CVD method; and next, a silicon nitride layer with a thickness of between 0.2 and 1.2 ⁇ m is formed on the silicon oxy-nitride layer with a CVD method.
  • a silicon oxy-nitride layer with a thickness of between 0.05 and 0.15 ⁇ m is formed with a CVD method; next, a silicon oxide layer with a thickness of between 0.2 and 1.2 ⁇ m is formed on the silicon oxy-nitride layer with a CVD method; and next, a silicon nitride layer with a thickness of between 0.2 and 1.2 ⁇ m is formed on the silicon oxide layer with a CVD method.
  • a first silicon oxide layer with a thickness of between 0.2 and 0.5 ⁇ m is formed with a CVD method; next, a second silicon oxide layer with a thickness of between 0.5 and 1 ⁇ m is formed on the first silicon oxide layer with a spin-coating method; next, a third silicon oxide layer with a thickness of between 0.2 and 0.5 ⁇ m is formed on the second silicon oxide layer with a CVD method; and next, a silicon nitride layer with a thickness of between 0.2 and 1.2 ⁇ m is formed on the third silicon oxide layer with a CVD method.
  • a silicon oxide layer with a thickness of between 0.5 and 2 ⁇ m is formed with a HDP-CVD (High Density Plasma-Chemical Vapor Deposition) method; and next, a silicon nitride layer with a thickness of between 0.2 and 1.2 ⁇ m is formed on the silicon oxide layer with a CVD method.
  • HDP-CVD High Density Plasma-Chemical Vapor Deposition
  • a USG (Undopcd Silicate Glass) layer with a thickness of between 0.2 and 3 ⁇ m is firstly formed; next, an insulating layer with a thickness of between 0.5 and 3 ⁇ m, such as TEOS, BPSG (Borophosphosilicate Glass) or PSG (Borophosphosilicate Glass), is formed on the USG layer; and next, a silicon nitride layer with a thickness of between 0.2 and 1.2 ⁇ m is formed on the insulating layer with a CVD method.
  • a first silicon oxy-nitride layer with a thickness of between 0.05 and 0.15 ⁇ m is optionally formed with a CVD method; next, a silicon oxide layer with a thickness of between 0.2 and 1.2 ⁇ m is formed on the first silicon oxy-nitride layer with a CVD method; next, a second silicon oxy-nitride layer with a thickness of between 0.05 and 0.15 ⁇ m is optionally formed on the silicon oxide layer with a CVD method; next, a silicon nitride layer with a thickness of between 0.2 and 1.2 ⁇ m is formed on the second silicon oxy-nitride layer or on the silicon oxide layer with a CVD method; next, a third silicon oxy-nitride layer with a thickness of between 0.05 and 0.15 ⁇ m is optionally formed on the silicon nitride layer with a CVD method; and next, a silicon oxide layer with a thickness of between 0.2 and 1.2 ⁇ m is formed on the third silicon oxy-n
  • a first silicon oxide layer with a thickness of between 0.2 and 1.2 ⁇ m is formed with a PECVD (Plasma Enhanced Chemical Vapor Deposition) method; next, a second silicon oxide layer with a thickness of between 0.5 and 1 ⁇ m is formed on the first silicon oxide layer with a spin-coating method; next, a third silicon oxide layer with a thickness of between 0.2 and 1.2 ⁇ m is formed on the second silicon oxide layer with a CVD method; next, a silicon nitride layer with a thickness of between 0.2 and 1.2 ⁇ m is formed on the third silicon oxide layer with a CVD method; and next, a fourth silicon oxide layer with a thickness of between 0.2 and 1.2 ⁇ m is formed on the silicon nitride layer with a CVD method.
  • PECVD Pullasma Enhanced Chemical Vapor Deposition
  • a first silicon oxide layer with a thickness of between 0.5 and 2 ⁇ m is formed with a HDP-CVD method; next, a silicon nitride layer with a thickness of between 0.2 and 1.2 ⁇ m is formed on the first silicon oxide layer with a CVD method; and next, a second silicon oxide layer with a thickness of between 0.5 and 2 ⁇ m is formed on the silicon nitride layer with a HDP-CVD method.
  • a first silicon nitride layer with a thickness of between 0.2 and 1.2 ⁇ m is formed with a CVD method; next, a silicon oxide layer with a thickness of between 0.2 and 1.2 ⁇ m is formed on the first silicon nitride layer with a CVD method; and next, a second silicon nitride layer with a thickness of between 0.2 and 1.2 ⁇ m is formed on the silicon oxide layer with a CVD method.
  • the total thickness of the passivation layer 42 is generally more than 0.35 ⁇ m, and the thickness of the silicon nitride layer is generally more than 0.3 ⁇ m under an optimal condition.
  • the passivation layer 42 comprises a topmost silicon-nitride layer of the completed semiconductor wafer or chip.
  • the passivation layer 42 comprises a topmost silicon-oxide layer of the completed semiconductor wafer or chip.
  • the passivation layer 42 comprises a topmost silicon-oxynitride layer of the completed semiconductor wafer or chip.
  • the passivation layer 42 comprises a topmost CVD-formed layer of the completed semiconductor wafer or chip.
  • the patterned first polymer layer 220 can be formed by spin coating a first polymer layer of polyimide, benzo-cyclo-butene (BCB), parylene-based material, epoxy-based material, or elastomer, with a thickness of between 2 and 50 microns, and preferably between 8 and 30 microns, on the passivation layer 42 .
  • BCB benzo-cyclo-butene
  • the spin-coated first polymer layer is photosensitive, a photolithography process including exposing and developing steps can be used to pattern the spin-coated first polymer layer.
  • the first polymer layer is cured at the temperature of 300 and 450 degrees centigrade if the spin-coated first polymer layer is polyimide.
  • the patterned first polymer layer 220 after being cured may have a thickness t 1 of between 2 and 50 microns, and preferably between 6 and 20 microns.
  • spin-coated first polymer layer is non-photosensitive, photolithography and etching processes are typically needed to pattern the spin-coated first polymer layer.
  • the patterned first polymer layer 220 can be formed by screen printing a patterned polymer layer of polyimide, benzocyclobutene (BCB), parylene-based material or epoxy-based material, with a thickness of between 5 and 50 microns on the passivation layer 42 , and then curing the screen-printed polymer layer at the temperature of 300 and 450 degrees centigrade if the screen-printed polymer layer is polyimide.
  • the patterned first polymer layer 220 can be formed by laminating a patterned dry film of polyimide, benzocyclobutene (BCB), parylene-based material or epoxy, with a thickness of between 10 and 500 microns on the passivation layer 42 .
  • a patterned second polymer layer 230 can be formed on the patterned first polymer layer 220 , as shown in FIG. 2 .
  • the patterned second polymer layer 230 can be formed by spin coating a second polymer layer of polyimide, benzo-cyclo-butene (BCB), parylene-based material, epoxy-based material, or elastomer, with a thickness of between 2 and 50 microns, and preferably between 8 and 30 microns, on the patterned first polymer layer 220 and on the passivation layer 42 .
  • the spin-coated second polymer layer is photosensitive, a photolithography process including exposing and developing steps can be used to pattern the spin-coated second polymer layer.
  • the first polymer layer is cured at the temperature of 300 and 450 degrees centigrade if the spin-coated second polymer layer is polyimide.
  • the patterned second polymer layer 230 after being cured may have a thickness t 2 of between 6 and 20 microns, and preferably between 6 and 20 microns.
  • spin-coated second polymer layer is non-photosensitive, photolithography and etching processes are typically needed to pattern the spin-coated second polymer layer.
  • the patterned second polymer layer 230 can be formed by screen printing a patterned polymer layer of polyimide, benzocyclobutene (BCB), parylene-based material or epoxy-based material, with a thickness of between 5 and 50 microns on the patterned first polymer layer 220 , and then curing the screen-printed polymer layer at the temperature of 300 and 450 degrees centigrade if the screen-printed polymer layer is polyimide.
  • the patterned second polymer layer 230 can be formed by laminating a patterned dry film of polyimide, benzocyclobutene (BCB), parylene-based material or epoxy-based material, with a thickness of between 10 and 500 microns on the patterned first polymer layer 220 .
  • an adhesion/barrier layer 340 may be formed by sputtering or evaporating a metal layer of titanium, tungsten, cobalt, nickel, titanium nitride, a titanium-tungsten alloy, chromium, a chromium-copper alloy, tantalum, or tantalum nitride, with a thickness of between 1000 and 6000 angstroms, on the patterned second polymer layer 230 and on the passivation layer 42 .
  • a seed layer 342 may be formed by sputtering, evaporating or electroless plating a metal layer of gold, copper, nickel, silver, palladium, platinum, rhodium, ruthenium, or rhenium, with a thickness of between 500 and 3000 angstroms on the adhesion/barrier layer 340 .
  • a photoresist layer 350 such as photosensitive polyimide, photosensitive benzo-cyclo-butene (BCB), photosensitive parylene-based material, photosensitive epoxy-based material, with a thickness t 3 of between 4 and 30 microns, is formed on the seed layer 342 using a spin coating process.
  • a photoresist layer 350 such as photosensitive polyimide, photosensitive benzo-cyclo-butene (BCB), photosensitive parylene-based material, photosensitive epoxy-based material, with a thickness t 3 of between 4 and 30 microns
  • a photolithography process including exposing and developing steps is used to pattern the photoresist layer 350 and to form an opening 352 , with a coil pattern from a top view, in the photoresist layer 350 exposing the seed layer 342 .
  • a metal layer 360 is electroplated on seed layer 342 exposed by the opening 352 in the photoresist layer 350 .
  • the metal layer 360 can be deposited by electroplating a single layer of gold with a thickness of between 1 and 20 microns, and preferably between 3 and 10 microns, on the seed layer 342 preferably of gold exposed by the opening 352 in the photoresist layer 350 .
  • the metal layer 360 can be deposited by electroplating a single layer of copper with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 342 preferably of copper exposed by the opening 352 in the photoresist layer 350 .
  • the metal layer 360 can be deposited by electroplating a single layer of silver with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 342 preferably of silver exposed by the opening 352 in the photoresist layer 350 .
  • the metal layer 360 can be deposited by electroplating a single layer of nickel with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 342 preferably of nickel exposed by the opening 352 in the photoresist layer 350 .
  • the metal layer 360 can be deposited by electroplating a single layer of palladium with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 342 preferably of palladium exposed by the opening 352 in the photoresist layer 350 .
  • the metal layer 360 can be deposited by electroplating a single layer of platinum with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 342 preferably of platinum exposed by the opening 352 in the photoresist layer 350 .
  • the metal layer 360 can be deposited by electroplating a single layer of rhodium with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 342 preferably of rhodium exposed by the opening 352 in the photoresist layer 350 .
  • the metal layer 360 can be deposited by electroplating a single layer of ruthenium with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 342 preferably of ruthenium exposed by the opening 352 in the photoresist layer 350 .
  • the metal layer 360 can be deposited by electroplating a single layer of rhenium with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 342 preferably of rhenium exposed by the opening 352 in the photoresist layer 350 .
  • the metal layer 360 can be deposited by electroplating a copper layer with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 342 preferably of copper exposed by the opening 352 in the photoresist layer 350 , and then electroplating a nickel layer with a thickness of between 1 and 5 microns on the copper layer in the opening 352 in the photoresist layer 350 .
  • the metal layer 360 can be deposited by electroplating a copper layer with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 342 preferably of copper exposed by the opening 352 in the photoresist layer 350 , then electroplating a nickel layer with a thickness of between 1 and 5 microns on the copper layer in the opening 352 in the photoresist layer 350 , and then electroplating a gold layer with a thickness of between 1 and 5 microns on the nickel layer in the opening 352 in the photoresist layer 350 .
  • the pitch p 1 between the centers of the neighboring turns of the patterned coil may range from 2 to 30 microns, and preferably from 2 and 10 microns.
  • a photoresist layer 370 such as photosensitive polyimide, photosensitive benzo-cyclo-butene (BCB), photosensitive parylene-based material, photosensitive epoxy-based material, with a thickness t 4 of between 4 and 30 microns, is formed on the electroplated metal layer 360 and on the seed layer 342 using a spin coating process.
  • a photoresist layer 370 such as photosensitive polyimide, photosensitive benzo-cyclo-butene (BCB), photosensitive parylene-based material, photosensitive epoxy-based material, with a thickness t 4 of between 4 and 30 microns
  • a photolithography process including exposing and developing steps is used to pattern the photoresist layer 370 and to form an opening 372 in the photoresist layer 370 exposing the electroplated metal layer 360 .
  • a metal layer 380 is electroplated on the metal layer 360 exposed by the opening 372 in the photoresist layer 370 .
  • the metal layer 380 can be deposited by electroplating a single layer of gold with a thickness of between 2 and 30 microns on the metal layer 360 , whose topmost layer is preferably gold, exposed by the opening 372 in the photoresist layer 370 .
  • the metal layer 380 can be deposited by electroplating a single layer of gold with a thickness of between 1 and 30 microns on the metal layer 360 , whose topmost layer is preferably nickel, exposed by the opening 372 in the photoresist layer 370 .
  • the metal layer 380 can be deposited by electroplating a single layer of silver with a thickness of between 2 and 30 microns on the metal layer 360 , whose topmost layer is preferably silver, exposed by the opening 372 in the photoresist layer 370 .
  • the metal layer 380 can be deposited by electroplating a single layer of palladium with a thickness of between 2 and 30 microns on the metal layer 360 , whose topmost layer is preferably palladium, exposed by the opening 372 in the photoresist layer 370 .
  • the metal layer 380 can be deposited by electroplating a single layer of platinum with a thickness of between 2 and 30 microns on the metal layer 360 , whose topmost layer is preferably platinum, exposed by the opening 372 in the photoresist layer 370 .
  • the metal layer 380 can be deposited by electroplating a single layer of rhodium with a thickness of between 2 and 30 microns on the metal layer 360 , whose topmost layer is preferably rhodium, exposed by the opening 372 in the photoresist layer 370 .
  • the metal layer 380 can be deposited by electroplating a single layer of ruthenium with a thickness of between 2 and 30 microns on the metal layer 360 , whose topmost layer is preferably ruthenium, exposed by the opening 372 in the photoresist layer 370 .
  • the metal layer 380 can be deposited by electroplating a single layer of rhenium with a thickness of between 2 and 30 microns on the metal layer 360 , whose topmost layer is preferably rhenium, exposed by the opening 372 in the photoresist layer 370 .
  • the metal layer 380 can be deposited by electroplating a single layer of copper with a thickness of between 2 and 30 microns on the metal layer 360 , whose topmost layer is preferably copper, exposed by the opening 372 in the photoresist layer 370 .
  • the metal layer 380 can be deposited by electroplating a nickel layer with a thickness of between 1 and 10 microns on the metal layer 360 , whose topmost layer is preferably nickel, exposed by the opening 372 in the photoresist layer 370 , and then electroplating a solder layer, such a tin-lead alloy or a tin-silver alloy, with a thickness of between 10 and 150 microns on the nickel layer in the opening 372 in the photoresist layer 370 .
  • a solder layer such as a tin-lead alloy or a tin-silver alloy
  • the metal layer 380 can be deposited by electroplating a nickel layer with a thickness of between 1 and 10 microns on the metal layer 360 , whose topmost layer is preferably nickel, exposed by the opening 372 in the photoresist layer 370 , and then electroplating a gold layer with a thickness of between 1 and 20 microns on the nickel layer in the opening 372 in the photoresist layer 370 .
  • the photoresist layer 370 is stripped.
  • the seed layer 342 not under the metal layer 360 is removed using a dry etching process or a wet etching process. If the seed layer 342 is gold and removed by a wet etching process, the etchant for etching the seed layer 342 is potassium iodide. Thereafter, the adhesion/barrier layer 340 not under the metal layer 360 is removed using a dry etching process or a wet etching process.
  • the etchant for etching the adhesion/barrier layer 340 is hydrogen peroxide or hydrofluoric acid. If the adhesion/barrier layer 340 is titanium and removed by a wet etching process, the etchant for etching the adhesion/barrier layer 340 is hydrofluoric acid.
  • the patterned polymer layer 390 can be formed by spin coating a polymer layer of polyimide, benzo-cyclo-butene (BCB), parylene-based material, epoxy-based material, or elastomer, with a thickness of between 2 and 50 microns, and preferably between 8 and 30 microns, on the metal layers 380 and 360 , on the patterned polymer layer 330 , and on the passivation layer 42 .
  • BCB benzo-cyclo-butene
  • the spin-coated polymer layer is photosensitive, a photolithography process including exposing and developing steps can be used to form an opening 392 in the spin-coated polymer layer exposing the metal layer 380 .
  • the spin-coated polymer layer is cured at the temperature of 300 and 450 degrees centigrade if the spin-coated polymer layer is polyimide.
  • the patterned polymer layer 390 after being cured may have a thickness t 5 of between 2 and 50 microns, and preferably between 6 and 20 microns.
  • spin-coated polymer layer is non-photosensitive, photolithography and etching processes are typically needed to pattern the spin-coated polymer layer.
  • the patterned polymer layer 390 can be formed by screen printing a patterned polymer layer of polyimide, benzocyclobutene (BCB), parylene-based material or epoxy-based material, with a thickness of between 5 and 50 microns on the metal layer 360 , on the patterned polymer layer 330 , and on the passivation layer 42 , and then curing the screen-printed polymer layer at the temperature of 300 and 450 degrees centigrade if the screen-printed polymer layer is polyimide.
  • BCB benzocyclobutene
  • parylene-based material or epoxy-based material with a thickness of between 5 and 50 microns on the metal layer 360 , on the patterned polymer layer 330 , and on the passivation layer 42 , and then curing the screen-printed polymer layer at the temperature of 300 and 450 degrees centigrade if the screen-printed polymer layer is polyimide.
  • the patterned polymer layer 390 can be formed by laminating a patterned dry film of polyimide, benzocyclobutene (BCB), parylene-based material or epoxy, with a thickness of between 10 and 500 microns on the metal layer 360 , on the patterned polymer layer 330 , and on the passivation layer 42 .
  • BCB benzocyclobutene
  • the patterned polymer layer 390 covers the peripheral region of the metal layer 380 used as a metal pad.
  • the metal layer 380 is used as a metal pad for being wirebonded thereto or having a gold bump or solder bump formed thereover.
  • a gold wire 394 can be connected to the metal layer 380 exposed by the opening 392 in the polymer layer 390 using a wirebonding process.
  • a gold bump or tin-containing bump not shown, can be formed over the above-mentioned metal layer 380 exposed by the opening 392 in the polymer layer 390 .
  • the metal layer 380 used as a metal pad for being wirebonded thereto or having a gold bump or solder bump formed thereover has a top surface and a side surface not covered by the patterned polymer layer 390 .
  • a gold wire 394 can be connected to the metal layer 380 exposed by the opening 392 in the polymer layer 390 using a wirebonding process.
  • a gold bump or tin-containing bump not shown, can be formed over the above-mentioned metal layer 380 exposed by the opening 392 in the polymer layer 390 .
  • the elements shown in FIG. 15 having same reference numbers as those shown in FIGS. 1-14 indicate similar ones described above in FIGS. 1-14 .
  • the above-mentioned metal layer 380 may be used as a metal bump capable of being connected to an external circuitry 396 , such as a ceramic substrate, a printed circuit board, semiconductor chip for chip-on-chip package, glass substrate for a chip-on-glass (COG) package, flex circuit substrate for a chip-on-film (COF) package, a tape carrier for tape-automated-bonded (TAB) package.
  • an external circuitry 396 such as a ceramic substrate, a printed circuit board, semiconductor chip for chip-on-chip package, glass substrate for a chip-on-glass (COG) package, flex circuit substrate for a chip-on-film (COF) package, a tape carrier for tape-automated-bonded (TAB) package.
  • the topmost layer of the metal layer 380 is preferably gold, which can be bonded to a metal layer 398 , preferably of gold, formed on the above-mentioned external circuitry 396 or to a metal layer 398 , preferably of tin-containing material, formed on the above-mentioned external circuitry.
  • a metal layer 398 preferably of gold
  • tin-containing material formed on the above-mentioned external circuitry.
  • an anisotropic conductive film can be use to electrically connect the metal layer 380 to the above-mentioned external circuitry 396 , such as glass substrate.
  • the topmost layer of the metal layer 380 is preferably tin-containing material, which can be bonded to a metal layer 398 , preferably of gold, formed on the ceramic substrate, printed circuit board, or semiconductor chip 396 , or to a metal layer 398 , preferably of tin-containing material, formed on the ceramic substrate, printed circuit board, or semiconductor chip 396 .
  • a polymer material 399 such as polyimide or benzo-cyclo-butene (BCB)
  • BCB benzo-cyclo-butene
  • the metal layer 380 used as a metal bump is protruded from the patterned polymer layer 390 such that the metal layer 380 can be easily bonded to the above-mentioned external circuitry 396 .
  • the elements shown in FIG. 16 having same reference numbers as those shown in FIGS. 1-14 indicate similar ones described above in FIGS. 1-14 .
  • a metal bump formed from the above-mentioned metal layer 380 capable of being connected to the above-mentioned external circuitry 396 and a bond pad formed from the above-mentioned metal layer 360 capable of being wirebonded thereto or having a gold bump or solder bump formed thereover can be provided.
  • An opening 393 in the polymer layer 390 exposes the bond pad formed from the above-mentioned metal layer 360 .
  • a gold wire 394 can be connected to the metal layer 360 exposed by the opening 393 in the polymer layer 390 using a wirebonding process.
  • a gold bump or tin-containing bump not shown, can be formed over the metal layer 360 exposed by the opening 393 in the polymer layer 390 .
  • the elements shown in FIG. 17 having same reference numbers as those shown in FIGS. 1-14 and 16 indicate similar ones described above in FIGS. 1-14 and 16 .
  • FIGS. 18-30 Two layers of coils can be formed over the passivation layer 42 , as shown in FIGS. 18-30 .
  • the process illustrated by FIGS. 18-30 follows the above-mentioned process of FIG. 6 .
  • the elements shown in FIGS. 18-30 having same reference numbers as those shown in FIGS. 1-14 indicate similar ones described above in FIGS. 1-14 .
  • a photoresist layer 470 such as photosensitive polyimide, photosensitive benzo-cyclo-butene (BCB), photosensitive parylene-based material, photosensitive epoxy-based material, with a thickness t 4 of between 1 and 30 microns, is formed on the electroplated metal layer 360 and on the seed layer 342 using a spin coating process.
  • a photolithography process including exposing and developing steps is used to pattern the photoresist layer 470 and to form an opening 472 in the photoresist layer 470 exposing the electroplated metal layer 360 .
  • a metal layer 480 is electroplated on the metal layer 360 exposed by the opening 472 in the photoresist layer 470 .
  • the metal layer 480 can be deposited by electroplating a single layer of gold with a thickness of between 1 and 20 microns on the metal layer 360 , whose topmost layer is preferably gold, exposed by the opening 472 in the photoresist layer 470 .
  • the metal layer 480 can be deposited by electroplating a single layer of gold with a thickness of between 1 and 20 microns on the metal layer 360 , whose topmost layer is preferably nickel, exposed by the opening 472 in the photoresist layer 470 .
  • the metal layer 480 can be deposited by electroplating a single layer of silver with a thickness of between 1 and 20 microns on the metal layer 360 , whose topmost layer is preferably silver, exposed by the opening 472 in the photoresist layer 470 .
  • the metal layer 480 can be deposited by electroplating a single layer of palladium with a thickness of between 1 and 20 microns on the metal layer 360 , whose topmost layer is preferably palladium, exposed by the opening 472 in the photoresist layer 470 .
  • the metal layer 480 can be deposited by electroplating a single layer of platinum with a thickness of between 1 and 20 microns on the metal layer 360 , whose topmost layer is preferably platinum, exposed by the opening 472 in the photoresist layer 470 .
  • the metal layer 480 can be deposited by electroplating a single layer of rhodium with a thickness of between 1 and 20 microns on the metal layer 360 , whose topmost layer is preferably rhodium, exposed by the opening 472 in the photoresist layer 470 .
  • the metal layer 480 can be deposited by electroplating a single layer of ruthenium with a thickness of between 1 and 20 microns on the metal layer 360 , whose topmost layer is preferably ruthenium, exposed by the opening 472 in the photoresist layer 470 .
  • the metal layer 480 can be deposited by electroplating a single layer of rhenium with a thickness of between 1 and 20 microns on the metal layer 360 , whose topmost layer is preferably rhenium, exposed by the opening 472 in the photoresist layer 470 .
  • the metal layer 480 can be deposited by electroplating a single layer of copper with a thickness of between 1 and 20 microns on the metal layer 360 , whose topmost layer is preferably copper, exposed by the opening 472 in the photoresist layer 470 .
  • the metal layer 480 can be deposited by electroplating a nickel layer with a thickness of between 1 and 10 microns on the metal layer 360 , whose topmost layer is preferably nickel, exposed by the opening 472 in the photoresist layer 470 , and then electroplating a solder layer, such a tin-lead alloy or a tin-silver alloy, with a thickness of between 1 and 10 microns on the nickel layer.
  • the metal layer 480 can be deposited by electroplating a nickel layer with a thickness of between 1 and 10 microns on the metal layer 360 , whose topmost layer is preferably nickel, exposed by the opening 472 in the photoresist layer 470 , and then electroplating a gold layer with a thickness of between 1 and 10 microns on the nickel layer.
  • the metal layer 480 is formed with a metal via connecting neighboring coils separated by a to-be-formed polymer layer.
  • the photoresist layer 470 is stripped.
  • the seed layer 342 not under the metal layer 360 is removed using a dry etching process or a wet etching process. If the seed layer is gold and removed by a wet etching process, the etchant for etching the seed layer 342 is potassium iodide. Thereinafter, the adhesion/barrier layer 340 not under the metal layer 360 is removed using a dry etching process or a wet etching process.
  • the etchant for etching the adhesion/barrier layer 340 is hydrogen peroxide or hydrofluoric acid. If the adhesion/barrier layer 340 is titanium and removed by a wet etching process, the etchant for etching the adhesion/barrier layer 340 is hydrofluoric acid.
  • a polymer layer 490 is formed on the metal layers 480 and 360 , on the patterned polymer layer 330 , and on the passivation layer 42 .
  • the polymer layer 490 can be formed by spin coating a polymer layer of polyimide, benzo-cyclo-butene (BCB), parylene-based material, epoxy-based material, or elastomer, with a thickness of between 2 and 50 microns, and preferably between 8 and 30 microns, on the metal layers 380 and 360 , on the patterned polymer layer 330 , and on the passivation layer 42 , and then curing the spin-coated polymer layer at the temperature of 300 and 450 degrees centigrade if the spin-coated polymer layer is polyimide.
  • the polymer layer 490 can be formed by repeating said spin coating process and said curing process many times to form the polymer layer 490 with an extremely great thickness.
  • the polymer layer 490 can be formed by screen printing a polymer layer of polyimide, benzocyclobutene (BCB), parylene-based material or epoxy-based material, with a thickness of between 5 and 50 microns on the metal layers 480 and 360 , on the patterned polymer layer 330 , and on the passivation layer 42 , and then curing the screen-printed polymer layer at the temperature of 300 and 450 degrees centigrade if the screen-printed polymer layer is polyimide.
  • BCB benzocyclobutene
  • parylene-based material or epoxy-based material with a thickness of between 5 and 50 microns on the metal layers 480 and 360 , on the patterned polymer layer 330 , and on the passivation layer 42 , and then curing the screen-printed polymer layer at the temperature of 300 and 450 degrees centigrade if the screen-printed polymer layer is polyimide.
  • the polymer layer 490 can be formed by laminating a dry film of polyimide, benzocyclobutene (BCB), parylene-based material or epoxy, with a thickness of between 10 and 500 microns on the metal layers 480 and 360 , on the patterned polymer layer 330 , and on the passivation layer 42 .
  • BCB benzocyclobutene
  • the polymer layer 490 can be formed by laminating a dry film of polyimide, benzocyclobutene (BCB), parylene-based material or epoxy, with a thickness of between 10 and 500 microns on the metal layers 480 and 360 , on the patterned polymer layer 330 , and on the passivation layer 42 .
  • the top side of the polymer layer 490 is ground using a mechanical grinding process or using a chemical mechanical polishing (CMP) process until the top surface of the metal layer 480 is exposed to the outside.
  • CMP chemical mechanical polishing
  • an adhesion/barrier layer 540 may be formed by sputtering or evaporating a metal layer of titanium, tungsten, cobalt, nickel, titanium nitride, a titanium-tungsten alloy, chromium, a chromium-copper alloy, tantalum, or tantalum nitride, with a thickness of between 1000 and 6000 angstroms, on the polymer layer 490 and on the metal layer 480 .
  • a seed layer 542 may be formed by sputtering, evaporating or electroless plating a metal layer of gold, copper, nickel, silver, palladium, platinum, rhodium, ruthenium, or rhenium, with a thickness of between 500 and 3000 angstroms on the adhesion/barrier layer 540 .
  • a photoresist layer 550 such as photosensitive polyimide, photosensitive benzo-cyclo-butene (BCB), photosensitive parylene-based material, photosensitive epoxy-based material, with a thickness t 3 of between 4 and 30 microns, is formed on the seed layer 542 using a spin coating process.
  • a photoresist layer 550 such as photosensitive polyimide, photosensitive benzo-cyclo-butene (BCB), photosensitive parylene-based material, photosensitive epoxy-based material, with a thickness t 3 of between 4 and 30 microns
  • a photolithography process including exposing and developing steps is used to pattern the photoresist layer 550 and to form an opening 552 , with a coil pattern from a top view, in the photoresist layer 550 exposing the seed layer 542 .
  • a metal layer 560 is electroplated on seed layer 542 exposed by the opening 552 in the photoresist layer 550 .
  • the metal layer 560 can be deposited by electroplating a single layer of gold with a thickness of between 1 and 20 microns, and preferably between 3 and 10 microns, on the seed layer 542 preferably of gold exposed by the opening 552 in the photoresist layer 550 .
  • the metal layer 560 can be deposited by electroplating a single layer of copper with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 542 preferably of copper exposed by the opening 552 in the photoresist layer 550 .
  • the metal layer 560 can be deposited by electroplating a single layer of silver with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 542 preferably of silver exposed by the opening 552 in the photoresist layer 550 .
  • the metal layer 560 can be deposited by electroplating a single layer of nickel with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 542 preferably of nickel exposed by the opening 552 in the photoresist layer 550 .
  • the metal layer 560 can be deposited by electroplating a single layer of palladium with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 542 preferably of palladium exposed by the opening 552 in the photoresist layer 550 .
  • the metal layer 560 can be deposited by electroplating a single layer of platinum with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 542 preferably of platinum exposed by the opening 552 in the photoresist layer 550 .
  • the metal layer 560 can be deposited by electroplating a single layer of rhodium with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 542 preferably of rhodium exposed by the opening 552 in the photoresist layer 550 :
  • the metal layer 560 can be deposited by electroplating a single layer of ruthenium with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 542 preferably of ruthenium exposed by the opening 552 in the photoresist layer 550 .
  • the metal layer 560 can be deposited by electroplating a single layer of rhenium with a thickness of between and 20 microns, and preferably 3 and 10 microns, on the seed layer 542 preferably of rhenium exposed by the opening 552 in the photoresist layer 550 .
  • the metal layer 560 can be deposited by electroplating a copper layer with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 542 preferably of copper exposed by the opening 552 in the photoresist layer 550 , and then electroplating a nickel layer with a thickness of between 1 and 5 microns on the copper layer in the opening 552 in the photoresist layer 550 .
  • the metal layer 560 can be deposited by electroplating a copper layer with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 542 preferably of copper exposed by the opening 552 in the photoresist layer 550 , then electroplating a nickel layer with a thickness of between 1 and 5 microns on the copper layer in the opening 552 in the photoresist layer 550 , and then electroplating a gold layer with a thickness of between 1 and 5 microns on the nickel layer in the opening 552 in the photoresist layer 550 .
  • the pitch p 2 between the centers of the neighboring turns of the patterned coil may range from 2 to 30 microns, and preferably from 2 and 10 microns.
  • the seed layer 542 not under the metal layer 560 is removed using a dry etching process or a wet etching process. If the seed layer 542 is gold and removed by a wet etching process, the etchant for etching the seed layer 542 is potassium iodide. Thereafter, the adhesion/barrier layer 540 not under the metal layer 560 is removed using a dry etching process or a wet etching process. If the adhesion/barrier layer 540 is a titanium tungsten alloy and removed by a wet etching process, the etchant for etching the adhesion/barrier layer 540 is hydrogen peroxide or hydrofluoric acid. If the adhesion/barrier layer 540 is titanium and removed by a wet etching process, the etchant for etching the adhesion/barrier layer 540 is hydrofluoric acid.
  • the patterned polymer layer 590 can be formed by spin coating a polymer layer of polyimide, benzo-cyclo-butene (BCB), parylene-based material, epoxy-based material, or elastomer, with a thickness of between 2 and 50 microns, and preferably between 8 and 30 microns, on the metal layer 560 and on the polymer layer 490 .
  • BCB benzo-cyclo-butene
  • the spin-coated polymer layer is photosensitive, a photolithography process including exposing and developing steps can be used to form an opening 592 in the spin-coated polymer layer exposing the metal layer 560 .
  • the spin-coated polymer layer is cured at the temperature of 300 and 450 degrees centigrade if the spin-coated polymer layer is polyimide.
  • the patterned polymer layer 590 after being cured may have a thickness t 6 of between 2 and 50 microns, and preferably between 6 and 20 microns.
  • spin-coated polymer layer is non-photosensitive, photolithography and etching processes are typically needed to pattern the spin-coated polymer layer.
  • the patterned polymer layer 590 can be formed by screen printing a patterned polymer layer of polyimide, benzocyclobutene (BCB), parylene-based material or epoxy-based material, with a thickness of between 5 and 50 microns on the metal layer 560 and on the polymer layer 490 , and then curing the screen-printed polymer layer at the temperature of 300 and 450 degrees centigrade if the screen-printed polymer layer is polyimide.
  • BCB benzocyclobutene
  • parylene-based material or epoxy-based material with a thickness of between 5 and 50 microns on the metal layer 560 and on the polymer layer 490 , and then curing the screen-printed polymer layer at the temperature of 300 and 450 degrees centigrade if the screen-printed polymer layer is polyimide.
  • the patterned polymer layer 590 can be formed by laminating a patterned dry film of polyimide, benzocyclobutene (BCB), parylene-based material or epoxy, with a thickness of between 10 and 500 microns on the metal layer 560 and on the polymer layer 490 .
  • BCB benzocyclobutene
  • the patterned polymer layer 590 can be formed by laminating a patterned dry film of polyimide, benzocyclobutene (BCB), parylene-based material or epoxy, with a thickness of between 10 and 500 microns on the metal layer 560 and on the polymer layer 490 .
  • the metal layer 560 has a portion exposed by the opening 592 in the polymer layer 590 , which may be used as a metal pad for being wirebonded thereto or having a gold bump or solder bump formed thereover.
  • a gold wire 394 can be connected to the metal layer 560 exposed by the opening 592 in the polymer layer 590 using a wirebonding process.
  • a gold bump or tin-containing bump not shown, can be formed over the above-mentioned metal layer 560 exposed by the opening 592 in the polymer layer 590 .
  • the above-mentioned process is not limited to forming coils with two patented circuit layers, but can be applied to forming a metal trace with multiple patterned circuit layers, as shown in FIG. 31-56 .
  • the structure under the passivation layer 42 can be referred as to that described in FIG. 1 .
  • the elements shown in FIGS. 31-56 having same reference numbers as those shown in FIGS. 1-14 indicate similar ones described above in FIGS. 1-14 .
  • multiple openings 44 may be formed in the passivation layer 42 and may expose multiple metal pads of the topmost one of the thin-film circuit layers 38 .
  • the patterned polymer layer 620 can be formed by spin coating a polymer layer of polyimide, benzo-cyclo-butene (BCB), parylene-based material, epoxy-based material, or elastomer, with a thickness of between 2 and 50 microns, and preferably between 8 and 30 microns, on the passivation layer 42 and on the metal pads of the topmost one of the thin-film circuit layers 38 exposed by the openings 44 in the passivation layer 42 .
  • BCB benzo-cyclo-butene
  • the spin-coated polymer layer is photosensitive, a photolithography process including exposing and developing steps can be used to pattern the spin-coated polymer layer and to form multiple openings 622 in the spin-coated polymer layer exposing the metal pads of the topmost one of the thin-film circuit layers 38 exposed by the openings 44 in the passivation layer 42 .
  • the spin-coated polymer layer is cured at the temperature of 300 and 450 degrees centigrade if the spin-coated polymer layer is polyimide.
  • the patterned polymer layer 620 after being cured may have a thickness t 7 of between 2 and 50 microns, and preferably between 6 and 20 microns.
  • spin-coated polymer layer is non-photosensitive, photolithography and etching processes are typically needed to pattern the spin-coated first polymer layer.
  • the patterned polymer layer 620 can be formed by screen printing a patterned polymer layer of polyimide, benzocyclobutene (BCB), parylene-based material or epoxy-based material, with a thickness of between 5 and 50 microns on the passivation layer 42 , and then curing the screen-printed polymer layer at the temperature of 300 and 450 degrees centigrade if the screen-printed polymer layer is polyimide.
  • the patterned polymer layer 620 can be formed by laminating a patterned dry film of polyimide, benzocyclobutene (BCB), parylene-based material or epoxy, with a thickness of between 10 and 500 microns on the passivation layer 42 .
  • the patterned polymer layer 620 may cover a peripheral region of the exposed surface of the metal pads, such as the left one, of the topmost one of the thin-film circuit layers 38 exposed by the openings 44 in the passivation layer 42 .
  • the openings 622 in the patterned polymer layer 620 may expose the entire exposed surface of the metal pads, such as the middle and right ones, of the topmost one of the thin-film circuit layers 38 exposed by the openings 44 in the passivation layer 42 .
  • the patterned polymer layer 620 can be formed by repeating said spin coating process and said curing process many times to form the polymer layer 620 with an extremely great thickness.
  • an adhesion/barrier layer 640 may be formed by sputtering or evaporating a metal layer of titanium, tungsten, cobalt, nickel, titanium nitride, a titanium-tungsten alloy, chromium, a chromium-copper alloy, tantalum, or tantalum nitride, with a thickness of between 1000 and 6000 angstroms, on the patterned polymer layer 620 and on the metal pads of the topmost one of the thin-film circuit layers 38 exposed by the openings 44 in the passivation layer 42 .
  • a seed layer 642 may be formed by sputtering, evaporating or electroless plating a metal layer of gold, copper, nickel, silver, palladium, platinum, rhodium, ruthenium, or rhenium, with a thickness of between 500 and 3000 angstroms on the adhesion/barrier layer 640 .
  • a photoresist layer 650 such as photosensitive polyimide, photosensitive benzo-cyclo-butene (BCB), photosensitive parylene-based material, photosensitive epoxy-based material, with a thickness t 8 of between 4 and 30 microns, is formed on the seed layer 642 using a spin coating process.
  • a photolithography process including exposing and developing steps is used to pattern the photoresist layer 650 and to form multiple openings 652 , with trace patterns from a top view, in the photoresist layer 650 exposing the seed layer 642 .
  • a metal layer 660 is electroplated on seed layer 642 exposed by the openings 652 in the photoresist layer 650 .
  • the metal layer 660 can be deposited by electroplating a single layer of gold with a thickness of between 1 and 20 microns, and preferably between 3 and 10 microns, on the seed layer 642 preferably of gold exposed by the openings 652 in the photoresist layer 650 .
  • the metal layer 660 can be deposited by electroplating a single layer of copper with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 642 preferably of copper exposed by the openings 652 in the photoresist layer 650 .
  • the metal layer 660 can be deposited by electroplating a single layer of silver with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 642 preferably of silver exposed by the openings 652 in the photoresist layer 650 .
  • the metal layer 660 can be deposited by electroplating a single layer of nickel with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 642 preferably of nickel exposed by the openings 652 in the photoresist layer 650 .
  • the metal layer 660 can be deposited by electroplating a single layer of palladium with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 642 preferably of palladium exposed by the openings 652 in the photoresist layer 650 .
  • the metal layer 660 can be deposited by electroplating a single layer of platinum with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 642 preferably of platinum exposed by the openings 652 in the photoresist layer 650 .
  • the metal layer 660 can be deposited by electroplating a single layer of rhodium with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 642 preferably of rhodium exposed by the openings 652 in the photoresist layer 650 .
  • the metal layer 660 can be deposited by electroplating a single layer of ruthenium with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 642 preferably of ruthenium exposed by the openings 652 in the photoresist layer 650 .
  • the metal layer 660 can be deposited by electroplating a single layer of rhenium with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 642 preferably of rhenium exposed by the openings 652 in the photoresist layer 650 .
  • the metal layer 660 can be deposited by electroplating a copper layer with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer preferably of copper exposed by the openings 652 in the photoresist layer 650 , and then electroplating a nickel layer with a thickness of between 1 and 5 microns on the copper layer exposed by the openings 652 in the photoresist layer 650 .
  • the metal layer 660 can be deposited by electroplating a copper layer with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer preferably of copper exposed by the openings 652 in the photoresist layer 650 , then electroplating a nickel layer with a thickness of between 1 and 5 microns on the copper layer exposed by the openings in the photoresist layer 650 , and then electroplating a gold layer with a thickness of between 1 and 5 microns on the nickel layer exposed by the openings in the photoresist layer 650 .
  • the photoresist layer 650 is stripped.
  • a photoresist layer 670 such as photosensitive polyimide, photosensitive benzo-cyclo-butene (BCB), photosensitive parylene-based material, photosensitive epoxy-based material, with a thickness t 9 of between 4 and 30 microns, is formed on the electroplated metal layer 660 and on the seed layer 642 using a spin coating process.
  • a photolithography process including exposing and developing steps is used to pattern the photoresist layer 670 and to form multiple openings 672 in the photoresist layer 670 exposing the electroplated metal layer 660 .
  • a metal layer 680 is electroplated on the metal layer 660 exposed by the openings 672 in the photoresist layer 670 .
  • the metal layer 680 can be deposited by electroplating a single layer of gold with a thickness of between 1 and 20 microns on the metal layer 660 , whose topmost layer is preferably gold, exposed by the openings 672 in the photoresist layer 670 .
  • the metal layer 680 can be deposited by electroplating a single layer of gold with a thickness of between 1 and 20 microns on the metal layer 660 , whose topmost layer is preferably nickel, exposed by the openings 672 in the photoresist layer 670 .
  • the metal layer 680 can be deposited by electroplating a single layer of silver with a thickness of between 1 and 20 microns on the metal layer 660 , whose topmost layer is preferably silver, exposed by the openings 672 in the photoresist layer 670 .
  • the metal layer 680 can be deposited by electroplating a single layer of palladium with a thickness of between 1 and 20 microns on the metal layer 660 , whose topmost layer is preferably palladium, exposed by the openings 672 in the photoresist layer 670 .
  • the metal layer 680 can be deposited by electroplating a single layer of platinum with a thickness of between 1 and 20 microns on the metal layer 660 , whose topmost layer is preferably platinum, exposed by the openings 672 in the photoresist layer 670 .
  • the metal layer 680 can be deposited by electroplating a single layer of rhodium with a thickness of between 1 and 20 microns on the metal layer 660 , whose topmost layer is preferably rhodium, exposed by the openings 672 in the photoresist layer 670 .
  • the metal layer 680 can be deposited by electroplating a single layer of ruthenium with a thickness of between 1 and 20 microns on the metal layer 660 , whose topmost layer is preferably ruthenium, exposed by the openings 672 in the photoresist layer 670 .
  • the metal layer 680 can be deposited by electroplating a single layer of rhenium with a thickness of between 1 and 20 microns on the metal layer 660 , whose topmost layer is preferably rhenium, exposed by the openings 672 in the photoresist layer 670 .
  • the metal layer 680 can be deposited by electroplating a single layer of copper with a thickness of between 1 and 20 microns on the metal layer 660 , whose topmost layer is preferably copper, exposed by the openings 672 in the photoresist layer 670 .
  • the metal layer 680 can be deposited by electroplating a nickel layer with a thickness of between 1 and 10 microns on the metal layer 660 , whose topmost layer is preferably nickel, exposed by the openings 672 in the photoresist layer 670 , and then electroplating a solder layer, such a tin-lead alloy or a tin-silver alloy, with a thickness of between 1 and 10 microns on the nickel layer.
  • the metal layer 680 can be deposited by electroplating a nickel layer with a thickness of between 1 and 10 microns on the metal layer 660 , whose topmost layer is preferably nickel, exposed by the openings 672 in the photoresist layer 670 , and then electroplating a gold layer with a thickness of between 1 and 10 microns on the nickel layer.
  • the metal layer 680 is formed with multiple metal vias connecting neighboring circuit metal layers separated by a to-be-formed polymer layer.
  • the photoresist layer 670 is stripped.
  • the seed layer 642 not under the metal layer 660 is removed using a dry etching process or a wet etching process. If the seed layer 642 is gold and removed by a wet etching process, the etchant for etching the seed layer 642 is potassium iodide. Thereafter, the adhesion/barrier layer 340 not under the metal layer 660 is removed using a dry etching process or a wet etching process.
  • the etchant for etching the adhesion/barrier layer 640 is hydrogen peroxide or hydrofluoric acid. If the adhesion/barrier layer 640 is titanium and removed by a wet etching process, the etchant for etching the adhesion/barrier layer 640 is hydrofluoric acid.
  • a polymer layer 690 is formed on the metal layers 680 and 660 and on the patterned polymer layer 620 .
  • the polymer layer 690 can be formed by spin coating a polymer layer of polyimide, benzo-cyclo-butene (BCB), parylene-based material, epoxy-based material, or elastomer, with a thickness of between 2 and 50 microns, and preferably between 8 and 30 microns, on the metal layers 680 and 660 and on the patterned polymer layer 620 , and then curing the spin-coated polymer layer at the temperature of 300 and 450 degrees centigrade if the spin-coated polymer layer is polyimide.
  • the polymer layer 690 can be formed by repeating said spin coating process and said curing process many times to form the polymer layer 690 with an extremely great thickness.
  • the polymer layer 690 can be formed by screen printing a polymer layer of polyimide, benzocyclobutene (BCB), parylene-based material or epoxy-based material, with a thickness of between 5 and 50 microns on the metal layers 680 and 660 and on the patterned polymer layer 620 , and then curing the screen-printed polymer layer at the temperature of 300 and 450 degrees centigrade if the screen-printed polymer layer is polyimide.
  • BCB benzocyclobutene
  • parylene-based material or epoxy-based material with a thickness of between 5 and 50 microns on the metal layers 680 and 660 and on the patterned polymer layer 620 , and then curing the screen-printed polymer layer at the temperature of 300 and 450 degrees centigrade if the screen-printed polymer layer is polyimide.
  • the polymer layer 690 can be formed by laminating a dry film of polyimide, benzocyclobutene (BCB), parylene-based material or epoxy, with a thickness of between 10 and 500 microns on the metal layers 680 and 660 and on the patterned polymer layer 620 .
  • BCB benzocyclobutene
  • the polymer layer 690 can be formed by laminating a dry film of polyimide, benzocyclobutene (BCB), parylene-based material or epoxy, with a thickness of between 10 and 500 microns on the metal layers 680 and 660 and on the patterned polymer layer 620 .
  • the top side of the polymer layer 690 is ground using a mechanical grinding process or using a chemical mechanical polishing (CMP) process until the top surface of the metal layer 680 is exposed to the outside.
  • CMP chemical mechanical polishing
  • an adhesion/barrier layer 740 may be formed by sputtering or evaporating a metal layer of titanium, tungsten, cobalt, nickel, titanium nitride, a titanium-tungsten alloy, chromium, a chromium-copper alloy, tantalum, or tantalum nitride, with a thickness of between 1000 and 6000 angstroms, on the polymer layer 690 and on the metal layer 680 .
  • a seed layer 742 may be formed by sputtering, evaporating or electroless plating a metal layer of gold, copper, nickel, silver, palladium, platinum, rhodium, ruthenium, or rhenium, with a thickness of between 500 and 3000 angstroms on the adhesion/barrier layer 740 .
  • a photoresist layer 750 such as photosensitive polyimide, photosensitive benzo-cyclo-butene (BCB), photosensitive parylene-based material, photosensitive epoxy-based material, with a thickness t 9 of between 4 and 30 microns, is formed on the seed layer 742 using a spin coating process.
  • a photolithography process including exposing and developing steps is used to pattern the photoresist layer 750 and to form an opening 752 , with trace patterns from a top view, in the photoresist layer 750 exposing the seed layer 742 .
  • a metal layer 760 is electroplated on seed layer 742 exposed by the openings 752 in the photoresist layer 750 .
  • the metal layer 760 can be deposited by electroplating a single layer of gold with a thickness of between 1 and 20 microns, and preferably between 3 and 10 microns, on the seed layer 742 preferably of gold exposed by the openings 752 in the photoresist layer 750 .
  • the metal layer 760 can be deposited by electroplating a single layer of copper with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 742 preferably of copper exposed by the openings 752 in the photoresist layer 750 .
  • the metal layer 760 can be deposited by electroplating a single layer of silver with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 742 preferably of silver exposed by the openings 752 in the photoresist layer 750 .
  • the metal layer 760 can be deposited by electroplating a single layer of nickel with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 742 preferably of nickel exposed by the openings 752 in the photoresist layer 750 .
  • the metal layer 760 can be deposited by electroplating a single layer of palladium with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 742 preferably of palladium exposed by the openings 752 in the photoresist layer 750 .
  • the metal layer 760 can be deposited by electroplating a single layer of platinum with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 742 preferably of platinum exposed by the openings 752 in the photoresist layer 750 .
  • the metal layer 760 can be deposited by electroplating a single layer of rhodium with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 742 preferably of rhodium exposed by the openings 752 in the photoresist layer 750 .
  • the metal layer 760 can be deposited by electroplating a single layer of ruthenium with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 742 preferably of ruthenium exposed by the openings 752 in the photoresist layer 750 .
  • the metal layer 760 can be deposited by electroplating a single layer of rhenium with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 742 preferably of rhenium exposed by the openings 752 in the photoresist layer 750 .
  • the metal layer 760 can be deposited by electroplating a copper layer with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 742 preferably of copper exposed by the openings 752 in the photoresist layer 750 , and then electroplating a nickel layer with a thickness of between 1 and 5 microns on the copper layer in the openings 752 in the photoresist layer 750 .
  • the metal layer 760 can be deposited by electroplating a copper layer with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 742 preferably of copper exposed by the openings 752 in the photoresist layer 750 , then electroplating a nickel layer with a thickness of between 1 and 5 microns on the copper layer in the openings 752 in the photoresist layer 750 , and then electroplating a gold layer with a thickness of between 1 and 5 microns on the nickel layer in the openings 752 in the photoresist layer 750 .
  • the photoresist layer 750 is stripped.
  • a photoresist layer 770 such as photosensitive polyimide, photosensitive benzo-cyclo-butene (BCB), photosensitive parylene-based material, photosensitive epoxy-based material, with a thickness t 10 of between 4 and 30 microns, is formed on the electroplated metal layer 760 and on the seed layer 742 using a spin coating process.
  • a photolithography process including exposing and developing steps is used to pattern the photoresist layer 770 and to form an opening 772 in the photoresist layer 770 exposing the electroplated metal layer 760 .
  • a metal layer 780 formed for a metal pad used to be wirebonded thereto is electroplated on the metal layer 760 exposed by the opening 772 in the photoresist layer 770 .
  • the metal layer 780 can be deposited by electroplating a single layer of gold with a thickness of between 2 and 30 microns on the metal layer 760 , whose topmost layer is preferably gold, exposed by the opening 772 in the photoresist layer 770 .
  • the metal layer 780 can be deposited by electroplating a single layer of gold with a thickness of between 1 and 30 microns on the metal layer 760 , whose topmost layer is preferably nickel, exposed by the opening 772 in the photoresist layer 770 .
  • the metal layer 780 can be deposited by electroplating a single layer of silver with a thickness of between 2 and 30 microns on the metal layer 760 , whose topmost layer is preferably silver, exposed by the opening 772 in the photoresist layer 770 .
  • the metal layer 780 can be deposited by electroplating a single layer of palladium with a thickness of between 2 and 30 microns on the metal layer 760 , whose topmost layer is preferably palladium, exposed by the opening 772 in the photoresist layer 770 .
  • the metal layer 780 can be deposited by electroplating a single layer of platinum with a thickness of between 2 and 30 microns on the metal layer 760 , whose topmost layer is preferably platinum, exposed by the opening 772 in the photoresist layer 770 .
  • the metal layer 780 can be deposited by electroplating a single layer of rhodium with a thickness of between 2 and 30 microns on the metal layer 760 , whose topmost layer is preferably rhodium, exposed by the opening 772 in the photoresist layer 770 .
  • the metal layer 780 can be deposited by electroplating a single layer of ruthenium with a thickness of between 2 and 30 microns on the metal layer 760 , whose topmost layer is preferably ruthenium, exposed by the opening 772 in the photoresist layer 770 .
  • the metal layer 780 can be deposited by electroplating a single layer of rhenium with a thickness of between 2 and 30 microns on the metal layer 760 , whose topmost layer is preferably rhenium, exposed by the opening 772 in the photoresist layer 770 .
  • the metal layer 780 can be deposited by electroplating a single layer of copper with a thickness of between 2 and 30 microns on the metal layer 760 , whose topmost layer is preferably copper, exposed by the opening 772 in the photoresist layer 770 .
  • the metal layer 780 can be deposited by electroplating a nickel layer with a thickness of between 1 and 10 microns on the metal layer 760 , whose topmost layer is preferably nickel, exposed by the opening 772 in the photoresist layer 770 , and then electroplating a gold layer with a thickness of between 1 and 20 microns on the nickel layer.
  • the photoresist layer 770 is stripped.
  • a photoresist layer 790 such as photosensitive polyimide, photosensitive benzo-cyclo-butene (BCB), photosensitive parylene-based material, photosensitive epoxy-based material, with a thickness t 11 of between 4 and 30 microns, is formed on the electroplated metal layers 760 and 780 and on the seed layer 742 using a spin coating process.
  • a photolithography process including exposing and developing steps is used to pattern the photoresist layer 790 and to form an opening 792 in the photoresist layer 790 exposing the electroplated metal layer 760 .
  • a metal layer 794 formed for a metal bump is electroplated on the metal layer 760 exposed by the opening 792 in the photoresist layer 790 .
  • the metal layer 794 can be deposited by electroplating a single layer of gold with a thickness of between 2 and 30 microns on the metal layer 760 , whose topmost layer is preferably gold, exposed by the opening 792 in the photoresist layer 790 .
  • the metal layer 794 can be deposited by electroplating a single layer of gold with a thickness of between 1 and 30 microns on the metal layer 360 , whose topmost layer is preferably nickel, exposed by the opening 792 in the photoresist layer 790 .
  • the metal layer 794 can be deposited by electroplating a single layer of silver with a thickness of between 2 and 30 microns on the metal layer 760 , whose topmost layer is preferably silver, exposed by the opening 792 in the photoresist layer 790 .
  • the metal layer 794 can be deposited by electroplating a single layer of palladium with a thickness of between 2 and 30 microns on the metal layer 760 , whose topmost layer is preferably palladium, exposed by the opening 792 in the photoresist layer 790 .
  • the metal layer 794 can be deposited by electroplating a single layer of platinum with a thickness of between 2 and 30 microns on the metal layer 760 , whose topmost layer is preferably platinum, exposed by the opening 792 in the photoresist layer 790 .
  • the metal layer 794 can be deposited by electroplating a single layer of rhodium with a thickness of between 2 and 30 microns on the metal layer 760 , whose topmost layer is preferably rhodium, exposed by the opening 792 in the photoresist layer 790 .
  • the metal layer 794 can be deposited by electroplating a single layer of ruthenium with a thickness of between 2 and 30 microns on the metal layer 760 , whose topmost layer is preferably ruthenium, exposed by the opening 792 in the photoresist layer 790 .
  • the metal layer 794 can be deposited by electroplating a single layer of rhenium with a thickness of between 2 and 30 microns on the metal layer 760 , whose topmost layer is preferably rhenium, exposed by the opening 792 in the photoresist layer 790 .
  • the metal layer 794 can be deposited by electroplating a single layer of copper with a thickness of between 2 and 30 microns on the metal layer 760 , whose topmost layer is preferably copper, exposed by the opening 792 in the photoresist layer 790 .
  • the metal layer 794 can be deposited by electroplating a nickel layer with a thickness of between 1 and 10 microns on the metal layer 760 , whose topmost layer is preferably nickel, exposed by the opening 792 in the photoresist layer 790 , and then electroplating a solder layer, such a tin-lead alloy or a tin-silver alloy, with a thickness of between 10 and 150 microns on the nickel layer in the opening 792 in the photoresist layer 790 .
  • a solder layer such as a tin-lead alloy or a tin-silver alloy
  • the metal layer 794 can be deposited by electroplating a nickel layer with a thickness of between 1 and 10 microns on the metal layer 760 , whose topmost layer is preferably nickel, exposed by the opening 792 in the photoresist layer 790 , and then electroplating a gold layer with a thickness of between 1 and 20 microns on the nickel layer in the opening 792 in the photoresist layer 790 .
  • the photoresist layer 790 is stripped.
  • the seed layer 742 not under the metal layer 760 is removed using a dry etching process or a wet etching process. If the seed layer 742 is gold and removed by a wet etching process, the etchant for etching the seed layer 742 is potassium iodide. Thereafter, the adhesion/barrier layer 740 not under the metal layer 760 is removed using a dry etching process or a wet etching process.
  • the etchant for etching the adhesion/barrier layer 740 is hydrogen peroxide or hydrofluoric acid. If the adhesion/barrier layer 740 is titanium and removed by a wet etching process, the etchant for etching the adhesion/barrier layer 740 is hydrofluoric acid.
  • the patterned polymer layer 796 can be formed by spin coating a polyiner layer of polyimide, benzo-cyclo-butene (BCB), parylene-based material, epoxy-based material, or elastomer, with a thickness of between 2 and 50 microns, and preferably between 8 and 30 microns, on the metal layers 794 , 780 and 760 and on the patterned polymer layer 690 .
  • a polyiner layer of polyimide, benzo-cyclo-butene (BCB), parylene-based material, epoxy-based material, or elastomer with a thickness of between 2 and 50 microns, and preferably between 8 and 30 microns
  • the spin-coated polymer layer is photosensitive, a photolithography process including exposing and developing steps can be used to lead the metal layers 794 and 780 to be exposed to the outside.
  • the spin-coated polymer layer is cured at the temperature of 300 and 450 degrees centigrade if the spin-coated polymer layer is polyimide.
  • the patterned polymer layer 796 after being cured may have a thickness t 12 of between 2 and 50 microns, and preferably between 6 and 20 microns.
  • spin-coated polymer layer is non-photosensitive, photolithography and etching processes are typically needed to pattern the spin-coated polymer layer.
  • the patterned polymer layer 796 can be formed by screen printing a patterned polymer layer of polyimide, benzocyclobutene (BCB), parylene-based material or epoxy-based material, with a thickness of between 5 and 50 microns on the metal layer 760 and on the patterned polymer layer 690 , and then curing the screen-printed polymer layer at the temperature of 300 and 450 degrees centigrade if the screen-printed polymer layer is polyimide.
  • BCB benzocyclobutene
  • parylene-based material or epoxy-based material with a thickness of between 5 and 50 microns on the metal layer 760 and on the patterned polymer layer 690 , and then curing the screen-printed polymer layer at the temperature of 300 and 450 degrees centigrade if the screen-printed polymer layer is polyimide.
  • the patterned polymer layer 796 can be formed by laminating a patterned dry film of polyimide, benzocyclobutene (BCB), parylene-based material or epoxy, with a thickness of between 10 and 500 microns on the metal layer 760 and on the patterned polymer layer 690 .
  • BCB benzocyclobutene
  • the metal layer 780 is used as a metal pad for being wirebonded thereto.
  • a gold wire 394 can be connected to the metal layer 780 .
  • the metal layer 794 is formed for a metal bump used to be bonded to an external circuitry (not shown), such as a ceramic substrate, a printed circuit board, semiconductor chip for chip-on-chip package, glass substrate for a chip-on-glass (COG) package, flex circuit substrate for a chip-on-film (COF) package, a tape carrier for tape-automated-bonded (TAB) package.
  • an external circuitry not shown
  • an external circuitry such as a ceramic substrate, a printed circuit board, semiconductor chip for chip-on-chip package, glass substrate for a chip-on-glass (COG) package, flex circuit substrate for a chip-on-film (COF) package, a tape carrier for tape-automated-bonded (TAB) package.
  • the topmost layer of the metal layer 794 is preferably gold, which can be bonded to a metal layer, preferably of gold, formed on the above-mentioned external circuitry or to a metal layer, preferably of tin-containing material, formed on the above-mentioned external circuitry.
  • a metal layer preferably of gold
  • tin-containing material formed on the above-mentioned external circuitry.
  • an anisotropic conductive film can be use to electrically connect the metal layer 794 to the above-mentioned external circuitry, such as glass substrate.
  • the topmost layer of the metal layer 794 is preferably tin-containing material, which can be bonded to a metal layer, preferably of gold, formed on the ceramic substrate, printed circuit board, or semiconductor chip, or to a metal layer, preferably of tin-containing material, formed on the ceramic substrate, printed circuit board, or semiconductor chip.
  • a polymer layer covering a metal trace such as a coil, can be formed before removing the seed layer and the adhesion/barrier layer not under the metal trace, as shown in FIGS. 57-60 .
  • the process illustrated by FIGS. 57-60 follows the above-mentioned process of FIG. 6 .
  • the elements shown in FIGS. 57-60 having same reference numbers as those shown in FIGS. 1-14 indicate similar ones described above in FIGS. 1-14 .
  • a patterned polymer layer 830 is formed on the metal layer 360 and on the seed layer 342 .
  • the patterned polymer layer 830 can be formed by spin coating a polymer layer 832 of polyimide, benzo-cyclo-butene (BCB), parylene-based material, epoxy-based material, or elastomer, with a thickness of between 2 and 50 microns, and preferably between 8 and 30 microns, on the metal layer 360 and on the seed layer 342 , as shown in FIG. 57 .
  • a polymer layer 832 of polyimide, benzo-cyclo-butene (BCB), parylene-based material, epoxy-based material, or elastomer with a thickness of between 2 and 50 microns, and preferably between 8 and 30 microns, on the metal layer 360 and on the seed layer 342 , as shown in FIG. 57 .
  • the spin-coated polymer layer 832 is photosensitive, a photolithography process including exposing and developing steps can be used to lead the spin-coated polymer layer 830 on the metal layer 360 and on the seed layer close to the metal layer 360 to be left and to form an opening 834 in the spin-coated polymer layer 830 exposing the metal layer 360 , as shown in FIG. 58 .
  • the spin-coated polymer layer 830 is cured at the temperature of 300 and 450 degrees centigrade if the spin-coated polymer layer 830 is polyimide.
  • the patterned polymer layer 830 after being cured may have a thickness t 13 of between 2 and 50 microns, and preferably between 6 and 20 microns.
  • spin-coated polymer layer 832 is non-photosensitive, photolithography and etching processes are typically needed to pattern the spin-coated polymer layer 832 .
  • the patterned polymer layer 830 can be formed by screen printing a patterned polymer layer of polyimide, benzocyclobutene (BCB), parylene-based material or epoxy-based material, with a thickness of between 5 and 50 microns on the metal layer 360 and on the seed layer 342 close to the metal layer 360 , and then curing the screen-printed polymer layer 830 at the temperature of 300 and 450 degrees centigrade if the screen-printed polymer layer 830 is polyimide.
  • BCB benzocyclobutene
  • parylene-based material or epoxy-based material with a thickness of between 5 and 50 microns on the metal layer 360 and on the seed layer 342 close to the metal layer 360 , and then curing the screen-printed polymer layer 830 at the temperature of 300 and 450 degrees centigrade if the screen-printed polymer layer 830 is polyimide.
  • the patterned polymer layer 830 can be formed by laminating a patterned dry film of polyimide, benzocyclobutene (BCB), parylene-based material or epoxy, with a thickness of between 10 and 500 microns on the metal layer 360 and on the seed layer 342 close to the metal layer 360 .
  • BCB benzocyclobutene
  • the seed layer 342 not under the metal layer 360 and not under the patterned polymer layer 830 is removed using a dry etching process or a wet etching process. If the seed layer 342 is gold and removed by a wet etching process, the etchant for etching the seed layer 342 is potassium iodide. Thereafter, the adhesion/barrier layer 340 not under the metal layer 360 and not under the patterned polymer layer 830 is removed using a dry etching process or a wet etching process.
  • the etchant for etching the adhesion/barrier layer 340 is hydrogen peroxide or hydrofluoric acid. If the adhesion/barrier layer 340 is titanium and removed by a wet etching process, the etchant for etching the adhesion/barrier layer 340 is hydrofluoric acid.
  • the metal layer 360 has a metal pad exposed by the opening 834 in the patterned polymer layer 830 , for being wirebonded thereto or having a gold bump or solder bump formed thereover.
  • a gold wire 394 can be connected to the metal layer 360 exposed by the opening 834 in the polymer layer 830 using a wirebonding process.
  • a gold bump or tin-containing bump not shown, can be formed over the above-mentioned metal layer 360 exposed by the opening 834 in the polymer layer 830 .
  • a metal layer for a metal bump used to be bonded to an external circuitry or a metal pad used to be wirebonded thereto, can be electroplated over the metal layer 360 after forming the patterned polymer layer 830 and before removing the seed layer 342 and adhesion/barrier layer 340 not under the metal layer 360 and not under the patterned polymer layer 830 , as shown in FIGS. 61-65 .
  • the process illustrated by FIGS. 61-65 follows the above-mentioned process of FIG. 58 .
  • the elements shown in FIGS. 61-65 having same reference numbers as those shown in FIGS. 1-14 and 57 - 58 indicate similar ones described above in FIGS. 1-14 and 57 - 58 .
  • a photoresist layer 870 such as photosensitive polyimide, photosensitive benzo-cyclo-butene (BCB), photosensitive parylene-based material, photosensitive epoxy-based material, with a thickness t 14 of between 4 and 30 microns, is formed on the seed layer 342 , on the patterned polymer layer 830 and on the metal layer 360 exposed by the opening 834 in the patterned polymer layer 830 using a spin coating process, referring to FIG. 61 .
  • a photolithography process including exposing and developing steps is used to pattern the photoresist layer 870 and to form an opening 872 in the photoresist layer 870 exposing the electroplated metal layer 360 exposed by the opening 834 in the patterned polymer layer 830 .
  • a metal layer 880 is electroplated on the metal layer 360 exposed by the opening 872 in the photoresist layer 870 and by the opening 834 in the patterned polymer layer 830 .
  • the metal layer 880 can be deposited by electroplating a single layer of gold with a thickness of between 2 and 30 microns on the metal layer 360 , whose topmost layer is preferably gold, exposed by the opening 872 in the photoresist layer 870 and by the opening 834 in the patterned polymer layer 830 .
  • the metal layer 880 can be deposited by electroplating a single layer of gold with a thickness of between 1 and 30 microns on the metal layer 360 , whose topmost layer is preferably nickel, exposed by the opening 872 in the photoresist layer 870 and by the opening 834 in the patterned polymer layer 830 .
  • the metal layer 880 can be deposited by electroplating a single layer of silver with a thickness of between 2 and 30 microns on the metal layer 360 , whose topmost layer is preferably silver, exposed by the opening 872 in the photoresist layer 870 and by the opening 834 in the patterned polymer layer 830 .
  • the metal layer 880 can be deposited by electroplating a single layer of palladium with a thickness of between 2 and 30 microns on the metal layer 360 , whose topmost layer is preferably palladium, exposed by the opening 872 in the photoresist layer 870 and by the opening 834 in the patterned polymer layer 830 .
  • the metal layer 880 can be deposited by electroplating a single layer of platinum with a thickness of between 2 and 30 microns on the metal layer 360 , whose topmost layer is preferably platinum, exposed by the opening 872 in the photoresist layer 870 and by the opening 834 in the patterned polymer layer 830 .
  • the metal layer 880 can be deposited by electroplating a single layer of rhodium with a thickness of between 2 and 30 microns on the metal layer 360 , whose topmost layer is preferably rhodium, exposed by the opening 872 in the photoresist layer 870 and by the opening 834 in the patterned polymer layer 830 .
  • the metal layer 880 can be deposited by electroplating a single layer of ruthenium with a thickness of between 2 and 30 microns on the metal layer 360 , whose topmost layer is preferably ruthenium, exposed by the opening 872 in the photoresist layer 870 and by the opening 834 in the patterned polymer layer 830 .
  • the metal layer 880 can be deposited by electroplating a single layer of rhenium with a thickness of between 2 and 30 microns on the metal layer 360 , whose topmost layer is preferably rhenium, exposed by the opening 872 in the photoresist layer 870 and by the opening 834 in the patterned polymer layer 830 .
  • the metal layer 880 can be deposited by electroplating a single layer of copper with a thickness of between 2 and 30 microns on the metal layer 360 , whose topmost layer is preferably copper, exposed by the opening 872 in the photoresist layer 870 and by the opening 834 in the patterned polymer layer 830 .
  • the metal layer 880 can be deposited by electroplating a nickel layer with a thickness of between 1 and 10 microns on the metal layer 360 , whose topmost layer is preferably nickel, exposed by the opening 872 in the photoresist layer 870 and by the opening 834 in the patterned polymer layer 830 , and then electroplating a solder layer, such a tin-lead alloy or a tin-silver alloy, with a thickness of between 10 and 150 microns on the nickel layer in the opening 872 in the photoresist layer 870 and/or in the opening 834 in the patterned polymer layer 830 .
  • a solder layer such as a tin-lead alloy or a tin-silver alloy
  • the metal layer 880 can be deposited by electroplating a nickel layer with a thickness of between 1 and 10 microns on the metal layer 360 , whose topmost layer is preferably nickel, exposed by the opening 372 in the photoresist layer 370 and by the opening 834 in the patterned polymer layer 830 , and then electroplating a gold layer with a thickness of between 1 and 20 microns on the nickel layer in the opening 372 in the photoresist layer 370 and/or in the opening 834 in the patterned polymer layer 830 .
  • the photoresist layer 870 is stripped.
  • the seed layer 342 not under the metal layer 360 and not under the patterned polymer layer 830 is removed using a dry etching process or a wet etching process. If the seed layer 342 is gold and removed by a wet etching process, the etchant for etching the seed layer 342 is potassium iodide. Thereafter, the adhesion/barrier layer 340 not under the metal layer 360 and not under the patterned polymer layer 830 is removed using a dry etching process or a wet etching process.
  • the etchant for etching the adhesion/barrier layer 340 is hydrogen peroxide or hydrofluoric acid if the adhesion/barrier layer 340 is titanium and removed by a wet etching process, the etchant for etching the adhesion/barrier layer 340 is hydrofluoric acid.
  • the above-mentioned metal layer 880 may be used as a metal bump capable of being connected to an external circuitry (not shown), such as a ceramic substrate, a printed circuit board, semiconductor chip for chip-on-chip package, glass substrate for a chip-on-glass (COG) package, flex circuit substrate for a chip-on-film (COF) package, a tape carrier for tape-automated-bonded (TAB) package.
  • an external circuitry not shown
  • an external circuitry such as a ceramic substrate, a printed circuit board, semiconductor chip for chip-on-chip package, glass substrate for a chip-on-glass (COG) package, flex circuit substrate for a chip-on-film (COF) package, a tape carrier for tape-automated-bonded (TAB) package.
  • the topmost layer of the metal layer 880 is preferably gold, which can be bonded to a metal layer, preferably of gold, formed on the above-mentioned external circuitry or to a metal layer, preferably of tin-containing material, formed on the above-mentioned external circuitry.
  • a metal layer preferably of gold
  • tin-containing material formed on the above-mentioned external circuitry.
  • an anisotropic conductive film can be use to electrically connect the metal layer 880 to the above-mentioned external circuitry, such as glass substrate.
  • the topmost layer of the metal layer 880 is preferably tin-containing material, which can be bonded to a metal layer, preferably of gold, formed on the ceramic substrate, printed circuit board, or semiconductor chip, or to a metal layer, preferably of tin-containing material, formed on the ceramic substrate, printed circuit board, or semiconductor chip.
  • the metal layer 880 is used as a metal pad for being wirebonded thereto.
  • a gold wire 394 can be bonded to the metal layer 880 using a wirebonding process, as shown in FIG. 65 .
  • the elements shown in FIG. 65 having same reference numbers as those shown in FIGS. 1-14 , 57 - 58 and 61 - 64 indicate similar ones described above in FIGS. 1-14 , 57 - 58 and 61 - 64 .
  • the metal layer 880 includes a solder material, such as tin-lead alloy or a tin-silver alloy
  • the metal layer 880 after being reflowed may be shaped like a ball.
  • the metal layer 360 may have another metal pad, exposed by another opening 836 in the patterned polymer layer 830 , used to be wirebonded thereto.
  • a gold wire 394 can be bonded to the metal layer 360 exposed by another opening 836 in the patterned polymer layer 830 using a wirebonding process.
  • the openings 836 and 834 may be simultaneously formed using a photolithography process.
  • the elements shown in FIG. 66 having same reference numbers as those shown in FIGS. 1-14 , 57 - 58 and 61 - 64 indicate similar ones described above in FIGS. 1-14 , 57 - 58 and 61 - 64 .
  • the above-mentioned metal layer 880 used to be wirebonded thereto or used as a metal bump bonded to an external circuitry may not cover the patterned polymer layer 830 close to the opening 834 therein. Accordingly, the photoresist layer 870 covers the peripheral region of the exposed surface of the metal layer 360 exposed by the opening 834 in the patterned polymer layer 830 and covers the patterned polymer layer 830 close to the opening 834 therein.
  • the above-mentioned ideas in the paragraph can be incorporated into the process shown in FIGS. 61-64 .
  • the elements shown in FIG. 67 having same reference numbers as those shown in FIGS. 1-14 , 57 - 58 and 61 - 64 indicate similar ones described above in FIGS. 1-14 , 57 - 58 and 61 - 64 .
  • the metal layer 360 close to the metal layer 880 used to be wirebonded thereto or used as a metal bump bonded to an external circuitry may not be covered by the patterned polymer layer 830 , as shown in FIG. 68 .
  • the elements shown in FIG. 68 having same reference numbers as those shown in FIGS. 1-14 , 57 - 58 and 61 - 64 indicate similar ones described above in FIGS. 1-14 , 57 - 58 and 61 - 64 .

Abstract

A method for fabricating a circuitry component comprises depositing a first metal layer over a substrate; forming a first pattern-defining layer over said first metal layer, a first opening in said first pattern-defining layer exposing said first metal layer; depositing a second metal layer over said first metal layer exposed by said first opening; removing said first pattern-defining layer; forming a second pattern-defining layer over said second metal layer, a second opening in said second pattern-defining layer exposing said second metal layer; depositing a third metal layer over said second metal layer exposed by said second opening; removing said second pattern-defining layer; removing said first metal layer not under said second metal layer; and forming a polymer layer over said second metal layer, wherein said third metal layer is used as a metal bump bonded to an external circuitry.

Description

  • This application claims priority to U.S. provisional application No. 60/701,849, filed on Jul. 22, 2005, which is herein incorporated by reference in its entirety.
  • BACKGROUND OF THE PRESENT INVENTION
  • 1. Field of Invention
  • The invention relates to a process with a seed layer for two steps of electroplating and the corresponding structure, and more particularly to a metal layer deposited at the second step of electroplating capable of being used as a metal pad used to be wirebonded thereto or to have a gold bump or solder bump formed thereover, of being used as a metal bump, or of being used as metal vias connecting neighboring two patterned circuit layers.
  • 2. Description of Related Arts
  • The continued emphasis in the semiconductor technology is to create improved performance semiconductor devices at competitive prices. This emphasis over the years has resulted in extreme miniaturization of semiconductor devices, made possible by continued advances of semiconductor processes and materials in combination with new and sophisticated device designs. Most of the semiconductor devices are aimed at processing digital data. There are also numerous semiconductor designs that are aimed at incorporating analog functions into devices that are capable of processing digital and analog data, or devices that can be used for processing only analog data. One of the major challenges in the creation of analog processing circuitry is that a number of the components used for analog circuitry are large in size and are therefore not readily integrated into sub-micron devices. Especially, these components may be passive devices, whose size is much huge in comparison with the size of normal semiconductor devices.
  • Some reference teaches a process with a seed layer for two steps of electroplating, as follows;
  • Nobuhisa et al. (U.S. Pat. No. 6,707,159) teach a process with a seed layer for two steps of electroplating two gold layers for chip-on-chip package or for chip-on-PCB package.
  • Chiu-Ming et al. (US2006/0019490) teach a process with a seed layer for two steps of electroplating two gold layers, of electroplating a copper layer and a gold layer, or of electroplating a copper/gold layer and a solder layer.
  • Mou-Shiung Lin et al. (US2005/0277283) teach a process with a seed layer for two steps of electroplating.
  • SUMMARY OF THE PRESENT INVENTION
  • The invention provides a method for fabricating a circuitry component comprising depositing a first metal layer over a substrate; forming a first pattern-defining layer over said first metal layer, a first opening in said first pattern-defining layer exposing said first metal layer; depositing a second metal layer over said first metal layer exposed by said first opening; removing said first pattern-defining layer; forming a second pattern-defining layer over said second metal layer, a second opening in said second pattern-defining layer exposing said second metal layer; depositing a third metal layer over said second metal layer exposed by said second opening; removing said second pattern-defining layer; after said removing said second pattern-defining layer, removing said first metal layer not under said second metal layer; and after said removing said first metal layer, forming a polymer layer over said second metal layer, wherein said third metal layer is used as a metal bump bonded to an external circuitry.
  • The invention provides another method for fabricating a circuitry component comprising depositing a first metal layer over a substrate; forming a first pattern-defining layer over said first metal layer, a first opening in said first pattern-defining layer exposing said first metal layer; depositing a second metal layer over said first metal layer exposed by said first opening; removing said first pattern-defining layer; forming a second pattern-defining layer over said second metal layer, a second opening in said second pattern-defining layer exposing said second metal layer; depositing a third metal layer over said second metal layer exposed by said second opening; and removing said second pattern-defining layer, wherein said third metal layer is used to be wirebonded thereto.
  • The invention provides another method for fabricating a circuitry component comprising depositing a first metal layer over a substrate; forming a first pattern-defining layer over said first metal layer, a first opening in said first pattern-defining layer exposing said first metal layer; depositing a second metal layer over said first metal layer exposed by said first opening; removing said first pattern-defining layer; forming a polymer layer over said second metal layer and part of said first metal layer; and removing said first metal layer not under said second metal layer and not under said polymer layer.
  • One or part or all of these and other features and advantages of the present invention will become readily apparent to those skilled in this art from the following description wherein there is shown and described a preferred embodiment of this invention, simply by way of illustration of one of the modes best suited to carry out the invention. As it will be realized, the invention is capable of different embodiments, and its several details are capable of modifications in various, obvious aspects all without departing from the invention. Accordingly, the drawings and descriptions will be regarded as illustrative in nature and not as restrictive.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1-17 are cross-sectional views showing a process with a seed layer for two steps of electroplating, wherein the metal layer deposited at the second step of electroplating is capable of being used as a metal pad used to be wirebonded thereto or to have a gold bump or solder bump formed thereover, or of being used as a metal bump.
  • FIGS. 18-30 are cross-sectional views showing a process with a seed layer for two steps of electroplating, wherein the metal layer deposited at the second step of electroplating is capable of being a metal via connecting neighboring two coils.
  • FIGS. 31-56 are cross-sectional views showing a process with a seed layer for two steps of electroplating, wherein the metal layer deposited at the second step of electroplating is capable of being a metal via connecting neighboring two circuit layers.
  • FIGS. 57-68 are cross-sectional views showing a process with a patterned polymer layer formed before a seed layer and adhesion/barrier layer is removed.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • The following are the embodiments to illustrate the processes and structures to combine the PI capping and the double embossed structure. At first, the illustrated processes and structures are applied when the PI cap is formed after the double embossed structure is finished for a semiconductor wafer.
  • Referring to FIG. 1, a semiconductor substrate 30 is provided, and the semiconductor substrate 30 may be Si substrate, GaAs substrate, GeSi substrate or SOI (silicon-on-insulator) substrate. The semiconductor substrate 30 is a circular semiconductor wafer. The semiconductor substrate 30 has an active surface having multiple electronic elements 32, which are formed via doping trivalent or pentavalent ions, such as boron ions or phosphorus ions. The electronic elements 32 may be MOS transistors, MOS devices, p-channel MOS devices, n-channel MOS devices, BiCMOS devices, Bipolar Junction Transistors, diffusion areas, resistors, capacitors, or CMOS devices.
  • Referring to FIG. 1, a multiple thin-film insulating layers 36 and multiple thin-film circuit layers 38 are formed over the active surface of the semiconductor substrate 30. Each of the thin-film insulating layers 36 has a thickness less than 3 μm. Each of the thin-film circuit layers 38 has a thickness less than 3 μm. The thin-film circuit layers 38 are made of a copper material or an aluminum material. The thin-film insulating layers 36 are usually formed with a CVD (Chemical Vapor Deposition) method. The material of the thin-film insulating layers 36 may be silicon oxide, TEOS (Tetraethoxysilane), SiwCxOyHz, compound of silicon and nitrogen/compound of silicon, nitrogen and oxygen, SOG (Spin-On Glass), FSG (Fluoro-Silicate Glass), SiLK, black diamond, polyarylene ether, PBO (Polybenzoxazole), or porous silicon oxide. The dielectric constant of the thin-film insulating layers 36 may be lower than 3.
  • When a damascene process is used to form one of multiple thin-film circuit layers 38, such as the topmost one under the passivation layer 42, over the semiconductor substrate 30, a diffusion-barrier layer is firstly sputtered on the upper surface of one of the thin-film insulating layers 36 and on the bottoms and the sidewalls of the openings in said one of the thin-film insulating layers 36; next, a seed layer, such as copper, is sputtered on the diffusion-barrier layer; next, another copper layer is electroplated on the seed layer; and then, the electroplated copper layer, seed layer and diffusion-barrier layer outside the openings in said one of the thin-film insulating layers 36 are removed with a chemical mechanical polishing (CMP) method until the upper surface of said one of the thin-film insulating layers 36 is exposed. In another method to form one of multiple thin-film circuit layers 38, such as the second topmost one under the passivation layer 42, over the semiconductor substrate 30, an aluminum layer or an aluminum-copper alloy layer is sputtered on one of the thin-film insulating layers 36; and then, the aluminum layer or the aluminum-copper alloy layer is patterned with photolithographic and etching processes. The thin-film circuit layers 38 can be interconnected or connected to the electronic elements 32 via conductive vias in openings in the thin-film insulating layers 36. The thickness of one of the thin-film circuit layers 38 is generally between 0.1 and 0.5 μm. The thin-film circuit layers 38 are fabricated with a 5× stepper or 5× scanner or other superior equipment in the step of a photolithographic process.
  • Next, a passivation layer 42 is formed over the thin-film insulating layers 36 and the thin-film circuit layers 38 with a CVD method. The passivation layer 42 can protect the electronic elements 32 in the semiconductor substrate 30 from foreign ion contamination. The passivation layer 42 can retard the penetration of mobile ions (such as sodium ions), moisture, transition metals (such as gold, silver, and copper) and impurities. Thereby, the passivation layer 42 can protect the thin-film circuit layers 38, the thin-film insulating layers 36 and the underlying electronic elements 32 including: transistors, polysilicon resistors, polysilicon-polysilicon capacitors. The passivation layer 42 is usually composed of silicon oxide, compounds of silicon and oxygen, silicate and phosphate glass, silicon nitride, or silicon oxy-nitride, etc. Below, ten methods for depositing the passivation layer 42 are to be introduced.
  • Method 1
  • A silicon oxide layer with a thickness of between 0.2 and 1.2 μm is formed with a CVD method; and next, a silicon nitride layer with a thickness of between 0.2 and 1.2 μm is formed on the silicon oxide with a CVD method.
  • Method 2
  • A silicon oxide layer with a thickness of between 0.2 and 1.2 μm is formed with a CVD method; next, a silicon oxy-nitride layer with a thickness of between 0.05 and 0.15 μm is formed on the silicon oxide with a plasma-enhanced CVD method; and next, a silicon nitride layer with a thickness of between 0.2 and 1.2 μm is formed on the silicon oxy-nitride layer with a CVD method.
  • Method 3
  • A silicon oxy-nitride layer with a thickness of between 0.05 and 0.15 μm is formed with a CVD method; next, a silicon oxide layer with a thickness of between 0.2 and 1.2 μm is formed on the silicon oxy-nitride layer with a CVD method; and next, a silicon nitride layer with a thickness of between 0.2 and 1.2 μm is formed on the silicon oxide layer with a CVD method.
  • Method 4
  • A first silicon oxide layer with a thickness of between 0.2 and 0.5 μm is formed with a CVD method; next, a second silicon oxide layer with a thickness of between 0.5 and 1 μm is formed on the first silicon oxide layer with a spin-coating method; next, a third silicon oxide layer with a thickness of between 0.2 and 0.5 μm is formed on the second silicon oxide layer with a CVD method; and next, a silicon nitride layer with a thickness of between 0.2 and 1.2 μm is formed on the third silicon oxide layer with a CVD method.
  • Method 5
  • A silicon oxide layer with a thickness of between 0.5 and 2 μm is formed with a HDP-CVD (High Density Plasma-Chemical Vapor Deposition) method; and next, a silicon nitride layer with a thickness of between 0.2 and 1.2 μm is formed on the silicon oxide layer with a CVD method.
  • Method 6
  • A USG (Undopcd Silicate Glass) layer with a thickness of between 0.2 and 3 μm is firstly formed; next, an insulating layer with a thickness of between 0.5 and 3 μm, such as TEOS, BPSG (Borophosphosilicate Glass) or PSG (Borophosphosilicate Glass), is formed on the USG layer; and next, a silicon nitride layer with a thickness of between 0.2 and 1.2 μm is formed on the insulating layer with a CVD method.
  • Method 7
  • A first silicon oxy-nitride layer with a thickness of between 0.05 and 0.15 μm is optionally formed with a CVD method; next, a silicon oxide layer with a thickness of between 0.2 and 1.2 μm is formed on the first silicon oxy-nitride layer with a CVD method; next, a second silicon oxy-nitride layer with a thickness of between 0.05 and 0.15 μm is optionally formed on the silicon oxide layer with a CVD method; next, a silicon nitride layer with a thickness of between 0.2 and 1.2 μm is formed on the second silicon oxy-nitride layer or on the silicon oxide layer with a CVD method; next, a third silicon oxy-nitride layer with a thickness of between 0.05 and 0.15 μm is optionally formed on the silicon nitride layer with a CVD method; and next, a silicon oxide layer with a thickness of between 0.2 and 1.2 μm is formed on the third silicon oxy-nitride layer or on the silicon nitride layer with a CVD method.
  • Method 8
  • A first silicon oxide layer with a thickness of between 0.2 and 1.2 μm is formed with a PECVD (Plasma Enhanced Chemical Vapor Deposition) method; next, a second silicon oxide layer with a thickness of between 0.5 and 1 μm is formed on the first silicon oxide layer with a spin-coating method; next, a third silicon oxide layer with a thickness of between 0.2 and 1.2 μm is formed on the second silicon oxide layer with a CVD method; next, a silicon nitride layer with a thickness of between 0.2 and 1.2 μm is formed on the third silicon oxide layer with a CVD method; and next, a fourth silicon oxide layer with a thickness of between 0.2 and 1.2 μm is formed on the silicon nitride layer with a CVD method.
  • Method 9
  • A first silicon oxide layer with a thickness of between 0.5 and 2 μm is formed with a HDP-CVD method; next, a silicon nitride layer with a thickness of between 0.2 and 1.2 μm is formed on the first silicon oxide layer with a CVD method; and next, a second silicon oxide layer with a thickness of between 0.5 and 2 μm is formed on the silicon nitride layer with a HDP-CVD method.
  • Method 10
  • A first silicon nitride layer with a thickness of between 0.2 and 1.2 μm is formed with a CVD method; next, a silicon oxide layer with a thickness of between 0.2 and 1.2 μm is formed on the first silicon nitride layer with a CVD method; and next, a second silicon nitride layer with a thickness of between 0.2 and 1.2 μm is formed on the silicon oxide layer with a CVD method.
  • The total thickness of the passivation layer 42 is generally more than 0.35 μm, and the thickness of the silicon nitride layer is generally more than 0.3 μm under an optimal condition. Typically, the passivation layer 42 comprises a topmost silicon-nitride layer of the completed semiconductor wafer or chip. The passivation layer 42 comprises a topmost silicon-oxide layer of the completed semiconductor wafer or chip. The passivation layer 42 comprises a topmost silicon-oxynitride layer of the completed semiconductor wafer or chip. The passivation layer 42 comprises a topmost CVD-formed layer of the completed semiconductor wafer or chip.
  • Referring to FIG. 2, it is an optional process to form two patterned polymer layers 220 and 230 on the passivation layer 42. The patterned first polymer layer 220 can be formed by spin coating a first polymer layer of polyimide, benzo-cyclo-butene (BCB), parylene-based material, epoxy-based material, or elastomer, with a thickness of between 2 and 50 microns, and preferably between 8 and 30 microns, on the passivation layer 42.
  • Next, if the spin-coated first polymer layer is photosensitive, a photolithography process including exposing and developing steps can be used to pattern the spin-coated first polymer layer. Next, the first polymer layer is cured at the temperature of 300 and 450 degrees centigrade if the spin-coated first polymer layer is polyimide. The patterned first polymer layer 220 after being cured may have a thickness t1 of between 2 and 50 microns, and preferably between 6 and 20 microns.
  • If the spin-coated first polymer layer is non-photosensitive, photolithography and etching processes are typically needed to pattern the spin-coated first polymer layer.
  • Alternatively, the patterned first polymer layer 220 can be formed by screen printing a patterned polymer layer of polyimide, benzocyclobutene (BCB), parylene-based material or epoxy-based material, with a thickness of between 5 and 50 microns on the passivation layer 42, and then curing the screen-printed polymer layer at the temperature of 300 and 450 degrees centigrade if the screen-printed polymer layer is polyimide. Alternatively, the patterned first polymer layer 220 can be formed by laminating a patterned dry film of polyimide, benzocyclobutene (BCB), parylene-based material or epoxy, with a thickness of between 10 and 500 microns on the passivation layer 42.
  • If the patterned first polymer layer 220 is not thick enough, a patterned second polymer layer 230 can be formed on the patterned first polymer layer 220, as shown in FIG. 2. The patterned second polymer layer 230 can be formed by spin coating a second polymer layer of polyimide, benzo-cyclo-butene (BCB), parylene-based material, epoxy-based material, or elastomer, with a thickness of between 2 and 50 microns, and preferably between 8 and 30 microns, on the patterned first polymer layer 220 and on the passivation layer 42.
  • Next, if the spin-coated second polymer layer is photosensitive, a photolithography process including exposing and developing steps can be used to pattern the spin-coated second polymer layer. Next, the first polymer layer is cured at the temperature of 300 and 450 degrees centigrade if the spin-coated second polymer layer is polyimide. The patterned second polymer layer 230 after being cured may have a thickness t2 of between 6 and 20 microns, and preferably between 6 and 20 microns.
  • If the spin-coated second polymer layer is non-photosensitive, photolithography and etching processes are typically needed to pattern the spin-coated second polymer layer.
  • Alternatively, the patterned second polymer layer 230 can be formed by screen printing a patterned polymer layer of polyimide, benzocyclobutene (BCB), parylene-based material or epoxy-based material, with a thickness of between 5 and 50 microns on the patterned first polymer layer 220, and then curing the screen-printed polymer layer at the temperature of 300 and 450 degrees centigrade if the screen-printed polymer layer is polyimide. Alternatively, the patterned second polymer layer 230 can be formed by laminating a patterned dry film of polyimide, benzocyclobutene (BCB), parylene-based material or epoxy-based material, with a thickness of between 10 and 500 microns on the patterned first polymer layer 220.
  • Referring to FIG. 2, after forming the patterned first and second polymer layers 220 and 230, an adhesion/barrier layer 340 may be formed by sputtering or evaporating a metal layer of titanium, tungsten, cobalt, nickel, titanium nitride, a titanium-tungsten alloy, chromium, a chromium-copper alloy, tantalum, or tantalum nitride, with a thickness of between 1000 and 6000 angstroms, on the patterned second polymer layer 230 and on the passivation layer 42. Next, a seed layer 342 may be formed by sputtering, evaporating or electroless plating a metal layer of gold, copper, nickel, silver, palladium, platinum, rhodium, ruthenium, or rhenium, with a thickness of between 500 and 3000 angstroms on the adhesion/barrier layer 340.
  • Next, referring to FIG. 3, a photoresist layer 350, such as photosensitive polyimide, photosensitive benzo-cyclo-butene (BCB), photosensitive parylene-based material, photosensitive epoxy-based material, with a thickness t3 of between 4 and 30 microns, is formed on the seed layer 342 using a spin coating process.
  • Next, referring to FIG. 4, a photolithography process including exposing and developing steps is used to pattern the photoresist layer 350 and to form an opening 352, with a coil pattern from a top view, in the photoresist layer 350 exposing the seed layer 342.
  • Next, referring to FIG. 5, a metal layer 360, with a coil pattern from a top view, is electroplated on seed layer 342 exposed by the opening 352 in the photoresist layer 350. The metal layer 360 can be deposited by electroplating a single layer of gold with a thickness of between 1 and 20 microns, and preferably between 3 and 10 microns, on the seed layer 342 preferably of gold exposed by the opening 352 in the photoresist layer 350. Alternatively, the metal layer 360 can be deposited by electroplating a single layer of copper with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 342 preferably of copper exposed by the opening 352 in the photoresist layer 350. Alternatively, the metal layer 360 can be deposited by electroplating a single layer of silver with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 342 preferably of silver exposed by the opening 352 in the photoresist layer 350. Alternatively, the metal layer 360 can be deposited by electroplating a single layer of nickel with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 342 preferably of nickel exposed by the opening 352 in the photoresist layer 350. Alternatively, the metal layer 360 can be deposited by electroplating a single layer of palladium with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 342 preferably of palladium exposed by the opening 352 in the photoresist layer 350. Alternatively, the metal layer 360 can be deposited by electroplating a single layer of platinum with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 342 preferably of platinum exposed by the opening 352 in the photoresist layer 350. Alternatively, the metal layer 360 can be deposited by electroplating a single layer of rhodium with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 342 preferably of rhodium exposed by the opening 352 in the photoresist layer 350. Alternatively, the metal layer 360 can be deposited by electroplating a single layer of ruthenium with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 342 preferably of ruthenium exposed by the opening 352 in the photoresist layer 350. Alternatively, the metal layer 360 can be deposited by electroplating a single layer of rhenium with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 342 preferably of rhenium exposed by the opening 352 in the photoresist layer 350. Alternatively, the metal layer 360 can be deposited by electroplating a copper layer with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 342 preferably of copper exposed by the opening 352 in the photoresist layer 350, and then electroplating a nickel layer with a thickness of between 1 and 5 microns on the copper layer in the opening 352 in the photoresist layer 350. Alternatively, the metal layer 360 can be deposited by electroplating a copper layer with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 342 preferably of copper exposed by the opening 352 in the photoresist layer 350, then electroplating a nickel layer with a thickness of between 1 and 5 microns on the copper layer in the opening 352 in the photoresist layer 350, and then electroplating a gold layer with a thickness of between 1 and 5 microns on the nickel layer in the opening 352 in the photoresist layer 350.
  • Next, referring to FIG. 6, the photoresist layer 350 is stripped. The pitch p1 between the centers of the neighboring turns of the patterned coil may range from 2 to 30 microns, and preferably from 2 and 10 microns.
  • Next, referring to FIG. 7, a photoresist layer 370, such as photosensitive polyimide, photosensitive benzo-cyclo-butene (BCB), photosensitive parylene-based material, photosensitive epoxy-based material, with a thickness t4 of between 4 and 30 microns, is formed on the electroplated metal layer 360 and on the seed layer 342 using a spin coating process.
  • Next, referring to FIG. 8, a photolithography process including exposing and developing steps is used to pattern the photoresist layer 370 and to form an opening 372 in the photoresist layer 370 exposing the electroplated metal layer 360.
  • Next, referring to FIG. 9, a metal layer 380 is electroplated on the metal layer 360 exposed by the opening 372 in the photoresist layer 370. The metal layer 380 can be deposited by electroplating a single layer of gold with a thickness of between 2 and 30 microns on the metal layer 360, whose topmost layer is preferably gold, exposed by the opening 372 in the photoresist layer 370. Alternatively, the metal layer 380 can be deposited by electroplating a single layer of gold with a thickness of between 1 and 30 microns on the metal layer 360, whose topmost layer is preferably nickel, exposed by the opening 372 in the photoresist layer 370. Alternatively, the metal layer 380 can be deposited by electroplating a single layer of silver with a thickness of between 2 and 30 microns on the metal layer 360, whose topmost layer is preferably silver, exposed by the opening 372 in the photoresist layer 370. Alternatively, the metal layer 380 can be deposited by electroplating a single layer of palladium with a thickness of between 2 and 30 microns on the metal layer 360, whose topmost layer is preferably palladium, exposed by the opening 372 in the photoresist layer 370. Alternatively, the metal layer 380 can be deposited by electroplating a single layer of platinum with a thickness of between 2 and 30 microns on the metal layer 360, whose topmost layer is preferably platinum, exposed by the opening 372 in the photoresist layer 370. Alternatively, the metal layer 380 can be deposited by electroplating a single layer of rhodium with a thickness of between 2 and 30 microns on the metal layer 360, whose topmost layer is preferably rhodium, exposed by the opening 372 in the photoresist layer 370. Alternatively, the metal layer 380 can be deposited by electroplating a single layer of ruthenium with a thickness of between 2 and 30 microns on the metal layer 360, whose topmost layer is preferably ruthenium, exposed by the opening 372 in the photoresist layer 370. Alternatively, the metal layer 380 can be deposited by electroplating a single layer of rhenium with a thickness of between 2 and 30 microns on the metal layer 360, whose topmost layer is preferably rhenium, exposed by the opening 372 in the photoresist layer 370. Alternatively, the metal layer 380 can be deposited by electroplating a single layer of copper with a thickness of between 2 and 30 microns on the metal layer 360, whose topmost layer is preferably copper, exposed by the opening 372 in the photoresist layer 370. Alternatively, the metal layer 380 can be deposited by electroplating a nickel layer with a thickness of between 1 and 10 microns on the metal layer 360, whose topmost layer is preferably nickel, exposed by the opening 372 in the photoresist layer 370, and then electroplating a solder layer, such a tin-lead alloy or a tin-silver alloy, with a thickness of between 10 and 150 microns on the nickel layer in the opening 372 in the photoresist layer 370. Alternatively, the metal layer 380 can be deposited by electroplating a nickel layer with a thickness of between 1 and 10 microns on the metal layer 360, whose topmost layer is preferably nickel, exposed by the opening 372 in the photoresist layer 370, and then electroplating a gold layer with a thickness of between 1 and 20 microns on the nickel layer in the opening 372 in the photoresist layer 370.
  • Next, referring to FIG. 10, the photoresist layer 370 is stripped. Next, referring to FIG. 11, the seed layer 342 not under the metal layer 360 is removed using a dry etching process or a wet etching process. If the seed layer 342 is gold and removed by a wet etching process, the etchant for etching the seed layer 342 is potassium iodide. Thereafter, the adhesion/barrier layer 340 not under the metal layer 360 is removed using a dry etching process or a wet etching process. If the adhesion/barrier layer 340 is a titanium tungsten alloy and removed by a wet etching process, the etchant for etching the adhesion/barrier layer 340 is hydrogen peroxide or hydrofluoric acid. If the adhesion/barrier layer 340 is titanium and removed by a wet etching process, the etchant for etching the adhesion/barrier layer 340 is hydrofluoric acid.
  • Referring to FIGS. 12-13, it is an optional process to form a patterned polymer layer 390 on the metal layers 380 and 360, on the patterned polymer layer 330, and on the passivation layer 42. The patterned polymer layer 390 can be formed by spin coating a polymer layer of polyimide, benzo-cyclo-butene (BCB), parylene-based material, epoxy-based material, or elastomer, with a thickness of between 2 and 50 microns, and preferably between 8 and 30 microns, on the metal layers 380 and 360, on the patterned polymer layer 330, and on the passivation layer 42.
  • Next, if the spin-coated polymer layer is photosensitive, a photolithography process including exposing and developing steps can be used to form an opening 392 in the spin-coated polymer layer exposing the metal layer 380. Next, the spin-coated polymer layer is cured at the temperature of 300 and 450 degrees centigrade if the spin-coated polymer layer is polyimide. The patterned polymer layer 390 after being cured may have a thickness t5 of between 2 and 50 microns, and preferably between 6 and 20 microns.
  • If the spin-coated polymer layer is non-photosensitive, photolithography and etching processes are typically needed to pattern the spin-coated polymer layer.
  • Alternatively, the patterned polymer layer 390 can be formed by screen printing a patterned polymer layer of polyimide, benzocyclobutene (BCB), parylene-based material or epoxy-based material, with a thickness of between 5 and 50 microns on the metal layer 360, on the patterned polymer layer 330, and on the passivation layer 42, and then curing the screen-printed polymer layer at the temperature of 300 and 450 degrees centigrade if the screen-printed polymer layer is polyimide. Alternatively, the patterned polymer layer 390 can be formed by laminating a patterned dry film of polyimide, benzocyclobutene (BCB), parylene-based material or epoxy, with a thickness of between 10 and 500 microns on the metal layer 360, on the patterned polymer layer 330, and on the passivation layer 42.
  • In this embodiment, the patterned polymer layer 390 covers the peripheral region of the metal layer 380 used as a metal pad.
  • Next, referring to FIG. 14, the metal layer 380 is used as a metal pad for being wirebonded thereto or having a gold bump or solder bump formed thereover. A gold wire 394 can be connected to the metal layer 380 exposed by the opening 392 in the polymer layer 390 using a wirebonding process. Alternatively, a gold bump or tin-containing bump, not shown, can be formed over the above-mentioned metal layer 380 exposed by the opening 392 in the polymer layer 390.
  • Alternatively, referring to FIG. 15, the metal layer 380 used as a metal pad for being wirebonded thereto or having a gold bump or solder bump formed thereover has a top surface and a side surface not covered by the patterned polymer layer 390. A gold wire 394 can be connected to the metal layer 380 exposed by the opening 392 in the polymer layer 390 using a wirebonding process. Alternatively, a gold bump or tin-containing bump, not shown, can be formed over the above-mentioned metal layer 380 exposed by the opening 392 in the polymer layer 390. The elements shown in FIG. 15 having same reference numbers as those shown in FIGS. 1-14 indicate similar ones described above in FIGS. 1-14.
  • Alternatively, referring to FIG. 16, the above-mentioned metal layer 380 may be used as a metal bump capable of being connected to an external circuitry 396, such as a ceramic substrate, a printed circuit board, semiconductor chip for chip-on-chip package, glass substrate for a chip-on-glass (COG) package, flex circuit substrate for a chip-on-film (COF) package, a tape carrier for tape-automated-bonded (TAB) package. In the application for COG, COF or TAB packages, the topmost layer of the metal layer 380 is preferably gold, which can be bonded to a metal layer 398, preferably of gold, formed on the above-mentioned external circuitry 396 or to a metal layer 398, preferably of tin-containing material, formed on the above-mentioned external circuitry. Alternatively, an anisotropic conductive film (ACF) can be use to electrically connect the metal layer 380 to the above-mentioned external circuitry 396, such as glass substrate. In the application for being connected to a ceramic substrate, printed circuit board, or semiconductor chip 396, the topmost layer of the metal layer 380 is preferably tin-containing material, which can be bonded to a metal layer 398, preferably of gold, formed on the ceramic substrate, printed circuit board, or semiconductor chip 396, or to a metal layer 398, preferably of tin-containing material, formed on the ceramic substrate, printed circuit board, or semiconductor chip 396. After the metal layer 380 is connected to the above-mentioned external circuitry 396, a polymer material 399, such as polyimide or benzo-cyclo-butene (BCB), can be filled into the gap between the patterned polymer layer 390 and the above-mentioned external circuitry 396. The metal layer 380 used as a metal bump is protruded from the patterned polymer layer 390 such that the metal layer 380 can be easily bonded to the above-mentioned external circuitry 396. The elements shown in FIG. 16 having same reference numbers as those shown in FIGS. 1-14 indicate similar ones described above in FIGS. 1-14.
  • Alternatively, referring to FIG. 17, a metal bump formed from the above-mentioned metal layer 380 capable of being connected to the above-mentioned external circuitry 396 and a bond pad formed from the above-mentioned metal layer 360 capable of being wirebonded thereto or having a gold bump or solder bump formed thereover can be provided. An opening 393 in the polymer layer 390 exposes the bond pad formed from the above-mentioned metal layer 360. A gold wire 394 can be connected to the metal layer 360 exposed by the opening 393 in the polymer layer 390 using a wirebonding process. Alternatively, a gold bump or tin-containing bump, not shown, can be formed over the metal layer 360 exposed by the opening 393 in the polymer layer 390. The elements shown in FIG. 17 having same reference numbers as those shown in FIGS. 1-14 and 16 indicate similar ones described above in FIGS. 1-14 and 16.
  • Alternatively, two layers of coils can be formed over the passivation layer 42, as shown in FIGS. 18-30. The process illustrated by FIGS. 18-30 follows the above-mentioned process of FIG. 6. The elements shown in FIGS. 18-30 having same reference numbers as those shown in FIGS. 1-14 indicate similar ones described above in FIGS. 1-14. After the above-mentioned metal layer 360 is formed, a photoresist layer 470, such as photosensitive polyimide, photosensitive benzo-cyclo-butene (BCB), photosensitive parylene-based material, photosensitive epoxy-based material, with a thickness t4 of between 1 and 30 microns, is formed on the electroplated metal layer 360 and on the seed layer 342 using a spin coating process. Next, a photolithography process including exposing and developing steps is used to pattern the photoresist layer 470 and to form an opening 472 in the photoresist layer 470 exposing the electroplated metal layer 360.
  • Next, a metal layer 480 is electroplated on the metal layer 360 exposed by the opening 472 in the photoresist layer 470. The metal layer 480 can be deposited by electroplating a single layer of gold with a thickness of between 1 and 20 microns on the metal layer 360, whose topmost layer is preferably gold, exposed by the opening 472 in the photoresist layer 470. Alternatively, the metal layer 480 can be deposited by electroplating a single layer of gold with a thickness of between 1 and 20 microns on the metal layer 360, whose topmost layer is preferably nickel, exposed by the opening 472 in the photoresist layer 470. Alternatively, the metal layer 480 can be deposited by electroplating a single layer of silver with a thickness of between 1 and 20 microns on the metal layer 360, whose topmost layer is preferably silver, exposed by the opening 472 in the photoresist layer 470. Alternatively, the metal layer 480 can be deposited by electroplating a single layer of palladium with a thickness of between 1 and 20 microns on the metal layer 360, whose topmost layer is preferably palladium, exposed by the opening 472 in the photoresist layer 470. Alternatively, the metal layer 480 can be deposited by electroplating a single layer of platinum with a thickness of between 1 and 20 microns on the metal layer 360, whose topmost layer is preferably platinum, exposed by the opening 472 in the photoresist layer 470. Alternatively, the metal layer 480 can be deposited by electroplating a single layer of rhodium with a thickness of between 1 and 20 microns on the metal layer 360, whose topmost layer is preferably rhodium, exposed by the opening 472 in the photoresist layer 470. Alternatively, the metal layer 480 can be deposited by electroplating a single layer of ruthenium with a thickness of between 1 and 20 microns on the metal layer 360, whose topmost layer is preferably ruthenium, exposed by the opening 472 in the photoresist layer 470. Alternatively, the metal layer 480 can be deposited by electroplating a single layer of rhenium with a thickness of between 1 and 20 microns on the metal layer 360, whose topmost layer is preferably rhenium, exposed by the opening 472 in the photoresist layer 470. Alternatively, the metal layer 480 can be deposited by electroplating a single layer of copper with a thickness of between 1 and 20 microns on the metal layer 360, whose topmost layer is preferably copper, exposed by the opening 472 in the photoresist layer 470. Alternatively, the metal layer 480 can be deposited by electroplating a nickel layer with a thickness of between 1 and 10 microns on the metal layer 360, whose topmost layer is preferably nickel, exposed by the opening 472 in the photoresist layer 470, and then electroplating a solder layer, such a tin-lead alloy or a tin-silver alloy, with a thickness of between 1 and 10 microns on the nickel layer. Alternatively, the metal layer 480 can be deposited by electroplating a nickel layer with a thickness of between 1 and 10 microns on the metal layer 360, whose topmost layer is preferably nickel, exposed by the opening 472 in the photoresist layer 470, and then electroplating a gold layer with a thickness of between 1 and 10 microns on the nickel layer.
  • In the embodiment, the metal layer 480 is formed with a metal via connecting neighboring coils separated by a to-be-formed polymer layer.
  • Next, referring to FIG. 19, the photoresist layer 470 is stripped. Next, referring to FIG. 20, the seed layer 342 not under the metal layer 360 is removed using a dry etching process or a wet etching process. If the seed layer is gold and removed by a wet etching process, the etchant for etching the seed layer 342 is potassium iodide. Thereinafter, the adhesion/barrier layer 340 not under the metal layer 360 is removed using a dry etching process or a wet etching process. If the adhesion/barrier layer 340 is a titanium tungsten alloy and removed by a wet etching process, the etchant for etching the adhesion/barrier layer 340 is hydrogen peroxide or hydrofluoric acid. If the adhesion/barrier layer 340 is titanium and removed by a wet etching process, the etchant for etching the adhesion/barrier layer 340 is hydrofluoric acid.
  • Referring to FIG. 21, a polymer layer 490 is formed on the metal layers 480 and 360, on the patterned polymer layer 330, and on the passivation layer 42. The polymer layer 490 can be formed by spin coating a polymer layer of polyimide, benzo-cyclo-butene (BCB), parylene-based material, epoxy-based material, or elastomer, with a thickness of between 2 and 50 microns, and preferably between 8 and 30 microns, on the metal layers 380 and 360, on the patterned polymer layer 330, and on the passivation layer 42, and then curing the spin-coated polymer layer at the temperature of 300 and 450 degrees centigrade if the spin-coated polymer layer is polyimide. Alternatively, the polymer layer 490 can be formed by repeating said spin coating process and said curing process many times to form the polymer layer 490 with an extremely great thickness.
  • Alternatively, the polymer layer 490 can be formed by screen printing a polymer layer of polyimide, benzocyclobutene (BCB), parylene-based material or epoxy-based material, with a thickness of between 5 and 50 microns on the metal layers 480 and 360, on the patterned polymer layer 330, and on the passivation layer 42, and then curing the screen-printed polymer layer at the temperature of 300 and 450 degrees centigrade if the screen-printed polymer layer is polyimide. Alternatively, the polymer layer 490 can be formed by laminating a dry film of polyimide, benzocyclobutene (BCB), parylene-based material or epoxy, with a thickness of between 10 and 500 microns on the metal layers 480 and 360, on the patterned polymer layer 330, and on the passivation layer 42.
  • Next, referring to FIG. 22, the top side of the polymer layer 490 is ground using a mechanical grinding process or using a chemical mechanical polishing (CMP) process until the top surface of the metal layer 480 is exposed to the outside.
  • Next, referring to FIG. 23, an adhesion/barrier layer 540 may be formed by sputtering or evaporating a metal layer of titanium, tungsten, cobalt, nickel, titanium nitride, a titanium-tungsten alloy, chromium, a chromium-copper alloy, tantalum, or tantalum nitride, with a thickness of between 1000 and 6000 angstroms, on the polymer layer 490 and on the metal layer 480. Next, a seed layer 542 may be formed by sputtering, evaporating or electroless plating a metal layer of gold, copper, nickel, silver, palladium, platinum, rhodium, ruthenium, or rhenium, with a thickness of between 500 and 3000 angstroms on the adhesion/barrier layer 540.
  • Next, referring to FIG. 24, a photoresist layer 550, such as photosensitive polyimide, photosensitive benzo-cyclo-butene (BCB), photosensitive parylene-based material, photosensitive epoxy-based material, with a thickness t3 of between 4 and 30 microns, is formed on the seed layer 542 using a spin coating process.
  • Next, referring to FIG. 25, a photolithography process including exposing and developing steps is used to pattern the photoresist layer 550 and to form an opening 552, with a coil pattern from a top view, in the photoresist layer 550 exposing the seed layer 542.
  • Next, referring to FIG. 26, a metal layer 560, with a coil pattern from a top view, is electroplated on seed layer 542 exposed by the opening 552 in the photoresist layer 550. The metal layer 560 can be deposited by electroplating a single layer of gold with a thickness of between 1 and 20 microns, and preferably between 3 and 10 microns, on the seed layer 542 preferably of gold exposed by the opening 552 in the photoresist layer 550. Alternatively, the metal layer 560 can be deposited by electroplating a single layer of copper with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 542 preferably of copper exposed by the opening 552 in the photoresist layer 550. Alternatively, the metal layer 560 can be deposited by electroplating a single layer of silver with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 542 preferably of silver exposed by the opening 552 in the photoresist layer 550. Alternatively, the metal layer 560 can be deposited by electroplating a single layer of nickel with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 542 preferably of nickel exposed by the opening 552 in the photoresist layer 550. Alternatively, the metal layer 560 can be deposited by electroplating a single layer of palladium with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 542 preferably of palladium exposed by the opening 552 in the photoresist layer 550. Alternatively, the metal layer 560 can be deposited by electroplating a single layer of platinum with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 542 preferably of platinum exposed by the opening 552 in the photoresist layer 550. Alternatively, the metal layer 560 can be deposited by electroplating a single layer of rhodium with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 542 preferably of rhodium exposed by the opening 552 in the photoresist layer 550: Alternatively, the metal layer 560 can be deposited by electroplating a single layer of ruthenium with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 542 preferably of ruthenium exposed by the opening 552 in the photoresist layer 550. Alternatively, the metal layer 560 can be deposited by electroplating a single layer of rhenium with a thickness of between and 20 microns, and preferably 3 and 10 microns, on the seed layer 542 preferably of rhenium exposed by the opening 552 in the photoresist layer 550. Alternatively, the metal layer 560 can be deposited by electroplating a copper layer with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 542 preferably of copper exposed by the opening 552 in the photoresist layer 550, and then electroplating a nickel layer with a thickness of between 1 and 5 microns on the copper layer in the opening 552 in the photoresist layer 550. Alternatively, the metal layer 560 can be deposited by electroplating a copper layer with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 542 preferably of copper exposed by the opening 552 in the photoresist layer 550, then electroplating a nickel layer with a thickness of between 1 and 5 microns on the copper layer in the opening 552 in the photoresist layer 550, and then electroplating a gold layer with a thickness of between 1 and 5 microns on the nickel layer in the opening 552 in the photoresist layer 550.
  • Next, referring to FIG. 27, the photoresist layer 550 is stripped. The pitch p2 between the centers of the neighboring turns of the patterned coil may range from 2 to 30 microns, and preferably from 2 and 10 microns.
  • Next, referring to FIG. 28, the seed layer 542 not under the metal layer 560 is removed using a dry etching process or a wet etching process. If the seed layer 542 is gold and removed by a wet etching process, the etchant for etching the seed layer 542 is potassium iodide. Thereafter, the adhesion/barrier layer 540 not under the metal layer 560 is removed using a dry etching process or a wet etching process. If the adhesion/barrier layer 540 is a titanium tungsten alloy and removed by a wet etching process, the etchant for etching the adhesion/barrier layer 540 is hydrogen peroxide or hydrofluoric acid. If the adhesion/barrier layer 540 is titanium and removed by a wet etching process, the etchant for etching the adhesion/barrier layer 540 is hydrofluoric acid.
  • Referring to FIGS. 29-30, it is an optional process to form a patterned polymer layer 590 on the metal layer 560 and on the polymer layer 490. The patterned polymer layer 590 can be formed by spin coating a polymer layer of polyimide, benzo-cyclo-butene (BCB), parylene-based material, epoxy-based material, or elastomer, with a thickness of between 2 and 50 microns, and preferably between 8 and 30 microns, on the metal layer 560 and on the polymer layer 490.
  • Next, if the spin-coated polymer layer is photosensitive, a photolithography process including exposing and developing steps can be used to form an opening 592 in the spin-coated polymer layer exposing the metal layer 560. Next, the spin-coated polymer layer is cured at the temperature of 300 and 450 degrees centigrade if the spin-coated polymer layer is polyimide. The patterned polymer layer 590 after being cured may have a thickness t6 of between 2 and 50 microns, and preferably between 6 and 20 microns.
  • If the spin-coated polymer layer is non-photosensitive, photolithography and etching processes are typically needed to pattern the spin-coated polymer layer.
  • Alternatively, the patterned polymer layer 590 can be formed by screen printing a patterned polymer layer of polyimide, benzocyclobutene (BCB), parylene-based material or epoxy-based material, with a thickness of between 5 and 50 microns on the metal layer 560 and on the polymer layer 490, and then curing the screen-printed polymer layer at the temperature of 300 and 450 degrees centigrade if the screen-printed polymer layer is polyimide. Alternatively, the patterned polymer layer 590 can be formed by laminating a patterned dry film of polyimide, benzocyclobutene (BCB), parylene-based material or epoxy, with a thickness of between 10 and 500 microns on the metal layer 560 and on the polymer layer 490.
  • Next, referring to FIG. 30, the metal layer 560 has a portion exposed by the opening 592 in the polymer layer 590, which may be used as a metal pad for being wirebonded thereto or having a gold bump or solder bump formed thereover. A gold wire 394 can be connected to the metal layer 560 exposed by the opening 592 in the polymer layer 590 using a wirebonding process. Alternatively, a gold bump or tin-containing bump, not shown, can be formed over the above-mentioned metal layer 560 exposed by the opening 592 in the polymer layer 590.
  • Alternatively, the above-mentioned process is not limited to forming coils with two patented circuit layers, but can be applied to forming a metal trace with multiple patterned circuit layers, as shown in FIG. 31-56. Referring to FIGS. 31-56, the structure under the passivation layer 42 can be referred as to that described in FIG. 1. The elements shown in FIGS. 31-56 having same reference numbers as those shown in FIGS. 1-14 indicate similar ones described above in FIGS. 1-14. In FIG. 31, multiple openings 44 may be formed in the passivation layer 42 and may expose multiple metal pads of the topmost one of the thin-film circuit layers 38.
  • Referring to FIG. 32, it is an optional process to form a patterned polymer layer 620 on the passivation layer 42 and on the metal pads exposed by the openings 44 in the passivation layer 42. The patterned polymer layer 620 can be formed by spin coating a polymer layer of polyimide, benzo-cyclo-butene (BCB), parylene-based material, epoxy-based material, or elastomer, with a thickness of between 2 and 50 microns, and preferably between 8 and 30 microns, on the passivation layer 42 and on the metal pads of the topmost one of the thin-film circuit layers 38 exposed by the openings 44 in the passivation layer 42.
  • Next, if the spin-coated polymer layer is photosensitive, a photolithography process including exposing and developing steps can be used to pattern the spin-coated polymer layer and to form multiple openings 622 in the spin-coated polymer layer exposing the metal pads of the topmost one of the thin-film circuit layers 38 exposed by the openings 44 in the passivation layer 42. Next, the spin-coated polymer layer is cured at the temperature of 300 and 450 degrees centigrade if the spin-coated polymer layer is polyimide. The patterned polymer layer 620 after being cured may have a thickness t7 of between 2 and 50 microns, and preferably between 6 and 20 microns.
  • If the spin-coated polymer layer is non-photosensitive, photolithography and etching processes are typically needed to pattern the spin-coated first polymer layer.
  • Alternatively, the patterned polymer layer 620 can be formed by screen printing a patterned polymer layer of polyimide, benzocyclobutene (BCB), parylene-based material or epoxy-based material, with a thickness of between 5 and 50 microns on the passivation layer 42, and then curing the screen-printed polymer layer at the temperature of 300 and 450 degrees centigrade if the screen-printed polymer layer is polyimide. Alternatively, the patterned polymer layer 620 can be formed by laminating a patterned dry film of polyimide, benzocyclobutene (BCB), parylene-based material or epoxy, with a thickness of between 10 and 500 microns on the passivation layer 42.
  • The patterned polymer layer 620 may cover a peripheral region of the exposed surface of the metal pads, such as the left one, of the topmost one of the thin-film circuit layers 38 exposed by the openings 44 in the passivation layer 42. The openings 622 in the patterned polymer layer 620 may expose the entire exposed surface of the metal pads, such as the middle and right ones, of the topmost one of the thin-film circuit layers 38 exposed by the openings 44 in the passivation layer 42.
  • Alternatively, the patterned polymer layer 620 can be formed by repeating said spin coating process and said curing process many times to form the polymer layer 620 with an extremely great thickness.
  • Referring to FIG. 33, after forming the patterned polymer layer 620, an adhesion/barrier layer 640 may be formed by sputtering or evaporating a metal layer of titanium, tungsten, cobalt, nickel, titanium nitride, a titanium-tungsten alloy, chromium, a chromium-copper alloy, tantalum, or tantalum nitride, with a thickness of between 1000 and 6000 angstroms, on the patterned polymer layer 620 and on the metal pads of the topmost one of the thin-film circuit layers 38 exposed by the openings 44 in the passivation layer 42. Next, a seed layer 642 may be formed by sputtering, evaporating or electroless plating a metal layer of gold, copper, nickel, silver, palladium, platinum, rhodium, ruthenium, or rhenium, with a thickness of between 500 and 3000 angstroms on the adhesion/barrier layer 640.
  • Next, referring to FIG. 34, a photoresist layer 650, such as photosensitive polyimide, photosensitive benzo-cyclo-butene (BCB), photosensitive parylene-based material, photosensitive epoxy-based material, with a thickness t8 of between 4 and 30 microns, is formed on the seed layer 642 using a spin coating process. Next, a photolithography process including exposing and developing steps is used to pattern the photoresist layer 650 and to form multiple openings 652, with trace patterns from a top view, in the photoresist layer 650 exposing the seed layer 642.
  • Next, referring to FIG. 35, a metal layer 660, with a coil pattern from a top view, is electroplated on seed layer 642 exposed by the openings 652 in the photoresist layer 650. The metal layer 660 can be deposited by electroplating a single layer of gold with a thickness of between 1 and 20 microns, and preferably between 3 and 10 microns, on the seed layer 642 preferably of gold exposed by the openings 652 in the photoresist layer 650. Alternatively, the metal layer 660 can be deposited by electroplating a single layer of copper with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 642 preferably of copper exposed by the openings 652 in the photoresist layer 650. Alternatively, the metal layer 660 can be deposited by electroplating a single layer of silver with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 642 preferably of silver exposed by the openings 652 in the photoresist layer 650. Alternatively, the metal layer 660 can be deposited by electroplating a single layer of nickel with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 642 preferably of nickel exposed by the openings 652 in the photoresist layer 650. Alternatively, the metal layer 660 can be deposited by electroplating a single layer of palladium with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 642 preferably of palladium exposed by the openings 652 in the photoresist layer 650. Alternatively, the metal layer 660 can be deposited by electroplating a single layer of platinum with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 642 preferably of platinum exposed by the openings 652 in the photoresist layer 650. Alternatively, the metal layer 660 can be deposited by electroplating a single layer of rhodium with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 642 preferably of rhodium exposed by the openings 652 in the photoresist layer 650. Alternatively, the metal layer 660 can be deposited by electroplating a single layer of ruthenium with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 642 preferably of ruthenium exposed by the openings 652 in the photoresist layer 650. Alternatively, the metal layer 660 can be deposited by electroplating a single layer of rhenium with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 642 preferably of rhenium exposed by the openings 652 in the photoresist layer 650. Alternatively, the metal layer 660 can be deposited by electroplating a copper layer with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer preferably of copper exposed by the openings 652 in the photoresist layer 650, and then electroplating a nickel layer with a thickness of between 1 and 5 microns on the copper layer exposed by the openings 652 in the photoresist layer 650. Alternatively, the metal layer 660 can be deposited by electroplating a copper layer with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer preferably of copper exposed by the openings 652 in the photoresist layer 650, then electroplating a nickel layer with a thickness of between 1 and 5 microns on the copper layer exposed by the openings in the photoresist layer 650, and then electroplating a gold layer with a thickness of between 1 and 5 microns on the nickel layer exposed by the openings in the photoresist layer 650.
  • Next, referring to FIG. 36, the photoresist layer 650 is stripped.
  • Next, referring to FIG. 37, a photoresist layer 670, such as photosensitive polyimide, photosensitive benzo-cyclo-butene (BCB), photosensitive parylene-based material, photosensitive epoxy-based material, with a thickness t9 of between 4 and 30 microns, is formed on the electroplated metal layer 660 and on the seed layer 642 using a spin coating process. Next, a photolithography process including exposing and developing steps is used to pattern the photoresist layer 670 and to form multiple openings 672 in the photoresist layer 670 exposing the electroplated metal layer 660.
  • Next, referring to FIG. 38, a metal layer 680 is electroplated on the metal layer 660 exposed by the openings 672 in the photoresist layer 670. The metal layer 680 can be deposited by electroplating a single layer of gold with a thickness of between 1 and 20 microns on the metal layer 660, whose topmost layer is preferably gold, exposed by the openings 672 in the photoresist layer 670. Alternatively, the metal layer 680 can be deposited by electroplating a single layer of gold with a thickness of between 1 and 20 microns on the metal layer 660, whose topmost layer is preferably nickel, exposed by the openings 672 in the photoresist layer 670. Alternatively, the metal layer 680 can be deposited by electroplating a single layer of silver with a thickness of between 1 and 20 microns on the metal layer 660, whose topmost layer is preferably silver, exposed by the openings 672 in the photoresist layer 670. Alternatively, the metal layer 680 can be deposited by electroplating a single layer of palladium with a thickness of between 1 and 20 microns on the metal layer 660, whose topmost layer is preferably palladium, exposed by the openings 672 in the photoresist layer 670. Alternatively, the metal layer 680 can be deposited by electroplating a single layer of platinum with a thickness of between 1 and 20 microns on the metal layer 660, whose topmost layer is preferably platinum, exposed by the openings 672 in the photoresist layer 670. Alternatively, the metal layer 680 can be deposited by electroplating a single layer of rhodium with a thickness of between 1 and 20 microns on the metal layer 660, whose topmost layer is preferably rhodium, exposed by the openings 672 in the photoresist layer 670. Alternatively, the metal layer 680 can be deposited by electroplating a single layer of ruthenium with a thickness of between 1 and 20 microns on the metal layer 660, whose topmost layer is preferably ruthenium, exposed by the openings 672 in the photoresist layer 670. Alternatively, the metal layer 680 can be deposited by electroplating a single layer of rhenium with a thickness of between 1 and 20 microns on the metal layer 660, whose topmost layer is preferably rhenium, exposed by the openings 672 in the photoresist layer 670. Alternatively, the metal layer 680 can be deposited by electroplating a single layer of copper with a thickness of between 1 and 20 microns on the metal layer 660, whose topmost layer is preferably copper, exposed by the openings 672 in the photoresist layer 670. Alternatively, the metal layer 680 can be deposited by electroplating a nickel layer with a thickness of between 1 and 10 microns on the metal layer 660, whose topmost layer is preferably nickel, exposed by the openings 672 in the photoresist layer 670, and then electroplating a solder layer, such a tin-lead alloy or a tin-silver alloy, with a thickness of between 1 and 10 microns on the nickel layer. Alternatively, the metal layer 680 can be deposited by electroplating a nickel layer with a thickness of between 1 and 10 microns on the metal layer 660, whose topmost layer is preferably nickel, exposed by the openings 672 in the photoresist layer 670, and then electroplating a gold layer with a thickness of between 1 and 10 microns on the nickel layer.
  • In the embodiment, the metal layer 680 is formed with multiple metal vias connecting neighboring circuit metal layers separated by a to-be-formed polymer layer.
  • Next, referring to FIG. 39, the photoresist layer 670 is stripped. Next, referring to FIG. 40, the seed layer 642 not under the metal layer 660 is removed using a dry etching process or a wet etching process. If the seed layer 642 is gold and removed by a wet etching process, the etchant for etching the seed layer 642 is potassium iodide. Thereafter, the adhesion/barrier layer 340 not under the metal layer 660 is removed using a dry etching process or a wet etching process. If the adhesion/barrier layer 640 is a titanium tungsten alloy and removed by a wet etching process, the etchant for etching the adhesion/barrier layer 640 is hydrogen peroxide or hydrofluoric acid. If the adhesion/barrier layer 640 is titanium and removed by a wet etching process, the etchant for etching the adhesion/barrier layer 640 is hydrofluoric acid.
  • Referring to FIG. 41, a polymer layer 690 is formed on the metal layers 680 and 660 and on the patterned polymer layer 620. The polymer layer 690 can be formed by spin coating a polymer layer of polyimide, benzo-cyclo-butene (BCB), parylene-based material, epoxy-based material, or elastomer, with a thickness of between 2 and 50 microns, and preferably between 8 and 30 microns, on the metal layers 680 and 660 and on the patterned polymer layer 620, and then curing the spin-coated polymer layer at the temperature of 300 and 450 degrees centigrade if the spin-coated polymer layer is polyimide. Alternatively, the polymer layer 690 can be formed by repeating said spin coating process and said curing process many times to form the polymer layer 690 with an extremely great thickness.
  • Alternatively, the polymer layer 690 can be formed by screen printing a polymer layer of polyimide, benzocyclobutene (BCB), parylene-based material or epoxy-based material, with a thickness of between 5 and 50 microns on the metal layers 680 and 660 and on the patterned polymer layer 620, and then curing the screen-printed polymer layer at the temperature of 300 and 450 degrees centigrade if the screen-printed polymer layer is polyimide. Alternatively, the polymer layer 690 can be formed by laminating a dry film of polyimide, benzocyclobutene (BCB), parylene-based material or epoxy, with a thickness of between 10 and 500 microns on the metal layers 680 and 660 and on the patterned polymer layer 620.
  • Next, referring to FIG. 42, the top side of the polymer layer 690 is ground using a mechanical grinding process or using a chemical mechanical polishing (CMP) process until the top surface of the metal layer 680 is exposed to the outside.
  • Next, referring to FIG. 43, an adhesion/barrier layer 740 may be formed by sputtering or evaporating a metal layer of titanium, tungsten, cobalt, nickel, titanium nitride, a titanium-tungsten alloy, chromium, a chromium-copper alloy, tantalum, or tantalum nitride, with a thickness of between 1000 and 6000 angstroms, on the polymer layer 690 and on the metal layer 680. Next, a seed layer 742 may be formed by sputtering, evaporating or electroless plating a metal layer of gold, copper, nickel, silver, palladium, platinum, rhodium, ruthenium, or rhenium, with a thickness of between 500 and 3000 angstroms on the adhesion/barrier layer 740.
  • Next, referring to FIG. 44, a photoresist layer 750, such as photosensitive polyimide, photosensitive benzo-cyclo-butene (BCB), photosensitive parylene-based material, photosensitive epoxy-based material, with a thickness t9 of between 4 and 30 microns, is formed on the seed layer 742 using a spin coating process. Next, a photolithography process including exposing and developing steps is used to pattern the photoresist layer 750 and to form an opening 752, with trace patterns from a top view, in the photoresist layer 750 exposing the seed layer 742.
  • Next, referring to FIG. 45, a metal layer 760, with trace patterns from a top view, is electroplated on seed layer 742 exposed by the openings 752 in the photoresist layer 750. The metal layer 760 can be deposited by electroplating a single layer of gold with a thickness of between 1 and 20 microns, and preferably between 3 and 10 microns, on the seed layer 742 preferably of gold exposed by the openings 752 in the photoresist layer 750. Alternatively, the metal layer 760 can be deposited by electroplating a single layer of copper with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 742 preferably of copper exposed by the openings 752 in the photoresist layer 750. Alternatively, the metal layer 760 can be deposited by electroplating a single layer of silver with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 742 preferably of silver exposed by the openings 752 in the photoresist layer 750. Alternatively, the metal layer 760 can be deposited by electroplating a single layer of nickel with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 742 preferably of nickel exposed by the openings 752 in the photoresist layer 750. Alternatively, the metal layer 760 can be deposited by electroplating a single layer of palladium with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 742 preferably of palladium exposed by the openings 752 in the photoresist layer 750. Alternatively, the metal layer 760 can be deposited by electroplating a single layer of platinum with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 742 preferably of platinum exposed by the openings 752 in the photoresist layer 750. Alternatively, the metal layer 760 can be deposited by electroplating a single layer of rhodium with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 742 preferably of rhodium exposed by the openings 752 in the photoresist layer 750. Alternatively, the metal layer 760 can be deposited by electroplating a single layer of ruthenium with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 742 preferably of ruthenium exposed by the openings 752 in the photoresist layer 750. Alternatively, the metal layer 760 can be deposited by electroplating a single layer of rhenium with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 742 preferably of rhenium exposed by the openings 752 in the photoresist layer 750. Alternatively, the metal layer 760 can be deposited by electroplating a copper layer with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 742 preferably of copper exposed by the openings 752 in the photoresist layer 750, and then electroplating a nickel layer with a thickness of between 1 and 5 microns on the copper layer in the openings 752 in the photoresist layer 750. Alternatively, the metal layer 760 can be deposited by electroplating a copper layer with a thickness of between 1 and 20 microns, and preferably 3 and 10 microns, on the seed layer 742 preferably of copper exposed by the openings 752 in the photoresist layer 750, then electroplating a nickel layer with a thickness of between 1 and 5 microns on the copper layer in the openings 752 in the photoresist layer 750, and then electroplating a gold layer with a thickness of between 1 and 5 microns on the nickel layer in the openings 752 in the photoresist layer 750.
  • Next, referring to FIG. 46, the photoresist layer 750 is stripped.
  • Next, referring to FIG. 47, a photoresist layer 770, such as photosensitive polyimide, photosensitive benzo-cyclo-butene (BCB), photosensitive parylene-based material, photosensitive epoxy-based material, with a thickness t10 of between 4 and 30 microns, is formed on the electroplated metal layer 760 and on the seed layer 742 using a spin coating process. Next, a photolithography process including exposing and developing steps is used to pattern the photoresist layer 770 and to form an opening 772 in the photoresist layer 770 exposing the electroplated metal layer 760.
  • Next, referring to FIG. 48, a metal layer 780 formed for a metal pad used to be wirebonded thereto is electroplated on the metal layer 760 exposed by the opening 772 in the photoresist layer 770. The metal layer 780 can be deposited by electroplating a single layer of gold with a thickness of between 2 and 30 microns on the metal layer 760, whose topmost layer is preferably gold, exposed by the opening 772 in the photoresist layer 770. Alternatively, the metal layer 780 can be deposited by electroplating a single layer of gold with a thickness of between 1 and 30 microns on the metal layer 760, whose topmost layer is preferably nickel, exposed by the opening 772 in the photoresist layer 770. Alternatively, the metal layer 780 can be deposited by electroplating a single layer of silver with a thickness of between 2 and 30 microns on the metal layer 760, whose topmost layer is preferably silver, exposed by the opening 772 in the photoresist layer 770. Alternatively, the metal layer 780 can be deposited by electroplating a single layer of palladium with a thickness of between 2 and 30 microns on the metal layer 760, whose topmost layer is preferably palladium, exposed by the opening 772 in the photoresist layer 770. Alternatively, the metal layer 780 can be deposited by electroplating a single layer of platinum with a thickness of between 2 and 30 microns on the metal layer 760, whose topmost layer is preferably platinum, exposed by the opening 772 in the photoresist layer 770. Alternatively, the metal layer 780 can be deposited by electroplating a single layer of rhodium with a thickness of between 2 and 30 microns on the metal layer 760, whose topmost layer is preferably rhodium, exposed by the opening 772 in the photoresist layer 770. Alternatively, the metal layer 780 can be deposited by electroplating a single layer of ruthenium with a thickness of between 2 and 30 microns on the metal layer 760, whose topmost layer is preferably ruthenium, exposed by the opening 772 in the photoresist layer 770. Alternatively, the metal layer 780 can be deposited by electroplating a single layer of rhenium with a thickness of between 2 and 30 microns on the metal layer 760, whose topmost layer is preferably rhenium, exposed by the opening 772 in the photoresist layer 770. Alternatively, the metal layer 780 can be deposited by electroplating a single layer of copper with a thickness of between 2 and 30 microns on the metal layer 760, whose topmost layer is preferably copper, exposed by the opening 772 in the photoresist layer 770. Alternatively, the metal layer 780 can be deposited by electroplating a nickel layer with a thickness of between 1 and 10 microns on the metal layer 760, whose topmost layer is preferably nickel, exposed by the opening 772 in the photoresist layer 770, and then electroplating a gold layer with a thickness of between 1 and 20 microns on the nickel layer.
  • Next, referring to FIG. 49, the photoresist layer 770 is stripped.
  • Next, referring to FIG. 50, a photoresist layer 790, such as photosensitive polyimide, photosensitive benzo-cyclo-butene (BCB), photosensitive parylene-based material, photosensitive epoxy-based material, with a thickness t11 of between 4 and 30 microns, is formed on the electroplated metal layers 760 and 780 and on the seed layer 742 using a spin coating process. Next, a photolithography process including exposing and developing steps is used to pattern the photoresist layer 790 and to form an opening 792 in the photoresist layer 790 exposing the electroplated metal layer 760.
  • Next, referring to FIG. 51, a metal layer 794 formed for a metal bump is electroplated on the metal layer 760 exposed by the opening 792 in the photoresist layer 790. The metal layer 794 can be deposited by electroplating a single layer of gold with a thickness of between 2 and 30 microns on the metal layer 760, whose topmost layer is preferably gold, exposed by the opening 792 in the photoresist layer 790. Alternatively, the metal layer 794 can be deposited by electroplating a single layer of gold with a thickness of between 1 and 30 microns on the metal layer 360, whose topmost layer is preferably nickel, exposed by the opening 792 in the photoresist layer 790. Alternatively, the metal layer 794 can be deposited by electroplating a single layer of silver with a thickness of between 2 and 30 microns on the metal layer 760, whose topmost layer is preferably silver, exposed by the opening 792 in the photoresist layer 790. Alternatively, the metal layer 794 can be deposited by electroplating a single layer of palladium with a thickness of between 2 and 30 microns on the metal layer 760, whose topmost layer is preferably palladium, exposed by the opening 792 in the photoresist layer 790. Alternatively, the metal layer 794 can be deposited by electroplating a single layer of platinum with a thickness of between 2 and 30 microns on the metal layer 760, whose topmost layer is preferably platinum, exposed by the opening 792 in the photoresist layer 790. Alternatively, the metal layer 794 can be deposited by electroplating a single layer of rhodium with a thickness of between 2 and 30 microns on the metal layer 760, whose topmost layer is preferably rhodium, exposed by the opening 792 in the photoresist layer 790. Alternatively, the metal layer 794 can be deposited by electroplating a single layer of ruthenium with a thickness of between 2 and 30 microns on the metal layer 760, whose topmost layer is preferably ruthenium, exposed by the opening 792 in the photoresist layer 790. Alternatively, the metal layer 794 can be deposited by electroplating a single layer of rhenium with a thickness of between 2 and 30 microns on the metal layer 760, whose topmost layer is preferably rhenium, exposed by the opening 792 in the photoresist layer 790. Alternatively, the metal layer 794 can be deposited by electroplating a single layer of copper with a thickness of between 2 and 30 microns on the metal layer 760, whose topmost layer is preferably copper, exposed by the opening 792 in the photoresist layer 790. Alternatively, the metal layer 794 can be deposited by electroplating a nickel layer with a thickness of between 1 and 10 microns on the metal layer 760, whose topmost layer is preferably nickel, exposed by the opening 792 in the photoresist layer 790, and then electroplating a solder layer, such a tin-lead alloy or a tin-silver alloy, with a thickness of between 10 and 150 microns on the nickel layer in the opening 792 in the photoresist layer 790. Alternatively, the metal layer 794 can be deposited by electroplating a nickel layer with a thickness of between 1 and 10 microns on the metal layer 760, whose topmost layer is preferably nickel, exposed by the opening 792 in the photoresist layer 790, and then electroplating a gold layer with a thickness of between 1 and 20 microns on the nickel layer in the opening 792 in the photoresist layer 790.
  • Next, referring to FIG. 52, the photoresist layer 790 is stripped. Next, referring to FIG. 53, the seed layer 742 not under the metal layer 760 is removed using a dry etching process or a wet etching process. If the seed layer 742 is gold and removed by a wet etching process, the etchant for etching the seed layer 742 is potassium iodide. Thereafter, the adhesion/barrier layer 740 not under the metal layer 760 is removed using a dry etching process or a wet etching process. If the adhesion/barrier layer 740 is a titanium tungsten alloy and removed by a wet etching process, the etchant for etching the adhesion/barrier layer 740 is hydrogen peroxide or hydrofluoric acid. If the adhesion/barrier layer 740 is titanium and removed by a wet etching process, the etchant for etching the adhesion/barrier layer 740 is hydrofluoric acid.
  • Referring to FIGS. 54-55, it is an optional process to form a patterned polymer layer 796 on the metal layers 794, 780 and 760 and on the patterned polymer layer 690. The patterned polymer layer 796 can be formed by spin coating a polyiner layer of polyimide, benzo-cyclo-butene (BCB), parylene-based material, epoxy-based material, or elastomer, with a thickness of between 2 and 50 microns, and preferably between 8 and 30 microns, on the metal layers 794, 780 and 760 and on the patterned polymer layer 690.
  • Next, if the spin-coated polymer layer is photosensitive, a photolithography process including exposing and developing steps can be used to lead the metal layers 794 and 780 to be exposed to the outside. Next, the spin-coated polymer layer is cured at the temperature of 300 and 450 degrees centigrade if the spin-coated polymer layer is polyimide. The patterned polymer layer 796 after being cured may have a thickness t12 of between 2 and 50 microns, and preferably between 6 and 20 microns.
  • If the spin-coated polymer layer is non-photosensitive, photolithography and etching processes are typically needed to pattern the spin-coated polymer layer.
  • Alternatively, the patterned polymer layer 796 can be formed by screen printing a patterned polymer layer of polyimide, benzocyclobutene (BCB), parylene-based material or epoxy-based material, with a thickness of between 5 and 50 microns on the metal layer 760 and on the patterned polymer layer 690, and then curing the screen-printed polymer layer at the temperature of 300 and 450 degrees centigrade if the screen-printed polymer layer is polyimide. Alternatively, the patterned polymer layer 796 can be formed by laminating a patterned dry film of polyimide, benzocyclobutene (BCB), parylene-based material or epoxy, with a thickness of between 10 and 500 microns on the metal layer 760 and on the patterned polymer layer 690.
  • Next, referring to FIG. 56, the metal layer 780 is used as a metal pad for being wirebonded thereto. A gold wire 394 can be connected to the metal layer 780. The metal layer 794 is formed for a metal bump used to be bonded to an external circuitry (not shown), such as a ceramic substrate, a printed circuit board, semiconductor chip for chip-on-chip package, glass substrate for a chip-on-glass (COG) package, flex circuit substrate for a chip-on-film (COF) package, a tape carrier for tape-automated-bonded (TAB) package. In the application for COG, COF or TAB packages, the topmost layer of the metal layer 794 is preferably gold, which can be bonded to a metal layer, preferably of gold, formed on the above-mentioned external circuitry or to a metal layer, preferably of tin-containing material, formed on the above-mentioned external circuitry. Alternatively, an anisotropic conductive film (ACF) can be use to electrically connect the metal layer 794 to the above-mentioned external circuitry, such as glass substrate. In the application for being connected to a ceramic substrate, printed circuit board, or semiconductor chip, the topmost layer of the metal layer 794 is preferably tin-containing material, which can be bonded to a metal layer, preferably of gold, formed on the ceramic substrate, printed circuit board, or semiconductor chip, or to a metal layer, preferably of tin-containing material, formed on the ceramic substrate, printed circuit board, or semiconductor chip.
  • Alternatively, a polymer layer covering a metal trace, such as a coil, can be formed before removing the seed layer and the adhesion/barrier layer not under the metal trace, as shown in FIGS. 57-60. The process illustrated by FIGS. 57-60 follows the above-mentioned process of FIG. 6. The elements shown in FIGS. 57-60 having same reference numbers as those shown in FIGS. 1-14 indicate similar ones described above in FIGS. 1-14. After the above-mentioned metal layer 360 is formed, a patterned polymer layer 830 is formed on the metal layer 360 and on the seed layer 342. The patterned polymer layer 830 can be formed by spin coating a polymer layer 832 of polyimide, benzo-cyclo-butene (BCB), parylene-based material, epoxy-based material, or elastomer, with a thickness of between 2 and 50 microns, and preferably between 8 and 30 microns, on the metal layer 360 and on the seed layer 342, as shown in FIG. 57.
  • Next, if the spin-coated polymer layer 832 is photosensitive, a photolithography process including exposing and developing steps can be used to lead the spin-coated polymer layer 830 on the metal layer 360 and on the seed layer close to the metal layer 360 to be left and to form an opening 834 in the spin-coated polymer layer 830 exposing the metal layer 360, as shown in FIG. 58. Next, the spin-coated polymer layer 830 is cured at the temperature of 300 and 450 degrees centigrade if the spin-coated polymer layer 830 is polyimide. The patterned polymer layer 830 after being cured may have a thickness t13 of between 2 and 50 microns, and preferably between 6 and 20 microns.
  • If the spin-coated polymer layer 832 is non-photosensitive, photolithography and etching processes are typically needed to pattern the spin-coated polymer layer 832.
  • Alternatively, the patterned polymer layer 830 can be formed by screen printing a patterned polymer layer of polyimide, benzocyclobutene (BCB), parylene-based material or epoxy-based material, with a thickness of between 5 and 50 microns on the metal layer 360 and on the seed layer 342 close to the metal layer 360, and then curing the screen-printed polymer layer 830 at the temperature of 300 and 450 degrees centigrade if the screen-printed polymer layer 830 is polyimide. Alternatively, the patterned polymer layer 830 can be formed by laminating a patterned dry film of polyimide, benzocyclobutene (BCB), parylene-based material or epoxy, with a thickness of between 10 and 500 microns on the metal layer 360 and on the seed layer 342 close to the metal layer 360.
  • Next, referring to FIG. 59, the seed layer 342 not under the metal layer 360 and not under the patterned polymer layer 830 is removed using a dry etching process or a wet etching process. If the seed layer 342 is gold and removed by a wet etching process, the etchant for etching the seed layer 342 is potassium iodide. Thereafter, the adhesion/barrier layer 340 not under the metal layer 360 and not under the patterned polymer layer 830 is removed using a dry etching process or a wet etching process. If the adhesion/barrier layer 340 is a titanium tungsten alloy and removed by a wet etching process, the etchant for etching the adhesion/barrier layer 340 is hydrogen peroxide or hydrofluoric acid. If the adhesion/barrier layer 340 is titanium and removed by a wet etching process, the etchant for etching the adhesion/barrier layer 340 is hydrofluoric acid.
  • Next, referring to FIG. 60, the metal layer 360 has a metal pad exposed by the opening 834 in the patterned polymer layer 830, for being wirebonded thereto or having a gold bump or solder bump formed thereover. A gold wire 394 can be connected to the metal layer 360 exposed by the opening 834 in the polymer layer 830 using a wirebonding process. Alternatively, a gold bump or tin-containing bump, not shown, can be formed over the above-mentioned metal layer 360 exposed by the opening 834 in the polymer layer 830.
  • Alternatively, a metal layer, for a metal bump used to be bonded to an external circuitry or a metal pad used to be wirebonded thereto, can be electroplated over the metal layer 360 after forming the patterned polymer layer 830 and before removing the seed layer 342 and adhesion/barrier layer 340 not under the metal layer 360 and not under the patterned polymer layer 830, as shown in FIGS. 61-65. The process illustrated by FIGS. 61-65 follows the above-mentioned process of FIG. 58. The elements shown in FIGS. 61-65 having same reference numbers as those shown in FIGS. 1-14 and 57-58 indicate similar ones described above in FIGS. 1-14 and 57-58. After the patterned polymer layer 830 is formed on the metal layer 360 and on the seed layer 342 close to the metal layer 360, a photoresist layer 870, such as photosensitive polyimide, photosensitive benzo-cyclo-butene (BCB), photosensitive parylene-based material, photosensitive epoxy-based material, with a thickness t14 of between 4 and 30 microns, is formed on the seed layer 342, on the patterned polymer layer 830 and on the metal layer 360 exposed by the opening 834 in the patterned polymer layer 830 using a spin coating process, referring to FIG. 61. Next, a photolithography process including exposing and developing steps is used to pattern the photoresist layer 870 and to form an opening 872 in the photoresist layer 870 exposing the electroplated metal layer 360 exposed by the opening 834 in the patterned polymer layer 830.
  • Next, referring to FIG. 62, a metal layer 880 is electroplated on the metal layer 360 exposed by the opening 872 in the photoresist layer 870 and by the opening 834 in the patterned polymer layer 830. The metal layer 880 can be deposited by electroplating a single layer of gold with a thickness of between 2 and 30 microns on the metal layer 360, whose topmost layer is preferably gold, exposed by the opening 872 in the photoresist layer 870 and by the opening 834 in the patterned polymer layer 830. Alternatively, the metal layer 880 can be deposited by electroplating a single layer of gold with a thickness of between 1 and 30 microns on the metal layer 360, whose topmost layer is preferably nickel, exposed by the opening 872 in the photoresist layer 870 and by the opening 834 in the patterned polymer layer 830. Alternatively, the metal layer 880 can be deposited by electroplating a single layer of silver with a thickness of between 2 and 30 microns on the metal layer 360, whose topmost layer is preferably silver, exposed by the opening 872 in the photoresist layer 870 and by the opening 834 in the patterned polymer layer 830. Alternatively, the metal layer 880 can be deposited by electroplating a single layer of palladium with a thickness of between 2 and 30 microns on the metal layer 360, whose topmost layer is preferably palladium, exposed by the opening 872 in the photoresist layer 870 and by the opening 834 in the patterned polymer layer 830. Alternatively, the metal layer 880 can be deposited by electroplating a single layer of platinum with a thickness of between 2 and 30 microns on the metal layer 360, whose topmost layer is preferably platinum, exposed by the opening 872 in the photoresist layer 870 and by the opening 834 in the patterned polymer layer 830. Alternatively, the metal layer 880 can be deposited by electroplating a single layer of rhodium with a thickness of between 2 and 30 microns on the metal layer 360, whose topmost layer is preferably rhodium, exposed by the opening 872 in the photoresist layer 870 and by the opening 834 in the patterned polymer layer 830. Alternatively, the metal layer 880 can be deposited by electroplating a single layer of ruthenium with a thickness of between 2 and 30 microns on the metal layer 360, whose topmost layer is preferably ruthenium, exposed by the opening 872 in the photoresist layer 870 and by the opening 834 in the patterned polymer layer 830. Alternatively, the metal layer 880 can be deposited by electroplating a single layer of rhenium with a thickness of between 2 and 30 microns on the metal layer 360, whose topmost layer is preferably rhenium, exposed by the opening 872 in the photoresist layer 870 and by the opening 834 in the patterned polymer layer 830. Alternatively, the metal layer 880 can be deposited by electroplating a single layer of copper with a thickness of between 2 and 30 microns on the metal layer 360, whose topmost layer is preferably copper, exposed by the opening 872 in the photoresist layer 870 and by the opening 834 in the patterned polymer layer 830. Alternatively, the metal layer 880 can be deposited by electroplating a nickel layer with a thickness of between 1 and 10 microns on the metal layer 360, whose topmost layer is preferably nickel, exposed by the opening 872 in the photoresist layer 870 and by the opening 834 in the patterned polymer layer 830, and then electroplating a solder layer, such a tin-lead alloy or a tin-silver alloy, with a thickness of between 10 and 150 microns on the nickel layer in the opening 872 in the photoresist layer 870 and/or in the opening 834 in the patterned polymer layer 830. Alternatively, the metal layer 880 can be deposited by electroplating a nickel layer with a thickness of between 1 and 10 microns on the metal layer 360, whose topmost layer is preferably nickel, exposed by the opening 372 in the photoresist layer 370 and by the opening 834 in the patterned polymer layer 830, and then electroplating a gold layer with a thickness of between 1 and 20 microns on the nickel layer in the opening 372 in the photoresist layer 370 and/or in the opening 834 in the patterned polymer layer 830.
  • Next, referring to FIG. 63, the photoresist layer 870 is stripped. Next, referring to FIG. 64, the seed layer 342 not under the metal layer 360 and not under the patterned polymer layer 830 is removed using a dry etching process or a wet etching process. If the seed layer 342 is gold and removed by a wet etching process, the etchant for etching the seed layer 342 is potassium iodide. Thereafter, the adhesion/barrier layer 340 not under the metal layer 360 and not under the patterned polymer layer 830 is removed using a dry etching process or a wet etching process. If the adhesion/barrier layer 340 is a titanium tungsten alloy and removed by a wet etching process, the etchant for etching the adhesion/barrier layer 340 is hydrogen peroxide or hydrofluoric acid if the adhesion/barrier layer 340 is titanium and removed by a wet etching process, the etchant for etching the adhesion/barrier layer 340 is hydrofluoric acid.
  • Referring to FIG. 64, the above-mentioned metal layer 880 may be used as a metal bump capable of being connected to an external circuitry (not shown), such as a ceramic substrate, a printed circuit board, semiconductor chip for chip-on-chip package, glass substrate for a chip-on-glass (COG) package, flex circuit substrate for a chip-on-film (COF) package, a tape carrier for tape-automated-bonded (TAB) package. In the application for COG, COF or TAB packages, the topmost layer of the metal layer 880 is preferably gold, which can be bonded to a metal layer, preferably of gold, formed on the above-mentioned external circuitry or to a metal layer, preferably of tin-containing material, formed on the above-mentioned external circuitry. Alternatively, an anisotropic conductive film (ACF) can be use to electrically connect the metal layer 880 to the above-mentioned external circuitry, such as glass substrate. In the application for being connected to a ceramic substrate, printed circuit board, or semiconductor chip, the topmost layer of the metal layer 880 is preferably tin-containing material, which can be bonded to a metal layer, preferably of gold, formed on the ceramic substrate, printed circuit board, or semiconductor chip, or to a metal layer, preferably of tin-containing material, formed on the ceramic substrate, printed circuit board, or semiconductor chip.
  • Alternatively, the metal layer 880 is used as a metal pad for being wirebonded thereto. A gold wire 394 can be bonded to the metal layer 880 using a wirebonding process, as shown in FIG. 65. The elements shown in FIG. 65 having same reference numbers as those shown in FIGS. 1-14, 57-58 and 61-64 indicate similar ones described above in FIGS. 1-14, 57-58 and 61-64.
  • Alternatively, referring to FIG. 66, if the metal layer 880 includes a solder material, such as tin-lead alloy or a tin-silver alloy, the metal layer 880 after being reflowed may be shaped like a ball. Furthermore, the metal layer 360 may have another metal pad, exposed by another opening 836 in the patterned polymer layer 830, used to be wirebonded thereto. A gold wire 394 can be bonded to the metal layer 360 exposed by another opening 836 in the patterned polymer layer 830 using a wirebonding process. The openings 836 and 834 may be simultaneously formed using a photolithography process. The elements shown in FIG. 66 having same reference numbers as those shown in FIGS. 1-14, 57-58 and 61-64 indicate similar ones described above in FIGS. 1-14, 57-58 and 61-64.
  • Alternatively, referring to FIG. 67, the above-mentioned metal layer 880 used to be wirebonded thereto or used as a metal bump bonded to an external circuitry may not cover the patterned polymer layer 830 close to the opening 834 therein. Accordingly, the photoresist layer 870 covers the peripheral region of the exposed surface of the metal layer 360 exposed by the opening 834 in the patterned polymer layer 830 and covers the patterned polymer layer 830 close to the opening 834 therein. The above-mentioned ideas in the paragraph can be incorporated into the process shown in FIGS. 61-64. The elements shown in FIG. 67 having same reference numbers as those shown in FIGS. 1-14, 57-58 and 61-64 indicate similar ones described above in FIGS. 1-14, 57-58 and 61-64.
  • Alternatively, the metal layer 360 close to the metal layer 880 used to be wirebonded thereto or used as a metal bump bonded to an external circuitry may not be covered by the patterned polymer layer 830, as shown in FIG. 68. The elements shown in FIG. 68 having same reference numbers as those shown in FIGS. 1-14, 57-58 and 61-64 indicate similar ones described above in FIGS. 1-14, 57-58 and 61-64.
  • The foregoing description of the preferred embodiment of the present invention has been presented for purposes of illustration and description. It is not intended to be exhaustive or to limit the invention to the precise form or to exemplary embodiments disclosed. Accordingly, the foregoing description should be regarded as illustrative rather than restrictive. Obviously, many modifications and variations will be apparent to practitioners skilled in this art. The embodiments are chosen and described in order to best explain the principles of the invention and its best mode practical application, thereby to enable persons skilled in the art to understand the invention for various embodiments and with various modifications as are suited to the particular use or implementation contemplated. It is intended that the scope of the invention be defined by the claims appended hereto and their equivalents in which all terms are meant in their broadest reasonable sense unless otherwise indicated. It should be appreciated that variations may be made in the embodiments described by persons skilled in the art without departing from the scope of the present invention as defined by the following claims. Moreover, no element and component in the present disclosure is intended to be dedicated to the public regardless of whether the element or component is explicitly recited in the following claims.

Claims (37)

1-20. (canceled)
21. A circuit component comprising:
a device comprising a glass substrate and a first metal layer under said glass substrate; and
a chip under said device, wherein said chip comprises a silicon substrate, a second metal layer over said silicon substrate, wherein said second metal layer comprises a coil, a dielectric layer over said second metal layer and said silicon substrate, and a metal bump over said silicon substrate, wherein said metal bump contacts said first metal layer.
22. The circuit component of claim 21, wherein said first metal layer comprises gold.
23. The circuit component of claim 21, wherein said first metal layer comprises tin.
24. The circuit component of claim 21, wherein said second metal layer comprises copper.
25. The circuit component of claim 21, wherein said second metal layer comprises a copper layer having a thickness between 1 and 20 micrometers.
26. The circuit component of claim 21, wherein said metal bump comprises a third metal layer on said second metal layer and a fourth metal layer on said third metal layer.
27. The circuit component of claim 21, wherein said metal bump comprises copper.
28. The circuit component of claim 21, wherein said metal bump comprises nickel.
29. The circuit component of claim 21, wherein said metal bump comprises gold.
30. The circuit component of claim 21, wherein said metal bump comprises a copper layer having a thickness between 2 and 30 micrometers.
31. The circuit component of claim 21, wherein said metal bump comprises a third metal layer on said second metal layer and a tin-containing layer on said third metal layer.
32. The circuit component of claim 21, wherein said dielectric layer comprises a polymer.
33. The circuit component of claim 21, wherein said chip comprises a polymer layer over said silicon substrate, wherein said second metal layer is further on said polymer layer.
34. A chip comprising:
a silicon substrate;
a first coil over said silicon substrate;
a dielectric layer over said first coil and said silicon substrate; and
a second coil over said dielectric layer, wherein said second coil is vertically over said first coil and connected to said first coil.
35. The chip of claim 34, wherein said first coil comprises copper.
36. The chip of claim 34, wherein said first coil comprises a copper layer having a thickness between 1 and 20 micrometers.
37. The chip of claim 34, wherein said second coil comprises copper.
38. The chip of claim 34, wherein said second coil comprises a copper layer having a thickness between 1 and 20 micrometers.
39. The chip of claim 34, wherein said dielectric layer comprises a polymer.
40. The chip of claim 34 further comprising a polymer layer over said silicon substrate, wherein said first coil is further on said polymer layer.
41. The chip of claim 34 further comprising a polymer layer over said second coil and said dielectric layer.
42. The chip of claim 34, wherein said first coil is provided by a patterned circuit layer comprising a first metal layer and a second metal layer on said first metal layer, wherein said second metal layer has a sidewall not covered by said first metal layer.
43. The chip of claim 42, wherein said first metal layer comprises titanium.
44. The chip of claim 34, wherein said second coil is provided by a patterned circuit layer comprising a first metal layer and a second metal layer on said first metal layer, wherein said second metal layer has a sidewall not covered by said first metal layer.
45. The chip of claim 44, wherein said first metal layer comprises titanium.
46. A chip comprising:
a substrate;
a first dielectric layer over said substrate;
a patterned circuit layer on said first dielectric layer and over said substrate;
a second dielectric layer on said patterned circuit layer and on said first dielectric layer, wherein said patterned circuit layer has a contact point and left and right regions not covered by said second dielectric layer, wherein said contact point is between said left and right regions; and
a metal bump on said contact point, wherein said metal bump comprises a copper layer, wherein said metal bump has a left sidewall horizontally spaced apart from a left portion of said second dielectric layer, and said left region is between said left sidewall and said left portion, wherein said metal bump has a right sidewall horizontally spaced apart from a right portion of said second dielectric layer, and said right region is between said right sidewall and said right portion, wherein said second dielectric layer has no portion between said left sidewall and said left portion and between said right sidewall and said right portion.
47. The chip of claim 46, wherein said copper layer has a height between 2 and 30 micrometers.
48. The chip of claim 46, wherein said patterned circuit layer comprises a first metal layer and a second metal layer on said first metal layer, wherein said second metal layer has a sidewall not covered by said first metal layer.
49. The chip of claim 48, wherein said first metal layer comprises titanium.
50. The chip of claim 48, wherein said first metal layer comprises titanium nitride.
51. The chip of claim 48, wherein said first metal layer comprises tantalum.
52. The chip of claim 48, wherein said first metal layer comprises tantalum nitride.
53. The chip of claim 48, wherein said second metal layer comprises copper.
54. The chip of claim 46, wherein said second dielectric layer comprises a polymer.
55. The chip of claim 46, wherein said first dielectric layer comprises a polymer.
56. The chip of claim 46, wherein said substrate comprises damascene copper.
US13/108,811 2005-07-22 2011-05-16 Method for forming a double embossing structure Abandoned US20110215469A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/108,811 US20110215469A1 (en) 2005-07-22 2011-05-16 Method for forming a double embossing structure

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US70184905P 2005-07-22 2005-07-22
US11/491,117 US7960269B2 (en) 2005-07-22 2006-07-24 Method for forming a double embossing structure
US13/108,811 US20110215469A1 (en) 2005-07-22 2011-05-16 Method for forming a double embossing structure

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/491,117 Continuation US7960269B2 (en) 2005-07-22 2006-07-24 Method for forming a double embossing structure

Publications (1)

Publication Number Publication Date
US20110215469A1 true US20110215469A1 (en) 2011-09-08

Family

ID=37656984

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/491,117 Expired - Fee Related US7960269B2 (en) 2005-07-22 2006-07-24 Method for forming a double embossing structure
US13/108,811 Abandoned US20110215469A1 (en) 2005-07-22 2011-05-16 Method for forming a double embossing structure

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/491,117 Expired - Fee Related US7960269B2 (en) 2005-07-22 2006-07-24 Method for forming a double embossing structure

Country Status (3)

Country Link
US (2) US7960269B2 (en)
CN (4) CN102157494B (en)
TW (2) TWI305951B (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI508295B (en) * 2012-05-01 2015-11-11 Taiwan Semiconductor Mfg Co Ltd Semiconductor device and method of fabricating a semiconductor device
US20170250152A1 (en) * 2016-02-29 2017-08-31 Infineon Technologies Ag Chip embedding package with solderable electric contact
CN114246026A (en) * 2021-11-25 2022-03-29 北京胜泰东方科技有限公司 Method and apparatus for coating and storing low-moisture seeds

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070048447A1 (en) * 2005-08-31 2007-03-01 Alan Lee System and method for forming patterned copper lines through electroless copper plating
US7470927B2 (en) 2005-05-18 2008-12-30 Megica Corporation Semiconductor chip with coil element over passivation layer
US7582556B2 (en) * 2005-06-24 2009-09-01 Megica Corporation Circuitry component and method for forming the same
US8420520B2 (en) * 2006-05-18 2013-04-16 Megica Corporation Non-cyanide gold electroplating for fine-line gold traces and gold pads
US7960825B2 (en) * 2006-09-06 2011-06-14 Megica Corporation Chip package and method for fabricating the same
CN101312170B (en) * 2007-05-21 2010-07-21 米辑电子股份有限公司 Line component
KR100924865B1 (en) * 2007-12-27 2009-11-02 주식회사 동부하이텍 Method for forming metal interconnection layer of seniconductor device
CN102621804A (en) * 2009-08-21 2012-08-01 技鼎股份有限公司 Method for forming metal microstructure
US9024431B2 (en) 2009-10-29 2015-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor die contact structure and method
CN102121944A (en) * 2010-01-08 2011-07-13 技鼎股份有限公司 Microprobe structure and manufacturing method thereof
US8536044B2 (en) * 2010-07-08 2013-09-17 Intersil Americas Inc. Protecting bond pad for subsequent processing
US8598465B2 (en) * 2011-01-27 2013-12-03 Northrop Grumman Systems Corporation Hermetic circuit ring for BCB WSA circuits
US20130146345A1 (en) * 2011-12-12 2013-06-13 Kazuki KAJIHARA Printed wiring board and method for manufacturing the same
US9440135B2 (en) * 2012-05-29 2016-09-13 Zhuhai Advanced Chip Carriers & Electronic Substrate Solutions Technologies Co. Ltd. Multilayer electronic structures with integral vias extending in in-plane direction
US8816218B2 (en) * 2012-05-29 2014-08-26 Zhuhai Advanced Chip Carriers & Electronic Substrate Solutions Technologies Co. Ltd. Multilayer electronic structures with vias having different dimensions
US9472521B2 (en) 2012-05-30 2016-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Scheme for connector site spacing and resulting structures
US9190348B2 (en) 2012-05-30 2015-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Scheme for connector site spacing and resulting structures
US9161461B2 (en) * 2012-06-14 2015-10-13 Zhuhai Advanced Chip Carriers & Electronic Substrate Solutions Technologies Co. Ltd. Multilayer electronic structure with stepped holes
US8618607B1 (en) * 2012-07-02 2013-12-31 Globalfoundries Inc. Semiconductor devices formed on a continuous active region with an isolating conductive structure positioned between such semiconductor devices, and methods of making same
TW201423879A (en) * 2012-12-10 2014-06-16 Chipbond Technology Corp Manufacturing method of semiconductor and semiconductor structure thereof
CN103887422A (en) * 2012-12-20 2014-06-25 中芯国际集成电路制造(上海)有限公司 Magnetic random access memory and formation method thereof
KR101983137B1 (en) * 2013-03-04 2019-05-28 삼성전기주식회사 Power inductor and manufacturing method thereof
KR102373063B1 (en) 2013-10-09 2022-03-11 가부시키가이샤 닛폰 쇼쿠바이 Particulate water absorber comprising water-absorbing resin as main component and process for manufacturing same
US10269752B2 (en) 2014-09-15 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Package with UBM and methods of forming
US10147692B2 (en) 2014-09-15 2018-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Package with UBM and methods of forming
CN106550540B (en) * 2015-09-23 2018-11-30 景硕科技股份有限公司 Wing coil and preparation method thereof
CN105390440B (en) * 2015-10-29 2018-05-29 中国电子科技集团公司第四十一研究所 It is a kind of that the method to form circuit is performed etching to soft-medium substrate
KR20170112522A (en) 2016-03-31 2017-10-12 주식회사 모다이노칩 Coil pattern and method of forming the same, and chip device having the coil pattern
US10297551B2 (en) * 2016-08-12 2019-05-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing redistribution circuit structure and method of manufacturing integrated fan-out package
WO2020103874A1 (en) 2018-11-20 2020-05-28 Changxin Memory Technologies, Inc. Semiconductor structure, redistribution layer (rdl) structure, and manufacturing method thereof

Citations (104)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4646998A (en) * 1981-11-20 1987-03-03 Clairson International Corporation Wall-mounted shelf support clip
US4825276A (en) * 1986-06-19 1989-04-25 Nec Corporation Integrated circuit semiconductor device having improved wiring structure
US5008102A (en) * 1986-02-26 1991-04-16 York Kenneth K Biocompatible intraocular light-screening compositions and methods of intraocular light screening
US5083187A (en) * 1990-05-16 1992-01-21 Texas Instruments Incorporated Integrated circuit device having bumped power supply buses over active surface areas and method of manufacture thereof
US5095357A (en) * 1989-08-18 1992-03-10 Mitsubishi Denki Kabushiki Kaisha Inductive structures for semiconductor integrated circuits
US5095402A (en) * 1990-10-02 1992-03-10 Rogers Corporation Internally decoupled integrated circuit package
US5106461A (en) * 1989-04-04 1992-04-21 Massachusetts Institute Of Technology High-density, multi-level interconnects, flex circuits, and tape for tab
US5108950A (en) * 1987-11-18 1992-04-28 Casio Computer Co., Ltd. Method for forming a bump electrode for a semiconductor device
US5384274A (en) * 1992-04-06 1995-01-24 Nippon Precision Circuits Inc. Method of making a combined semiconductor device and inductor
US5387551A (en) * 1992-03-04 1995-02-07 Kabushiki Kaisha Toshiba Method of manufacturing flat inductance element
US5391901A (en) * 1992-10-30 1995-02-21 Nec Corporation Semiconductor memory with oblique folded bit-line arrangement
US5406512A (en) * 1990-06-25 1995-04-11 Matsushita Electronics Corporation Semiconductor memory device using compensation capacitors
US5485038A (en) * 1993-07-15 1996-01-16 Hughes Aircraft Company Microelectronic circuit substrate structure including photoimageable epoxy dielectric layers
US5501006A (en) * 1993-09-22 1996-03-26 Motorola, Inc. Method for connection of signals to an integrated circuit
US5508561A (en) * 1993-11-15 1996-04-16 Nec Corporation Apparatus for forming a double-bump structure used for flip-chip mounting
US5608262A (en) * 1995-02-24 1997-03-04 Lucent Technologies Inc. Packaging multi-chip modules without wire-bond interconnection
US5656849A (en) * 1995-09-22 1997-08-12 International Business Machines Corporation Two-level spiral inductor structure having a high inductance to area ratio
US5726502A (en) * 1996-04-26 1998-03-10 Motorola, Inc. Bumped semiconductor device with alignment features and method for making the same
US5742100A (en) * 1995-03-27 1998-04-21 Motorola, Inc. Structure having flip-chip connected substrates
US5874770A (en) * 1996-10-10 1999-02-23 General Electric Company Flexible interconnect film including resistor and capacitor layers
US5883435A (en) * 1996-07-25 1999-03-16 International Business Machines Corporation Personalization structure for semiconductor devices
US5883422A (en) * 1996-06-28 1999-03-16 The Whitaker Corporation Reduced parasitic capacitance semiconductor devices
US5884990A (en) * 1996-08-23 1999-03-23 International Business Machines Corporation Integrated circuit inductor
US6013571A (en) * 1997-06-16 2000-01-11 Motorola, Inc. Microelectronic assembly including columnar interconnections and method for forming same
US6022792A (en) * 1996-03-13 2000-02-08 Seiko Instruments, Inc. Semiconductor dicing and assembling method
US6023407A (en) * 1998-02-26 2000-02-08 International Business Machines Corporation Structure for a thin film multilayer capacitor
US6030877A (en) * 1997-10-06 2000-02-29 Industrial Technology Research Institute Electroless gold plating method for forming inductor structures
US6031445A (en) * 1997-11-28 2000-02-29 Stmicroelectronics S.A. Transformer for integrated circuits
US6040226A (en) * 1997-05-27 2000-03-21 General Electric Company Method for fabricating a thin film inductor
US6043430A (en) * 1997-03-14 2000-03-28 Lg Semicon Co., Ltd. Bottom lead semiconductor chip package
US6043109A (en) * 1999-02-09 2000-03-28 United Microelectronics Corp. Method of fabricating wafer-level package
US6168854B1 (en) * 1996-12-23 2001-01-02 Nortel Networks Limited Method of making a printed circuit board having a tin/lead coating
US6169319B1 (en) * 1999-08-12 2001-01-02 Tower Semiconductor Ltd. Backside illuminated image sensor
US6169030B1 (en) * 1998-01-14 2001-01-02 Applied Materials, Inc. Metallization process and method
US6169320B1 (en) * 1998-01-22 2001-01-02 Raytheon Company Spiral-shaped inductor structure for monolithic microwave integrated circuits having air gaps in underlying pedestal
US6174803B1 (en) * 1998-09-16 2001-01-16 Vsli Technology Integrated circuit device interconnection techniques
US6177731B1 (en) * 1998-01-19 2001-01-23 Citizen Watch Co., Ltd. Semiconductor package
US6181569B1 (en) * 1999-06-07 2001-01-30 Kishore K. Chakravorty Low cost chip size package and method of fabricating the same
US6180445B1 (en) * 2000-04-24 2001-01-30 Taiwan Semiconductor Manufacturing Company Method to fabricate high Q inductor by redistribution layer when flip-chip package is employed
US6184589B1 (en) * 1996-11-08 2001-02-06 John J. Budnaitis Constraining ring for use in electronic packaging
US6184121B1 (en) * 1997-07-10 2001-02-06 International Business Machines Corporation Chip interconnect wiring structure with low dielectric constant insulator and methods for fabricating the same
US6184143B1 (en) * 1997-09-08 2001-02-06 Hitachi, Ltd. Semiconductor integrated circuit device and fabrication process thereof
US6184159B1 (en) * 1998-06-12 2001-02-06 Taiwan Semiconductor Manufacturing Corporation Interlayer dielectric planarization process
US6187680B1 (en) * 1998-10-07 2001-02-13 International Business Machines Corporation Method/structure for creating aluminum wirebound pad on copper BEOL
US6191468B1 (en) * 1999-02-03 2001-02-20 Micron Technology, Inc. Inductor with magnetic material layers
US6291872B1 (en) * 1999-11-04 2001-09-18 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional type inductor for mixed mode radio frequency device
US20010028098A1 (en) * 1998-08-07 2001-10-11 Ping Liou Method and structure of manufacturing a high-q inductor with an air trench
US20020000665A1 (en) * 1999-04-05 2002-01-03 Alexander L. Barr Semiconductor device conductive bump and interconnect barrier
US20020008301A1 (en) * 1998-07-13 2002-01-24 Ping Liou Monolithic high-q inductance device and process for fabricating the same
US20020016079A1 (en) * 1999-12-14 2002-02-07 Dykstra Jerald P. Enhanced etching/smoothing of dielectric surfaces
US20020020855A1 (en) * 1999-09-29 2002-02-21 Hwang Chan Seung Method for fabricating a semiconductor device
US6356453B1 (en) * 2000-06-29 2002-03-12 Amkor Technology, Inc. Electronic package having flip chip integrated circuit and passive chip component
US6359328B1 (en) * 1998-12-31 2002-03-19 Intel Corporation Methods for making interconnects and diffusion barriers in integrated circuits
US6362087B1 (en) * 2000-05-05 2002-03-26 Aptos Corporation Method for fabricating a microelectronic fabrication having formed therein a redistribution structure
US6376353B1 (en) * 2000-07-03 2002-04-23 Chartered Semiconductor Manufacturing Ltd. Aluminum and copper bimetallic bond pad scheme for copper damascene interconnects
US20020056910A1 (en) * 2000-03-16 2002-05-16 Howell Wayne J. Copper pad structure
US6395637B1 (en) * 1997-12-03 2002-05-28 Electronics And Telecommunications Research Institute Method for fabricating a inductor of low parasitic resistance and capacitance
US6420773B1 (en) * 2000-10-04 2002-07-16 Winbond Electronics Corp. Multi-level spiral inductor structure having high inductance (L) and high quality factor (Q)
US6429504B1 (en) * 2000-05-16 2002-08-06 Tyco Electronics Corporation Multilayer spiral inductor and integrated circuits incorporating the same
US6456183B1 (en) * 1999-02-26 2002-09-24 Memscap And Planhead-Silmag Phs Inductor for integrated circuit
US6504227B1 (en) * 1999-06-30 2003-01-07 Kabushiki Kaisha Toshiba Passive semiconductor device mounted as daughter chip on active semiconductor device
US20030006062A1 (en) * 2001-07-06 2003-01-09 Stone William M. Interconnect system and method of fabrication
US20030008133A1 (en) * 2001-07-06 2003-01-09 Korea Advanced Institute Of Science And Technology Anisotropic conductive film and method of fabricating the same for ultra-fine pitch COG application
US20030020163A1 (en) * 2001-07-25 2003-01-30 Cheng-Yu Hung Bonding pad structure for copper/low-k dielectric material BEOL process
US6515369B1 (en) * 2001-10-03 2003-02-04 Megic Corporation High performance system-on-chip using post passivation process
US6518165B1 (en) * 1998-07-28 2003-02-11 Korea Advanced Institute Of Science And Technology Method for manufacturing a semiconductor device having a metal layer floating over a substrate
US20030038331A1 (en) * 1999-02-15 2003-02-27 Casio Computer Co., Ltd. Semiconductor device having a barrier layer
US20030037959A1 (en) * 1999-12-21 2003-02-27 Master Raj N. Organic packages having low tin solder connections
US20030052409A1 (en) * 2001-08-29 2003-03-20 Mie Matsuo Semiconductor device and method of manufacturing the same
US6548338B2 (en) * 1999-03-31 2003-04-15 International Business Machines Corp. Integrated high-performance decoupling capacitor and heat sink
US6636139B2 (en) * 2001-09-10 2003-10-21 Taiwan Semiconductor Manufacturing Company Structure to reduce the degradation of the Q value of an inductor caused by via resistance
US20030218247A1 (en) * 2002-05-27 2003-11-27 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
US6673690B2 (en) * 2000-04-27 2004-01-06 Siliconware Precision Industries Co., Ltd. Method of mounting a passive component over an integrated circuit package substrate
US20040007779A1 (en) * 2002-07-15 2004-01-15 Diane Arbuthnot Wafer-level method for fine-pitch, high aspect ratio chip interconnect
US20040009629A1 (en) * 2002-07-12 2004-01-15 Samsung Electro-Mechanics Co., Ltd. Electrode forming method in circuit device and chip package and multilayer board using the same
US6683380B2 (en) * 2000-07-07 2004-01-27 Texas Instruments Incorporated Integrated circuit with bonding layer over active circuitry
US20040023450A1 (en) * 2001-02-08 2004-02-05 Mitsuaki Katagiri Semiconductor integrated circuit device and its manufacturing method
US6700162B2 (en) * 1998-12-21 2004-03-02 Megic Corporation Chip structure to improve resistance-capacitance delay and reduce energy loss of the chip
US20040040855A1 (en) * 2002-08-28 2004-03-04 Victor Batinovich Method for low-cost redistribution and under-bump metallization for flip-chip and wafer-level BGA silicon device packages
US20040048202A1 (en) * 2000-08-29 2004-03-11 Au Optronics Corporation Metal bump with an insulating sidewall and method of fabricating thereof
US6706554B2 (en) * 2000-10-26 2004-03-16 Oki Electric Industry Co., Ltd. Conductor posts, construction for and method of fabricating semiconductor integrated circuit chips using the conductor post, and method of probing semiconductor integrated circuit chips
US6707159B1 (en) * 1999-02-18 2004-03-16 Rohm Co., Ltd. Semiconductor chip and production process therefor
US6707124B2 (en) * 1992-10-26 2004-03-16 Texas Instruments Incorporated HID land grid array packaged device having electrical and optical interconnects
US20040057589A1 (en) * 2002-06-18 2004-03-25 Corporation For National Research Initiatives Micro-mechanical capacitive inductive sensor for wireless detection of relative or absolute pressure
US6724079B2 (en) * 2002-01-04 2004-04-20 Motorola, Inc. Wire bond-less electronic component for use with an external circuit and method of manufacture
US6742248B2 (en) * 2001-05-14 2004-06-01 The Boeing Company Method of forming a soldered electrical connection
US6841872B1 (en) * 2000-01-05 2005-01-11 Hynix Semiconductor Inc. Semiconductor package and fabrication method thereof
US6847066B2 (en) * 2000-08-11 2005-01-25 Oki Electric Industry Co., Ltd. Semiconductor device
US20050024176A1 (en) * 2003-07-28 2005-02-03 Sung-Hsiung Wang Inductor device having improved quality factor
US6853078B2 (en) * 2002-02-22 2005-02-08 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
US6853076B2 (en) * 2001-09-21 2005-02-08 Intel Corporation Copper-containing C4 ball-limiting metallurgy stack for enhanced reliability of packaged structures and method of making same
US6852616B2 (en) * 2000-11-29 2005-02-08 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for producing the same
US20050032351A1 (en) * 1998-12-21 2005-02-10 Mou-Shiung Lin Chip structure and process for forming the same
US6869870B2 (en) * 1998-12-21 2005-03-22 Megic Corporation High performance system-on-chip discrete components using post passivation process
US7012339B2 (en) * 2002-11-28 2006-03-14 Oki Electric Industry Co., Ltd. Semiconductor chip with passive element in a wiring region of the chip
US20060060961A1 (en) * 2004-07-09 2006-03-23 Mou-Shiung Lin Chip structure
US20060079034A1 (en) * 2004-10-12 2006-04-13 Randy Hoffman Method to form a passivation layer
US20080001302A1 (en) * 2000-10-18 2008-01-03 Megica Corporation Post passivation interconnection schemes on top of IC chip
US7319377B2 (en) * 2001-09-04 2008-01-15 Megica Corporation Method for making high-performance RF integrated circuits
US7329954B2 (en) * 1998-12-21 2008-02-12 Mou-Shiung Lin Top layers of metal for high performance IC's
US20080038869A1 (en) * 1998-12-21 2008-02-14 Megica Corporation High performance system-on-chip using post passivation process
US20080050909A1 (en) * 1998-12-21 2008-02-28 Megica Corporation Top layers of metal for high performance IC's
US20080050912A1 (en) * 1998-12-21 2008-02-28 Megica Corporation Chip structure and process for forming the same
US20090001511A1 (en) * 2005-03-29 2009-01-01 Megica Corporation High performance system-on-chip using post passivation process

Family Cites Families (244)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3668484A (en) 1970-10-28 1972-06-06 Rca Corp Semiconductor device with multi-level metalization and method of making the same
GB1487945A (en) 1974-11-20 1977-10-05 Ibm Semiconductor integrated circuit devices
JPS51147253A (en) 1975-06-13 1976-12-17 Nec Corp Structure of electrode terminal
JPS5954249A (en) 1982-09-22 1984-03-29 Fujitsu Ltd Semiconductor device
US4685998A (en) 1984-03-22 1987-08-11 Thomson Components - Mostek Corp. Process of forming integrated circuits with contact pads in a standard array
US5310699A (en) 1984-08-28 1994-05-10 Sharp Kabushiki Kaisha Method of manufacturing a bump electrode
US4606998A (en) 1985-04-30 1986-08-19 International Business Machines Corporation Barrierless high-temperature lift-off process
US5055907A (en) 1989-01-25 1991-10-08 Mosaic, Inc. Extended integration semiconductor structure with wiring layers
US4885841A (en) 1989-02-21 1989-12-12 Micron Technology, Inc. Vibrational method of aligning the leads of surface-mount electronic components with the mounting pads of printed circuit boards during the molten solder mounting process
EP0453785A1 (en) 1990-04-24 1991-10-30 Oerlikon Contraves AG Method of making multilayer thin film circuit comprising integrated thin film resistors
US5226232A (en) 1990-05-18 1993-07-13 Hewlett-Packard Company Method for forming a conductive pattern on an integrated circuit
US5049979A (en) 1990-06-18 1991-09-17 Microelectronics And Computer Technology Corporation Combined flat capacitor and tab integrated circuit chip and method
JP3116360B2 (en) 1990-06-28 2000-12-11 日本電気株式会社 Method for forming self-aligned contact hole and semiconductor device
JP3002512B2 (en) 1990-09-10 2000-01-24 株式会社日立製作所 Integrated circuit device
US5534442A (en) * 1991-05-10 1996-07-09 Northern Telecom Limited Process of providing uniform photoresist thickness on an opto-electronic device
US6979840B1 (en) 1991-09-25 2005-12-27 Semiconductor Energy Laboratory Co., Ltd. Thin film transistors having anodized metal film between the gate wiring and drain wiring
US5336921A (en) 1992-01-27 1994-08-09 Motorola, Inc. Vertical trench inductor
JP3063422B2 (en) 1992-10-05 2000-07-12 富士電機株式会社 Coil for magnetic induction element
WO1994017558A1 (en) 1993-01-29 1994-08-04 The Regents Of The University Of California Monolithic passive component
US5328553A (en) 1993-02-02 1994-07-12 Motorola Inc. Method for fabricating a semiconductor device having a planar surface
US5557180A (en) 1993-06-30 1996-09-17 Sgs-Thomson Microelectronics, Inc. Circuit and method for operating a 3-phase motor with a uni-coil phase commutation scheme
US5370766A (en) 1993-08-16 1994-12-06 California Micro Devices Methods for fabrication of thin film inductors, inductor networks and integration with other passive and active devices
US5416356A (en) 1993-09-03 1995-05-16 Motorola, Inc. Integrated circuit having passive circuit elements
US5767564A (en) 1993-10-19 1998-06-16 Kyocera Corporation Semiconductor device with a decoupling capacitor mounted thereon having a thermal expansion coefficient matched to the device
US5527998A (en) 1993-10-22 1996-06-18 Sheldahl, Inc. Flexible multilayer printed circuit boards and methods of manufacture
US5455064A (en) 1993-11-12 1995-10-03 Fujitsu Limited Process for fabricating a substrate with thin film capacitor and insulating plug
US5465879A (en) 1994-01-27 1995-11-14 Asymptotic Technologies, Inc. Disposable nozzle assembly for high speed viscous material droplet dispenser
US5576680A (en) 1994-03-01 1996-11-19 Amer-Soi Structure and fabrication process of inductors on semiconductor chip
US5478773A (en) 1994-04-28 1995-12-26 Motorola, Inc. Method of making an electronic device having an integrated inductor
JP3361881B2 (en) 1994-04-28 2003-01-07 株式会社東芝 Semiconductor device and manufacturing method thereof
US5446311A (en) 1994-09-16 1995-08-29 International Business Machines Corporation High-Q inductors in silicon technology without expensive metalization
US5532512A (en) 1994-10-03 1996-07-02 General Electric Company Direct stacked and flip chip power semiconductor device structures
US5468984A (en) 1994-11-02 1995-11-21 Texas Instruments Incorporated ESD protection structure using LDMOS diodes with thick copper interconnect
US5563762A (en) 1994-11-28 1996-10-08 Northern Telecom Limited Capacitor for an integrated circuit and method of formation thereof, and a method of adding on-chip capacitors to an integrated circuit
FR2728104A1 (en) 1994-12-09 1996-06-14 Sgs Thomson Microelectronics METHOD OF MARKING CIRCUITS INTEGRATED WITH A LASER, AND MARKING APPARATUS THEREFOR
US5629240A (en) 1994-12-09 1997-05-13 Sun Microsystems, Inc. Method for direct attachment of an on-chip bypass capacitor in an integrated circuit
US5534465A (en) 1995-01-10 1996-07-09 At&T Corp. Method for making multichip circuits using active semiconductor substrates
EP0734059B1 (en) 1995-03-24 2005-11-09 Shinko Electric Industries Co., Ltd. Chip sized semiconductor device and a process for making it
US5842626A (en) 1995-03-31 1998-12-01 Intel Corporation Method for coupling surface mounted capacitors to semiconductor packages
US5635767A (en) 1995-06-02 1997-06-03 Motorola, Inc. Semiconductor device having built-in high frequency bypass capacitor
US5659201A (en) 1995-06-05 1997-08-19 Advanced Micro Devices, Inc. High conductivity interconnection line
KR100327442B1 (en) 1995-07-14 2002-06-29 구본준, 론 위라하디락사 Bump structure of semiconductor device and fabricating method thereof
US5691248A (en) 1995-07-26 1997-11-25 International Business Machines Corporation Methods for precise definition of integrated circuit chip edges
JP2739853B2 (en) 1995-11-28 1998-04-15 日本電気株式会社 Semiconductor device manufacturing method and etching method
JP2953404B2 (en) 1995-12-08 1999-09-27 ソニー株式会社 Semiconductor device and manufacturing method thereof
JP2814972B2 (en) 1995-12-18 1998-10-27 日本電気株式会社 Method for manufacturing semiconductor device
KR0182073B1 (en) 1995-12-22 1999-03-20 황인길 Method of manufacturing semiconductor chip scale semiconductor package
JP2904086B2 (en) 1995-12-27 1999-06-14 日本電気株式会社 Semiconductor device and manufacturing method thereof
KR970053198A (en) 1995-12-30 1997-07-29 구자홍 Bonding device for semiconductor device and manufacturing method thereof
US5904546A (en) 1996-02-12 1999-05-18 Micron Technology, Inc. Method and apparatus for dicing semiconductor wafers
US5686764A (en) 1996-03-20 1997-11-11 Lsi Logic Corporation Flip chip package with reduced number of package layers
US5792594A (en) 1996-04-01 1998-08-11 Motorola, Inc. Metallization and termination process for an integrated circuit chip
KR0176202B1 (en) 1996-04-09 1999-04-15 김광호 Soi transistor and its fabrication method
KR100367069B1 (en) 1996-04-24 2003-03-29 이케다 타케시 Semiconductor Device
US5953626A (en) 1996-06-05 1999-09-14 Advanced Micro Devices, Inc. Dissolvable dielectric method
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US5949654A (en) 1996-07-03 1999-09-07 Kabushiki Kaisha Toshiba Multi-chip module, an electronic device, and production method thereof
JP2000515323A (en) 1996-07-18 2000-11-14 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド An integrated circuit that generates staggered wiring using etching stops
WO1998012744A1 (en) 1996-09-20 1998-03-26 Tdk Corporation Passive electronic parts, ic parts, and wafer
US5861647A (en) 1996-10-02 1999-01-19 National Semiconductor Corporation VLSI capacitors and high Q VLSI inductors using metal-filled via plugs
US5902686A (en) 1996-11-21 1999-05-11 Mcnc Methods for forming an intermetallic region between a solder bump and an under bump metallurgy layer and related structures
US5818110A (en) 1996-11-22 1998-10-06 International Business Machines Corporation Integrated circuit chip wiring structure with crossover capability and method of manufacturing the same
US5795818A (en) 1996-12-06 1998-08-18 Amkor Technology, Inc. Integrated circuit chip to substrate interconnection and method
US5891805A (en) 1996-12-13 1999-04-06 Intel Corporation Method of forming contacts
US5763108A (en) 1997-03-05 1998-06-09 Headway Technologies, Inc. High saturtion magnetization material and magnetic head fabricated therefrom
AU735548B2 (en) 1997-03-06 2001-07-12 Teijin Limited Polyethylene-2,6-naphthalene dicarboxylate resin and preform and bottle molded thereof
US5969424A (en) 1997-03-19 1999-10-19 Fujitsu Limited Semiconductor device with pad structure
US5856217A (en) 1997-04-10 1999-01-05 Hughes Electronics Corporation Modulation-doped field-effect transistors and fabrication processes
US6051489A (en) 1997-05-13 2000-04-18 Chipscale, Inc. Electronic component package with posts on the active side of the substrate
US5969422A (en) 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US6144100A (en) 1997-06-05 2000-11-07 Texas Instruments Incorporated Integrated circuit with bonding layer over active circuitry
US6440750B1 (en) 1997-06-10 2002-08-27 Agere Systems Guardian Corporation Method of making integrated circuit having a micromagnetic device
US6118351A (en) 1997-06-10 2000-09-12 Lucent Technologies Inc. Micromagnetic device for power processing applications and method of manufacture therefor
US6245594B1 (en) 1997-08-05 2001-06-12 Micron Technology, Inc. Methods for forming conductive micro-bumps and recessed contacts for flip-chip technology and method of flip-chip assembly
US5972734A (en) 1997-09-17 1999-10-26 Lsi Logic Corporation Interposer for ball grid array (BGA) package
JP3152180B2 (en) 1997-10-03 2001-04-03 日本電気株式会社 Semiconductor device and manufacturing method thereof
US6147857A (en) 1997-10-07 2000-11-14 E. R. W. Optional on chip power supply bypass capacitor
US6441487B2 (en) 1997-10-20 2002-08-27 Flip Chip Technologies, L.L.C. Chip scale package using large ductile solder balls
US5930637A (en) 1997-10-31 1999-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating a microwave inductor
US6236101B1 (en) 1997-11-05 2001-05-22 Texas Instruments Incorporated Metallization outside protective overcoat for improved capacitors and inductors
JP3250503B2 (en) 1997-11-11 2002-01-28 株式会社村田製作所 Variable inductor element
US6570247B1 (en) 1997-12-30 2003-05-27 Intel Corporation Integrated circuit device having an embedded heat slug
US6875681B1 (en) 1997-12-31 2005-04-05 Intel Corporation Wafer passivation structure and method of fabrication
US6046101A (en) 1997-12-31 2000-04-04 Intel Corporation Passivation technology combining improved adhesion in passivation and a scribe street without passivation
US6107180A (en) 1998-01-30 2000-08-22 Motorola, Inc. Method for forming interconnect bumps on a semiconductor die
JP4197195B2 (en) 1998-02-27 2008-12-17 ヒューレット・パッカード・カンパニー Providing audio information
US6479341B1 (en) 1998-03-02 2002-11-12 Vanguard International Semiconductor Corporation Capacitor over metal DRAM structure
US6642136B1 (en) 2001-09-17 2003-11-04 Megic Corporation Method of making a low fabrication cost, high performance, high reliability chip scale package
US6008102A (en) 1998-04-09 1999-12-28 Motorola, Inc. Method of forming a three-dimensional integrated inductor
US6025261A (en) 1998-04-29 2000-02-15 Micron Technology, Inc. Method for making high-Q inductive elements
JP4355039B2 (en) 1998-05-07 2009-10-28 東京エレクトロン株式会社 Semiconductor device and manufacturing method of semiconductor device
US6448650B1 (en) 1998-05-18 2002-09-10 Texas Instruments Incorporated Fine pitch system and method for reinforcing bond pads in semiconductor devices
US5929508A (en) 1998-05-21 1999-07-27 Harris Corp Defect gettering by induced stress
DE19827086A1 (en) * 1998-06-18 1999-12-23 Basf Ag Process for working up distillation residues from the synthesis of tolylene diisocyanate
JP2000022085A (en) 1998-06-29 2000-01-21 Toshiba Corp Semiconductor device and manufacture thereof
RU2195048C2 (en) 1998-07-09 2002-12-20 Инфинеон Текнолоджиз Аг Semiconductor component with passivating layer
JP3486346B2 (en) 1998-07-16 2004-01-13 ソニーケミカル株式会社 Bare chip mounting structure
JP2000036568A (en) 1998-07-17 2000-02-02 Toshiba Corp Semiconductor memory and manufacture thereof
US6077726A (en) 1998-07-30 2000-06-20 Motorola, Inc. Method and apparatus for stress relief in solder bump formation on a semiconductor device
US6424034B1 (en) 1998-08-31 2002-07-23 Micron Technology, Inc. High performance packaging for microprocessors and DRAM chips which minimizes timing skews
US6478773B1 (en) 1998-12-21 2002-11-12 Micrus Corporation Apparatus for deployment of micro-coil using a catheter
JP3420076B2 (en) 1998-08-31 2003-06-23 新光電気工業株式会社 Method for manufacturing flip-chip mounting board, flip-chip mounting board, and flip-chip mounting structure
US6101371A (en) 1998-09-12 2000-08-08 Lucent Technologies, Inc. Article comprising an inductor
US6261994B1 (en) 1998-09-17 2001-07-17 Eastman Kodak Company Reflective imaging display material with biaxially oriented polyolefin sheet
EP0999579B1 (en) 1998-11-04 2007-05-30 Lucent Technologies Inc. An inductor or low loss interconnect in an integrated circuit
US6272736B1 (en) 1998-11-13 2001-08-14 United Microelectronics Corp. Method for forming a thin-film resistor
US6261944B1 (en) 1998-11-24 2001-07-17 Vantis Corporation Method for forming a semiconductor device having high reliability passivation overlying a multi-level interconnect
US6475904B2 (en) 1998-12-03 2002-11-05 Advanced Micro Devices, Inc. Interconnect structure with silicon containing alicyclic polymers and low-k dielectric materials and method of making same with single and dual damascene techniques
US6287931B1 (en) 1998-12-04 2001-09-11 Winbond Electronics Corp. Method of fabricating on-chip inductor
JP3389517B2 (en) 1998-12-10 2003-03-24 三洋電機株式会社 Chip size package and manufacturing method thereof
JP3577419B2 (en) 1998-12-17 2004-10-13 新光電気工業株式会社 Semiconductor device and manufacturing method thereof
JP3477692B2 (en) 1998-12-18 2003-12-10 株式会社村田製作所 Electronic components
US7592205B2 (en) 1998-12-21 2009-09-22 Megica Corporation Over-passivation process of forming polymer layer over IC chip
TW511243B (en) 2001-12-14 2002-11-21 Megic Corp Chip structure and process for making the same
US8021976B2 (en) 2002-10-15 2011-09-20 Megica Corporation Method of wire bonding over active area of a semiconductor circuit
US6383916B1 (en) 1998-12-21 2002-05-07 M. S. Lin Top layers of metal for high performance IC's
US7416971B2 (en) 2004-09-23 2008-08-26 Megica Corporation Top layers of metal for integrated circuits
US7381642B2 (en) 2004-09-23 2008-06-03 Megica Corporation Top layers of metal for integrated circuits
TW519707B (en) 2001-12-13 2003-02-01 Megic Corp Chip structure with passivation layer having outer layer connection and its manufacturing process
US8421158B2 (en) 1998-12-21 2013-04-16 Megica Corporation Chip structure with a passive device and method for forming the same
SG93278A1 (en) 1998-12-21 2002-12-17 Mou Shiung Lin Top layers of metal for high performance ics
US7531417B2 (en) * 1998-12-21 2009-05-12 Megica Corporation High performance system-on-chip passive device using post passivation process
US8178435B2 (en) 1998-12-21 2012-05-15 Megica Corporation High performance system-on-chip inductor using post passivation process
US6762115B2 (en) 1998-12-21 2004-07-13 Megic Corporation Chip structure and process for forming the same
US6479900B1 (en) 1998-12-22 2002-11-12 Sanyo Electric Co., Ltd. Semiconductor device and method of manufacturing the same
KR100301052B1 (en) 1998-12-28 2001-11-02 윤종용 Method for semiconductor package manufacturing to decrease a soft error
JP3530761B2 (en) 1999-01-18 2004-05-24 新光電気工業株式会社 Semiconductor device
JP2000216264A (en) 1999-01-22 2000-08-04 Mitsubishi Electric Corp Cmos logic circuit element, semiconductor device and its manufacture, and method for designing semiconductor circuit used in the manufacture
KR100687548B1 (en) 1999-01-27 2007-02-27 신꼬오덴기 고교 가부시키가이샤 Semiconductor wafer and semiconductor device provided with columnar electrodes and methods of producing the wafer and device
JP2000228423A (en) 1999-02-05 2000-08-15 Sanyo Electric Co Ltd Semiconductor device and manufacture thereof
JP4131595B2 (en) 1999-02-05 2008-08-13 三洋電機株式会社 Manufacturing method of semiconductor device
US6441715B1 (en) 1999-02-17 2002-08-27 Texas Instruments Incorporated Method of fabricating a miniaturized integrated circuit inductor and transformer fabrication
US6566731B2 (en) 1999-02-26 2003-05-20 Micron Technology, Inc. Open pattern inductor
DE60037395T2 (en) 1999-03-09 2008-11-27 Tokyo Electron Ltd. PREPARATION OF A SEMICONDUCTOR COMPONENT
FR2791470B1 (en) 1999-03-23 2001-06-01 Memscap MONOLITHIC INTEGRATED CIRCUIT INCORPORATING AN INDUCTIVE COMPONENT AND METHOD FOR MANUFACTURING SUCH AN INTEGRATED CIRCUIT
US6251501B1 (en) 1999-03-29 2001-06-26 Delphi Technologies, Inc. Surface mount circuit device and solder bumping method therefor
JP3792445B2 (en) 1999-03-30 2006-07-05 日本特殊陶業株式会社 Wiring board with capacitor
FR2793943B1 (en) 1999-05-18 2001-07-13 Memscap MICRO-COMPONENTS OF THE MICRO-INDUCTANCE OR MICRO-TRANSFORMER TYPE, AND METHOD FOR MANUFACTURING SUCH MICRO-COMPONENTS
US6445271B1 (en) 1999-05-28 2002-09-03 Honeywell International Inc. Three-dimensional micro-coils in planar substrates
US6544880B1 (en) 1999-06-14 2003-04-08 Micron Technology, Inc. Method of improving copper interconnects of semiconductor devices for bonding
US6255714B1 (en) 1999-06-22 2001-07-03 Agere Systems Guardian Corporation Integrated circuit having a micromagnetic device including a ferromagnetic core and method of manufacture therefor
US6270659B1 (en) 1999-07-02 2001-08-07 Fleetguard, Inc. Fuel filtering system for an engine
US6133079A (en) 1999-07-22 2000-10-17 Chartered Semiconductor Manufacturing Ltd. Method for reducing substrate capacitive coupling of a thin film inductor by reverse P/N junctions
US6300250B1 (en) 1999-08-09 2001-10-09 Taiwan Semiconductor Manufacturing Company Method of forming bumps for flip chip applications
GB2353139B (en) 1999-08-12 2001-08-29 United Microelectronics Corp Inductor and method of manufacturing the same
US6140197A (en) 1999-08-30 2000-10-31 Chartered Semiconductor Manufacturing Ltd. Method of making spiral-type RF inductors having a high quality factor (Q)
US6221727B1 (en) 1999-08-30 2001-04-24 Chartered Semiconductor Manufacturing Ltd. Method to trap air at the silicon substrate for improving the quality factor of RF inductors in CMOS technology
US6570251B1 (en) 1999-09-02 2003-05-27 Micron Technology, Inc. Under bump metalization pad and solder bump connections
US6277669B1 (en) 1999-09-15 2001-08-21 Industrial Technology Research Institute Wafer level packaging method and packages formed
TW419765B (en) 1999-09-30 2001-01-21 Taiwan Semiconductor Mfg Manufacturing method of flip chip solder bumps
US6410435B1 (en) 1999-10-01 2002-06-25 Agere Systems Guardian Corp. Process for fabricating copper interconnect for ULSI integrated circuits
US6365498B1 (en) 1999-10-15 2002-04-02 Industrial Technology Research Institute Integrated process for I/O redistribution and passive components fabrication and devices formed
US6465879B1 (en) 1999-10-19 2002-10-15 Citizen Watch Co., Ltd. Structure for mounting semiconductor device, method of mounting same, semiconductor device, and method of fabricating same
US6803302B2 (en) 1999-11-22 2004-10-12 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a mechanically robust pad interface
JP3287346B2 (en) 1999-11-29 2002-06-04 カシオ計算機株式会社 Semiconductor device
KR100319813B1 (en) 2000-01-03 2002-01-09 윤종용 method of forming solder bumps with reduced UBM undercut
US6278264B1 (en) 2000-02-04 2001-08-21 Volterra Semiconductor Corporation Flip-chip switching regulator
US6404615B1 (en) 2000-02-16 2002-06-11 Intarsia Corporation Thin film capacitors
JP3996315B2 (en) 2000-02-21 2007-10-24 松下電器産業株式会社 Semiconductor device and manufacturing method thereof
JP3548082B2 (en) 2000-03-30 2004-07-28 三洋電機株式会社 Semiconductor device and manufacturing method thereof
US6586309B1 (en) 2000-04-24 2003-07-01 Chartered Semiconductor Manufacturing Ltd. High performance RF inductors and transformers using bonding technique
JP3968554B2 (en) 2000-05-01 2007-08-29 セイコーエプソン株式会社 Bump forming method and semiconductor device manufacturing method
US6455915B1 (en) 2000-05-30 2002-09-24 Programmable Silicon Solutions Integrated inductive circuits
US6416356B1 (en) 2000-06-02 2002-07-09 Astec International Limited AC interface for electrical equipment racks
US6521996B1 (en) 2000-06-30 2003-02-18 Intel Corporation Ball limiting metallurgy for input/outputs and methods of fabrication
JP3440070B2 (en) 2000-07-13 2003-08-25 沖電気工業株式会社 Wafer and method of manufacturing wafer
JP2002043520A (en) 2000-07-19 2002-02-08 Sony Corp Semiconductor device and its manufacturing method
US6399997B1 (en) 2000-08-01 2002-06-04 Megic Corporation High performance system-on-chip using post passivation process and glass substrates
US6500724B1 (en) 2000-08-21 2002-12-31 Motorola, Inc. Method of making semiconductor device having passive elements including forming capacitor electrode and resistor from same layer of material
US6486530B1 (en) 2000-10-16 2002-11-26 Intarsia Corporation Integration of anodized metal capacitors and high temperature deposition capacitors
JP2002198374A (en) 2000-10-16 2002-07-12 Sharp Corp Semiconductor device and its fabrication method
US6375062B1 (en) 2000-11-06 2002-04-23 Delphi Technologies, Inc. Surface bumping method and structure formed thereby
US6365480B1 (en) 2000-11-27 2002-04-02 Analog Devices, Inc. IC resistor and capacitor fabrication method
TW517334B (en) 2000-12-08 2003-01-11 Nec Corp Method of forming barrier layers for solder bumps
TW577152B (en) 2000-12-18 2004-02-21 Hitachi Ltd Semiconductor integrated circuit device
TW490803B (en) 2001-01-04 2002-06-11 Megic Corp Chip structure having outer layer connection on the protection layer
US6426281B1 (en) 2001-01-16 2002-07-30 Taiwan Semiconductor Manufacturing Company Method to form bump in bumping technology
JP2002222823A (en) 2001-01-29 2002-08-09 Sharp Corp Semiconductor integrated device and its manufacturing method
JP3888854B2 (en) 2001-02-16 2007-03-07 シャープ株式会社 Manufacturing method of semiconductor integrated circuit
TW483045B (en) 2001-02-23 2002-04-11 Megic Corp Flip chip and the process thereof
TW506025B (en) 2001-03-05 2002-10-11 Megic Corp Flip-chip IC and the manufacturing process
US6399975B1 (en) 2001-03-07 2002-06-04 Megic Corporation Wide bit memory using post passivation interconnection scheme
US6653563B2 (en) 2001-03-30 2003-11-25 Intel Corporation Alternate bump metallurgy bars for power and ground routing
JP3939504B2 (en) 2001-04-17 2007-07-04 カシオ計算機株式会社 Semiconductor device, method for manufacturing the same, and mounting structure
JP4350337B2 (en) 2001-04-27 2009-10-21 富士通マイクロエレクトロニクス株式会社 Semiconductor device
US6894399B2 (en) 2001-04-30 2005-05-17 Intel Corporation Microelectronic device having signal distribution functionality on an interfacial layer thereof
US6903459B2 (en) 2001-05-17 2005-06-07 Matsushita Electric Industrial Co., Ltd. High frequency semiconductor device
JP4092890B2 (en) 2001-05-31 2008-05-28 株式会社日立製作所 Multi-chip module
TW498510B (en) 2001-06-05 2002-08-11 Chipbond Technology Corp Metallized surface wafer level package structure
US6413851B1 (en) 2001-06-12 2002-07-02 Advanced Interconnect Technology, Ltd. Method of fabrication of barrier cap for under bump metal
JP4259774B2 (en) 2001-07-16 2009-04-30 株式会社ルネサステクノロジ Semiconductor device and manufacturing method thereof
TW515016B (en) 2001-09-10 2002-12-21 Megic Corp Flip chip and its manufacturing process
TW498529B (en) 2001-09-19 2002-08-11 Megic Corp Flip chip packaging and the processing thereof
US6762122B2 (en) 2001-09-27 2004-07-13 Unitivie International Limited Methods of forming metallurgy structures for wire and solder bonding
JP2003179148A (en) 2001-10-04 2003-06-27 Denso Corp Semiconductor substrate and manufacturing method therefor
JP3540302B2 (en) * 2001-10-19 2004-07-07 Necエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
EP1306898A1 (en) 2001-10-29 2003-05-02 Dialog Semiconductor GmbH Sub-milliohm on-chip interconnection
US6646347B2 (en) 2001-11-30 2003-11-11 Motorola, Inc. Semiconductor power device and method of formation
JP4068838B2 (en) 2001-12-07 2008-03-26 株式会社日立製作所 Manufacturing method of semiconductor device
US6644536B2 (en) 2001-12-28 2003-11-11 Intel Corporation Solder reflow with microwave energy
TW503496B (en) 2001-12-31 2002-09-21 Megic Corp Chip packaging structure and manufacturing process of the same
TWI245402B (en) 2002-01-07 2005-12-11 Megic Corp Rod soldering structure and manufacturing process thereof
TW518700B (en) 2002-01-07 2003-01-21 Advanced Semiconductor Eng Chip structure with bumps and the manufacturing method thereof
JP2003229451A (en) 2002-02-01 2003-08-15 Hitachi Ltd Flip chip mounting structure
US6620635B2 (en) 2002-02-20 2003-09-16 International Business Machines Corporation Damascene resistor and method for measuring the width of same
JP2003249503A (en) 2002-02-26 2003-09-05 Mitsubishi Electric Corp Semiconductor device and method for manufacturing the same
JP3603890B2 (en) 2002-03-06 2004-12-22 セイコーエプソン株式会社 Electronic device, method of manufacturing the same, and electronic apparatus
US6614091B1 (en) 2002-03-13 2003-09-02 Motorola, Inc. Semiconductor device having a wire bond pad and method therefor
US20030183332A1 (en) 2002-03-26 2003-10-02 Simila Charles E. Screen printed thermal expansion standoff
KR100460062B1 (en) 2002-04-23 2004-12-04 주식회사 하이닉스반도체 Multi chip package and manufacturing method thereof
US6740577B2 (en) 2002-05-21 2004-05-25 St Assembly Test Services Pte Ltd Method of forming a small pitch torch bump for mounting high-performance flip-flop devices
US20030218246A1 (en) 2002-05-22 2003-11-27 Hirofumi Abe Semiconductor device passing large electric current
US6803323B2 (en) 2002-05-30 2004-10-12 Freescale Semiconductor, Inc. Method of forming a component overlying a semiconductor substrate
US7078822B2 (en) 2002-06-25 2006-07-18 Intel Corporation Microelectronic device interconnects
US6638844B1 (en) 2002-07-29 2003-10-28 Chartered Semiconductor Manufacturing Ltd. Method of reducing substrate coupling/noise for radio frequency CMOS (RFCMOS) components in semiconductor technology by backside trench and fill
JP3580803B2 (en) 2002-08-09 2004-10-27 沖電気工業株式会社 Semiconductor device
JP2004140037A (en) 2002-10-15 2004-05-13 Oki Electric Ind Co Ltd Semiconductor device and its manufacturing process
US7285867B2 (en) 2002-11-08 2007-10-23 Casio Computer Co., Ltd. Wiring structure on semiconductor substrate and method of fabricating the same
TW578292B (en) 2002-11-22 2004-03-01 Via Tech Inc Chip to eliminate noise and manufacturing method thereof
US6800534B2 (en) 2002-12-09 2004-10-05 Taiwan Semiconductor Manufacturing Company Method of forming embedded MIM capacitor and zigzag inductor scheme
JP4059072B2 (en) 2002-12-11 2008-03-12 セイコーエプソン株式会社 Bump structure, semiconductor chip, semiconductor chip mounting method, electronic device and electronic device
US6878633B2 (en) 2002-12-23 2005-04-12 Freescale Semiconductor, Inc. Flip-chip structure and method for high quality inductors and transformers
EP1434264A3 (en) 2002-12-27 2017-01-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method using the transfer technique
US6959856B2 (en) 2003-01-10 2005-11-01 Samsung Electronics Co., Ltd. Solder bump structure and method for forming a solder bump
JP3908671B2 (en) 2003-01-29 2007-04-25 松下電器産業株式会社 Semiconductor device and display device using the same
US7008867B2 (en) 2003-02-21 2006-03-07 Aptos Corporation Method for forming copper bump antioxidation surface
US8368150B2 (en) 2003-03-17 2013-02-05 Megica Corporation High performance IC chip having discrete decoupling capacitors attached to its IC surface
US6716693B1 (en) 2003-03-27 2004-04-06 Chartered Semiconductor Manufacturing Ltd. Method of forming a surface coating layer within an opening within a body by atomic layer deposition
TWI236763B (en) 2003-05-27 2005-07-21 Megic Corp High performance system-on-chip inductor using post passivation process
US6913946B2 (en) 2003-06-13 2005-07-05 Aptos Corporation Method of making an ultimate low dielectric device
US7087927B1 (en) 2003-07-22 2006-08-08 National Semiconductor Corporation Semiconductor die with an editing structure
KR100546346B1 (en) 2003-07-23 2006-01-26 삼성전자주식회사 Method for forming redistribution bump, semiconductor chip and mount structure fabricated using same
US6977435B2 (en) 2003-09-09 2005-12-20 Intel Corporation Thick metal layer integrated process flow to improve power delivery and mechanical buffering
EP1536469A1 (en) 2003-11-28 2005-06-01 EM Microelectronic-Marin SA Semiconductor device with connecting bumps
US20050277281A1 (en) 2004-06-10 2005-12-15 Dubin Valery M Compliant interconnect and method of formation
US7465654B2 (en) * 2004-07-09 2008-12-16 Megica Corporation Structure of gold bumps and gold conductors on one IC die and methods of manufacturing the structures
US7452803B2 (en) 2004-08-12 2008-11-18 Megica Corporation Method for fabricating chip structure
US7423346B2 (en) 2004-09-09 2008-09-09 Megica Corporation Post passivation interconnection process and structures
US7355282B2 (en) 2004-09-09 2008-04-08 Megica Corporation Post passivation interconnection process and structures
US20060064922A1 (en) * 2004-09-27 2006-03-30 Crispens Jacquelyn R Safety disposable mouse trap
US7547969B2 (en) 2004-10-29 2009-06-16 Megica Corporation Semiconductor chip with passivation layer comprising metal interconnect and contact pads
US7468545B2 (en) * 2005-05-06 2008-12-23 Megica Corporation Post passivation structure for a semiconductor device and packaging process for same
US7529106B2 (en) * 2005-08-12 2009-05-05 Kabushiki Kaisha Toyota Jidoshokki Voltage monitoring device and inverter device
US20080284037A1 (en) 2007-05-15 2008-11-20 Andry Paul S Apparatus and Methods for Constructing Semiconductor Chip Packages with Silicon Space Transformer Carriers

Patent Citations (114)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4646998A (en) * 1981-11-20 1987-03-03 Clairson International Corporation Wall-mounted shelf support clip
US5008102A (en) * 1986-02-26 1991-04-16 York Kenneth K Biocompatible intraocular light-screening compositions and methods of intraocular light screening
US4825276A (en) * 1986-06-19 1989-04-25 Nec Corporation Integrated circuit semiconductor device having improved wiring structure
US5108950A (en) * 1987-11-18 1992-04-28 Casio Computer Co., Ltd. Method for forming a bump electrode for a semiconductor device
US5106461A (en) * 1989-04-04 1992-04-21 Massachusetts Institute Of Technology High-density, multi-level interconnects, flex circuits, and tape for tab
US5095357A (en) * 1989-08-18 1992-03-10 Mitsubishi Denki Kabushiki Kaisha Inductive structures for semiconductor integrated circuits
US5083187A (en) * 1990-05-16 1992-01-21 Texas Instruments Incorporated Integrated circuit device having bumped power supply buses over active surface areas and method of manufacture thereof
US5406512A (en) * 1990-06-25 1995-04-11 Matsushita Electronics Corporation Semiconductor memory device using compensation capacitors
US5095402A (en) * 1990-10-02 1992-03-10 Rogers Corporation Internally decoupled integrated circuit package
US5387551A (en) * 1992-03-04 1995-02-07 Kabushiki Kaisha Toshiba Method of manufacturing flat inductance element
US5384274A (en) * 1992-04-06 1995-01-24 Nippon Precision Circuits Inc. Method of making a combined semiconductor device and inductor
US6707124B2 (en) * 1992-10-26 2004-03-16 Texas Instruments Incorporated HID land grid array packaged device having electrical and optical interconnects
US5391901A (en) * 1992-10-30 1995-02-21 Nec Corporation Semiconductor memory with oblique folded bit-line arrangement
US5485038A (en) * 1993-07-15 1996-01-16 Hughes Aircraft Company Microelectronic circuit substrate structure including photoimageable epoxy dielectric layers
US5501006A (en) * 1993-09-22 1996-03-26 Motorola, Inc. Method for connection of signals to an integrated circuit
US5508561A (en) * 1993-11-15 1996-04-16 Nec Corporation Apparatus for forming a double-bump structure used for flip-chip mounting
US5608262A (en) * 1995-02-24 1997-03-04 Lucent Technologies Inc. Packaging multi-chip modules without wire-bond interconnection
US5742100A (en) * 1995-03-27 1998-04-21 Motorola, Inc. Structure having flip-chip connected substrates
US5656849A (en) * 1995-09-22 1997-08-12 International Business Machines Corporation Two-level spiral inductor structure having a high inductance to area ratio
US6022792A (en) * 1996-03-13 2000-02-08 Seiko Instruments, Inc. Semiconductor dicing and assembling method
US5726502A (en) * 1996-04-26 1998-03-10 Motorola, Inc. Bumped semiconductor device with alignment features and method for making the same
US5883422A (en) * 1996-06-28 1999-03-16 The Whitaker Corporation Reduced parasitic capacitance semiconductor devices
US5883435A (en) * 1996-07-25 1999-03-16 International Business Machines Corporation Personalization structure for semiconductor devices
US5884990A (en) * 1996-08-23 1999-03-23 International Business Machines Corporation Integrated circuit inductor
US5874770A (en) * 1996-10-10 1999-02-23 General Electric Company Flexible interconnect film including resistor and capacitor layers
US6184589B1 (en) * 1996-11-08 2001-02-06 John J. Budnaitis Constraining ring for use in electronic packaging
US6168854B1 (en) * 1996-12-23 2001-01-02 Nortel Networks Limited Method of making a printed circuit board having a tin/lead coating
US6043430A (en) * 1997-03-14 2000-03-28 Lg Semicon Co., Ltd. Bottom lead semiconductor chip package
US6040226A (en) * 1997-05-27 2000-03-21 General Electric Company Method for fabricating a thin film inductor
US6013571A (en) * 1997-06-16 2000-01-11 Motorola, Inc. Microelectronic assembly including columnar interconnections and method for forming same
US6184121B1 (en) * 1997-07-10 2001-02-06 International Business Machines Corporation Chip interconnect wiring structure with low dielectric constant insulator and methods for fabricating the same
US6184143B1 (en) * 1997-09-08 2001-02-06 Hitachi, Ltd. Semiconductor integrated circuit device and fabrication process thereof
US6030877A (en) * 1997-10-06 2000-02-29 Industrial Technology Research Institute Electroless gold plating method for forming inductor structures
US6031445A (en) * 1997-11-28 2000-02-29 Stmicroelectronics S.A. Transformer for integrated circuits
US6395637B1 (en) * 1997-12-03 2002-05-28 Electronics And Telecommunications Research Institute Method for fabricating a inductor of low parasitic resistance and capacitance
US6169030B1 (en) * 1998-01-14 2001-01-02 Applied Materials, Inc. Metallization process and method
US6177731B1 (en) * 1998-01-19 2001-01-23 Citizen Watch Co., Ltd. Semiconductor package
US6169320B1 (en) * 1998-01-22 2001-01-02 Raytheon Company Spiral-shaped inductor structure for monolithic microwave integrated circuits having air gaps in underlying pedestal
US6023407A (en) * 1998-02-26 2000-02-08 International Business Machines Corporation Structure for a thin film multilayer capacitor
US6184159B1 (en) * 1998-06-12 2001-02-06 Taiwan Semiconductor Manufacturing Corporation Interlayer dielectric planarization process
US20020008301A1 (en) * 1998-07-13 2002-01-24 Ping Liou Monolithic high-q inductance device and process for fabricating the same
US6518165B1 (en) * 1998-07-28 2003-02-11 Korea Advanced Institute Of Science And Technology Method for manufacturing a semiconductor device having a metal layer floating over a substrate
US20010028098A1 (en) * 1998-08-07 2001-10-11 Ping Liou Method and structure of manufacturing a high-q inductor with an air trench
US6174803B1 (en) * 1998-09-16 2001-01-16 Vsli Technology Integrated circuit device interconnection techniques
US6187680B1 (en) * 1998-10-07 2001-02-13 International Business Machines Corporation Method/structure for creating aluminum wirebound pad on copper BEOL
US7482693B2 (en) * 1998-12-21 2009-01-27 Mou-Shiung Lin Top layers of metal for high performance IC's
US20080035972A1 (en) * 1998-12-21 2008-02-14 Megica Corporation High performance system-on-chip using post passivation process
US20080035974A1 (en) * 1998-12-21 2008-02-14 Megica Corporation High performance system-on-chip using post passivation process
US7329954B2 (en) * 1998-12-21 2008-02-12 Mou-Shiung Lin Top layers of metal for high performance IC's
US6869870B2 (en) * 1998-12-21 2005-03-22 Megic Corporation High performance system-on-chip discrete components using post passivation process
US20080038869A1 (en) * 1998-12-21 2008-02-14 Megica Corporation High performance system-on-chip using post passivation process
US20080048329A1 (en) * 1998-12-21 2008-02-28 Mou-Shiung Lin Top layers of metal for high performance IC's
US20080042238A1 (en) * 1998-12-21 2008-02-21 Megica Corporation High performance system-on-chip using post passivation process
US20080050912A1 (en) * 1998-12-21 2008-02-28 Megica Corporation Chip structure and process for forming the same
US20080050909A1 (en) * 1998-12-21 2008-02-28 Megica Corporation Top layers of metal for high performance IC's
US6700162B2 (en) * 1998-12-21 2004-03-02 Megic Corporation Chip structure to improve resistance-capacitance delay and reduce energy loss of the chip
US20080042289A1 (en) * 1998-12-21 2008-02-21 Megica Corporation High performance system-on-chip using post passivation process
US20080042239A1 (en) * 1998-12-21 2008-02-21 Megica Corporation High performance system-on-chip using post passivation process
US20080044977A1 (en) * 1998-12-21 2008-02-21 Megica Corporation High performance system-on-chip using post passivation process
US20080042273A1 (en) * 1998-12-21 2008-02-21 Megica Corporation High performance system-on-chip using post passivation process
US20050032351A1 (en) * 1998-12-21 2005-02-10 Mou-Shiung Lin Chip structure and process for forming the same
US6359328B1 (en) * 1998-12-31 2002-03-19 Intel Corporation Methods for making interconnects and diffusion barriers in integrated circuits
US6191468B1 (en) * 1999-02-03 2001-02-20 Micron Technology, Inc. Inductor with magnetic material layers
US6043109A (en) * 1999-02-09 2000-03-28 United Microelectronics Corp. Method of fabricating wafer-level package
US20030038331A1 (en) * 1999-02-15 2003-02-27 Casio Computer Co., Ltd. Semiconductor device having a barrier layer
US6707159B1 (en) * 1999-02-18 2004-03-16 Rohm Co., Ltd. Semiconductor chip and production process therefor
US6456183B1 (en) * 1999-02-26 2002-09-24 Memscap And Planhead-Silmag Phs Inductor for integrated circuit
US6548338B2 (en) * 1999-03-31 2003-04-15 International Business Machines Corp. Integrated high-performance decoupling capacitor and heat sink
US20020000665A1 (en) * 1999-04-05 2002-01-03 Alexander L. Barr Semiconductor device conductive bump and interconnect barrier
US6181569B1 (en) * 1999-06-07 2001-01-30 Kishore K. Chakravorty Low cost chip size package and method of fabricating the same
US6504227B1 (en) * 1999-06-30 2003-01-07 Kabushiki Kaisha Toshiba Passive semiconductor device mounted as daughter chip on active semiconductor device
US6169319B1 (en) * 1999-08-12 2001-01-02 Tower Semiconductor Ltd. Backside illuminated image sensor
US20020020855A1 (en) * 1999-09-29 2002-02-21 Hwang Chan Seung Method for fabricating a semiconductor device
US6291872B1 (en) * 1999-11-04 2001-09-18 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional type inductor for mixed mode radio frequency device
US20020016079A1 (en) * 1999-12-14 2002-02-07 Dykstra Jerald P. Enhanced etching/smoothing of dielectric surfaces
US20030037959A1 (en) * 1999-12-21 2003-02-27 Master Raj N. Organic packages having low tin solder connections
US6841872B1 (en) * 2000-01-05 2005-01-11 Hynix Semiconductor Inc. Semiconductor package and fabrication method thereof
US20020056910A1 (en) * 2000-03-16 2002-05-16 Howell Wayne J. Copper pad structure
US6180445B1 (en) * 2000-04-24 2001-01-30 Taiwan Semiconductor Manufacturing Company Method to fabricate high Q inductor by redistribution layer when flip-chip package is employed
US6673690B2 (en) * 2000-04-27 2004-01-06 Siliconware Precision Industries Co., Ltd. Method of mounting a passive component over an integrated circuit package substrate
US6362087B1 (en) * 2000-05-05 2002-03-26 Aptos Corporation Method for fabricating a microelectronic fabrication having formed therein a redistribution structure
US6429504B1 (en) * 2000-05-16 2002-08-06 Tyco Electronics Corporation Multilayer spiral inductor and integrated circuits incorporating the same
US6356453B1 (en) * 2000-06-29 2002-03-12 Amkor Technology, Inc. Electronic package having flip chip integrated circuit and passive chip component
US6376353B1 (en) * 2000-07-03 2002-04-23 Chartered Semiconductor Manufacturing Ltd. Aluminum and copper bimetallic bond pad scheme for copper damascene interconnects
US6683380B2 (en) * 2000-07-07 2004-01-27 Texas Instruments Incorporated Integrated circuit with bonding layer over active circuitry
US6847066B2 (en) * 2000-08-11 2005-01-25 Oki Electric Industry Co., Ltd. Semiconductor device
US20040048202A1 (en) * 2000-08-29 2004-03-11 Au Optronics Corporation Metal bump with an insulating sidewall and method of fabricating thereof
US6420773B1 (en) * 2000-10-04 2002-07-16 Winbond Electronics Corp. Multi-level spiral inductor structure having high inductance (L) and high quality factor (Q)
US20080001302A1 (en) * 2000-10-18 2008-01-03 Megica Corporation Post passivation interconnection schemes on top of IC chip
US6706554B2 (en) * 2000-10-26 2004-03-16 Oki Electric Industry Co., Ltd. Conductor posts, construction for and method of fabricating semiconductor integrated circuit chips using the conductor post, and method of probing semiconductor integrated circuit chips
US6852616B2 (en) * 2000-11-29 2005-02-08 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for producing the same
US20040023450A1 (en) * 2001-02-08 2004-02-05 Mitsuaki Katagiri Semiconductor integrated circuit device and its manufacturing method
US6742248B2 (en) * 2001-05-14 2004-06-01 The Boeing Company Method of forming a soldered electrical connection
US20030006062A1 (en) * 2001-07-06 2003-01-09 Stone William M. Interconnect system and method of fabrication
US20030008133A1 (en) * 2001-07-06 2003-01-09 Korea Advanced Institute Of Science And Technology Anisotropic conductive film and method of fabricating the same for ultra-fine pitch COG application
US20030020163A1 (en) * 2001-07-25 2003-01-30 Cheng-Yu Hung Bonding pad structure for copper/low-k dielectric material BEOL process
US20030052409A1 (en) * 2001-08-29 2003-03-20 Mie Matsuo Semiconductor device and method of manufacturing the same
US20080054398A1 (en) * 2001-09-04 2008-03-06 Megica Corporation Method for making high-performance RF integrated circuits
US7319377B2 (en) * 2001-09-04 2008-01-15 Megica Corporation Method for making high-performance RF integrated circuits
US6636139B2 (en) * 2001-09-10 2003-10-21 Taiwan Semiconductor Manufacturing Company Structure to reduce the degradation of the Q value of an inductor caused by via resistance
US6853076B2 (en) * 2001-09-21 2005-02-08 Intel Corporation Copper-containing C4 ball-limiting metallurgy stack for enhanced reliability of packaged structures and method of making same
US6515369B1 (en) * 2001-10-03 2003-02-04 Megic Corporation High performance system-on-chip using post passivation process
US6724079B2 (en) * 2002-01-04 2004-04-20 Motorola, Inc. Wire bond-less electronic component for use with an external circuit and method of manufacture
US6853078B2 (en) * 2002-02-22 2005-02-08 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
US20030218247A1 (en) * 2002-05-27 2003-11-27 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
US20040057589A1 (en) * 2002-06-18 2004-03-25 Corporation For National Research Initiatives Micro-mechanical capacitive inductive sensor for wireless detection of relative or absolute pressure
US20040009629A1 (en) * 2002-07-12 2004-01-15 Samsung Electro-Mechanics Co., Ltd. Electrode forming method in circuit device and chip package and multilayer board using the same
US20040007779A1 (en) * 2002-07-15 2004-01-15 Diane Arbuthnot Wafer-level method for fine-pitch, high aspect ratio chip interconnect
US20040040855A1 (en) * 2002-08-28 2004-03-04 Victor Batinovich Method for low-cost redistribution and under-bump metallization for flip-chip and wafer-level BGA silicon device packages
US7012339B2 (en) * 2002-11-28 2006-03-14 Oki Electric Industry Co., Ltd. Semiconductor chip with passive element in a wiring region of the chip
US20050024176A1 (en) * 2003-07-28 2005-02-03 Sung-Hsiung Wang Inductor device having improved quality factor
US20060060961A1 (en) * 2004-07-09 2006-03-23 Mou-Shiung Lin Chip structure
US20060079034A1 (en) * 2004-10-12 2006-04-13 Randy Hoffman Method to form a passivation layer
US20090001511A1 (en) * 2005-03-29 2009-01-01 Megica Corporation High performance system-on-chip using post passivation process

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI508295B (en) * 2012-05-01 2015-11-11 Taiwan Semiconductor Mfg Co Ltd Semiconductor device and method of fabricating a semiconductor device
US9716032B2 (en) 2012-05-01 2017-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Via-free interconnect structure with self-aligned metal line interconnections
US20170250152A1 (en) * 2016-02-29 2017-08-31 Infineon Technologies Ag Chip embedding package with solderable electric contact
US10229891B2 (en) * 2016-02-29 2019-03-12 Infineon Technologies Ag Chip embedding package with solderable electric contact
CN114246026A (en) * 2021-11-25 2022-03-29 北京胜泰东方科技有限公司 Method and apparatus for coating and storing low-moisture seeds

Also Published As

Publication number Publication date
CN1901162A (en) 2007-01-24
CN1901162B (en) 2011-04-20
CN102157494A (en) 2011-08-17
CN1901163B (en) 2011-04-13
CN1901161A (en) 2007-01-24
TWI305951B (en) 2009-02-01
CN102157494B (en) 2013-05-01
TW200711091A (en) 2007-03-16
TW200713503A (en) 2007-04-01
US20070045855A1 (en) 2007-03-01
CN1901161B (en) 2010-10-27
TWI320219B (en) 2010-02-01
CN1901163A (en) 2007-01-24
US7960269B2 (en) 2011-06-14

Similar Documents

Publication Publication Date Title
US7960269B2 (en) Method for forming a double embossing structure
US8399989B2 (en) Metal pad or metal bump over pad exposed by passivation layer
US7582556B2 (en) Circuitry component and method for forming the same
US8836146B2 (en) Chip package and method for fabricating the same
US8420520B2 (en) Non-cyanide gold electroplating for fine-line gold traces and gold pads
EP1737038B1 (en) Circuitry component
US8592977B2 (en) Integrated circuit (IC) chip and method for fabricating the same
US8421227B2 (en) Semiconductor chip structure
US8362588B2 (en) Semiconductor chip with coil element over passivation layer
US7582966B2 (en) Semiconductor chip and method for fabricating the same
US8018060B2 (en) Post passivation interconnection process and structures
US8193636B2 (en) Chip assembly with interconnection by metal bump
US8120181B2 (en) Post passivation interconnection process and structures
US8471388B2 (en) Integrated circuit and method for fabricating the same
US8008775B2 (en) Post passivation interconnection structures

Legal Events

Date Code Title Description
AS Assignment

Owner name: MEGICA CORPORATION, TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LO, HSIN-JUNG;LIN, MOU-SHIUNG;CHOU, CHIU-MING;AND OTHERS;SIGNING DATES FROM 20060717 TO 20060720;REEL/FRAME:026664/0332

AS Assignment

Owner name: MEGIT ACQUISITION CORP., CALIFORNIA

Free format text: MERGER;ASSIGNOR:MEGICA CORPORATION;REEL/FRAME:031283/0198

Effective date: 20130611

AS Assignment

Owner name: QUALCOMM INCORPORATED, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:MEGIT ACQUISITION CORP.;REEL/FRAME:033303/0124

Effective date: 20140709

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION