US20110187010A1 - Semiconductor cleaning using superacids - Google Patents

Semiconductor cleaning using superacids Download PDF

Info

Publication number
US20110187010A1
US20110187010A1 US13/084,475 US201113084475A US2011187010A1 US 20110187010 A1 US20110187010 A1 US 20110187010A1 US 201113084475 A US201113084475 A US 201113084475A US 2011187010 A1 US2011187010 A1 US 2011187010A1
Authority
US
United States
Prior art keywords
composition
semiconductor substrate
superacid
substrate
cleaning
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/084,475
Inventor
Robert J. Small
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US13/084,475 priority Critical patent/US20110187010A1/en
Publication of US20110187010A1 publication Critical patent/US20110187010A1/en
Abandoned legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • C11D2111/22
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step

Definitions

  • the invention relates to the cleaning of surfaces of substrates.
  • the invention relates to the cleaning of the surfaces of semiconductor substrates.
  • Processing of advanced semiconductor materials can leave residues (particle, ionic, or both) that are difficult to remove with conventional cleaning processes (such as wet benches, spray tools, etc).
  • residues particle, ionic, or both
  • conventional cleaning processes such as wet benches, spray tools, etc.
  • Critical residue particle sizes continue to decrease to below 20 nm, yet conventional particle removal methods (spray, ultrasonic, and megasonics) are ineffective, will damage the desired submicron structural features, or both.
  • High throughput semiconductor cleaning processes are needed for providing high particle removal efficiency (PRE) while minimizing damage or undesired etching.
  • PRE particle removal efficiency
  • Steven Verhaverbeke Applied Materials
  • Applied Materials “An Investigation of the Critical Parameters of a Atomized, Accelerated Liquid Spray to Remove Particles,” presented at the 208th Meeting of the Electrochemical Society, Los Angeles, Calif., Oct. 16-21, 2005, symposium on Cleaning Technology in Semiconductor Device Manufacturing IX, Electronics and Photonics/Dielectric Science and Technology; see also Ken-Ichi Sano et al. (Dainippon Screen and IMEC), “Single Wafer Wet Cleaning for a High Particle Removal Efficiency on Hydrophobic Surface,” also presented at the 208th Meeting of the Electrochemical Society.
  • Verhaverbeke reported the use of atomized, accelerated liquid sprays to remove particles in which the gas velocities used to accelerate the liquid droplets approached 50 m/s.
  • Sano et al. reported the use of a two-step single wafer cleaning process.
  • Conventional spray cleaning processes typically employ nozzles disposed between about 45° and about 90° with respect to the wafer surface.
  • Conventional cryogenic cleaning processes typically employ nozzles disposed between about 75° and about 90° with respect to the wafer surface.
  • High speed wet cleaning has been limited below 100 m/s, thus well below supersonic speeds (about 360 m/s).
  • the wet chemistries of the present invention may be used in stripping photoresists and cleaning organic and inorganic compounds, including post etch and post ash residues, from a semiconductor substrate.
  • the present invention relates to a method of cleaning a substrate that includes contacting a surface of a semiconductor substrate with a composition comprising an ionic liquid.
  • the ionic liquid can include a cation selected from the group consisting of an imidazolium cation, a pyridinium cation, a pyrrolidinium cation, an ammonium cation, and a phosphonium cation.
  • the ionic liquid can include a cation having the formula:
  • R 1 is an optionally substituted C 1 -C 20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group
  • R 2 is hydrogen or an optionally substituted C 1 -C 20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group
  • R 3 is an optionally substituted C 1 -C 12 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group
  • n is 0, 1, 2 or 3.
  • the ionic liquid can include a cation having the formula:
  • R 1 is an optionally substituted C 1 -C 20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group
  • R 3 is an optionally substituted C 1 -C 12 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group
  • n is 0, 1, 2 or 3.
  • the ionic liquid can include a cation having the formula:
  • R 1 and R 2 are each an optionally substituted C 1 -C 20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group;
  • R 3 is an optionally substituted C 1 -C 12 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; and n is 0, 1, 2 or 3.
  • the ionic liquid can include a cation having the formula:
  • R 1 , R 2 , R 3 , and R 4 are each an optionally substituted C 1 -C 20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group.
  • the ionic liquid can include a cation having the formula:
  • R 1 , R 2 , R 3 , and R 4 are each an optionally substituted C 1 -C 20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group.
  • the ionic liquid can include a cation selected from the group consisting of a 1,3-dialkylimidazolium cation, a 1-alkylpyridinium cation, an N,N-dialkylpyrrolidinium cation, an tetraalkylammonium cation, and a tetraalkyl phosphonium cation.
  • the ionic liquid can include a eutectic mixture.
  • the eutectic mixture can include a quaternary ammonium salt and a hydrogen bonding partner.
  • the quaternary ammonium salt can include a cation having the formula:
  • R 1 , R 2 , R 3 , and R 4 are each an optionally substituted C 1 -C 20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group.
  • the quaternary ammonium salt can include a halide ion.
  • the quaternary ammonium salt can be choline chloride.
  • the hydrogen bonding partner can include a carboxylic acid, an amide, or a urea.
  • the hydrogen bonding partner can include a compound having the formula:
  • R 1 is an optionally substituted C 1 -C 20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; or an optionally substituted C 1 -C 10 aryl or heteroaryl group.
  • the hydrogen bonding partner can include a compound having the formula:
  • R 1 is an optionally substituted C 1 -C 20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; or an optionally substituted C 1 -C 10 aryl or heteroaryl group; and R 2 and R 3 , independently, are each hydrogen or an optionally substituted C 1 -C 20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group.
  • the hydrogen bonding partner can include a compound having the formula:
  • R 1 , R 2 , R 3 , and R 4 independently, is an optionally substituted C 1 -C 20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; or an optionally substituted C 1 -C 10 aryl or heteroaryl group.
  • the surface can be contacted with the composition for a period of time ranging from 30 seconds to 30 minutes; 30 seconds to 2 minutes; or from 2 minutes to 30 minutes. In some exemplary embodiments, the surface can be contacted with the composition at a temperature between 20° C. and 70° C.; 20° C. and 50° C.; or 20° C. and 35° C.
  • the method can include rinsing the semiconductor substrate with water after contacting the semiconductor substrate with the composition.
  • the method can include rinsing the semiconductor substrate with a solvent prior to rinsing the semiconductor substrate with water.
  • the ionic liquid can be discharged toward the semiconductor substrate through at least one nozzle oriented at an angle between about 0° and about 45° with respect to the surface or oriented at an angle between about 0° and about 25° with respect to the surface. In one exemplary embodiment, the ionic liquid can be discharged toward the semiconductor substrate through at least one nozzle oriented at an angle no more than about 5° transverse to the surface.
  • the invention relates to a substrate cleaned according to the above-described methods, with the semiconductor substrate being a wafer in some embodiments.
  • the present invention relates to a method of cleaning a substrate that includes contacting a surface of a semiconductor substrate with a composition comprising a superacid.
  • the superacid can include a mixture of FSO 3 H, SbF 5 , and SO 2 ; a mixture of HF and BF 3 ; or a mixture of SbF 5 and HF.
  • the semiconductor substrate can include a photoresist.
  • the surface can be contacted with the composition for a period of time ranging from 30 seconds to 30 minutes; 30 seconds to 2 minutes; or from 2 minutes to 30 minutes.
  • the surface can be contacted with the composition at a temperature between 20° C. and 70° C.; 20° C.
  • the superacid can be discharged toward the semiconductor substrate through at least one nozzle oriented at an angle between about 0° and about 45° with respect to the surface or oriented at an angle between about 0° and about 25° with respect to the surface. In one exemplary embodiment, the superacid can be discharged toward the semiconductor substrate through at least one nozzle oriented at an angle no more than about 5° transverse to the surface. In another aspect, the invention relates to a substrate cleaned according to this method, with the semiconductor substrate being a wafer in some embodiments.
  • a method of removing undesired material from a semiconductor wafer includes contacting the semiconductor wafer with a composition comprising an ionic liquid at a temperature and for a time sufficient to dislodge residue therefrom.
  • a method of removing undesired material from a semiconductor wafer comprises contacting the semiconductor wafer with a composition comprising a superacid at a temperature and for a time sufficient to dislodge residue therefrom.
  • the invention also relates to a method of removing undesired material from a semiconductor wafer comprising contacting the semiconductor wafer with a composition comprising a superacid at a temperature and for a time sufficient to strip photoresist therefrom.
  • the invention further relates to an integrated circuit fabrication process including: etching a semiconductor layer on a wafer; applying a superacid to the wafer to remove residues from the etching; rinsing the wafer with water.
  • the invention relates to a process for removing residue from an integrated circuit, which includes contacting the integrated circuit with a composition comprising an ionic liquid at a temperature and for a time sufficient to remove the residue from the integrated circuit.
  • the invention relates to a process for removing residue from an integrated circuit, which includes contacting the integrated circuit with a composition comprising a superacid at a temperature and for a time sufficient to remove the residue from the integrated circuit.
  • the present invention further relates to a method of modifying a surface, the method including: directing a plurality of nano-clusters toward the surface in generally atmospheric conditions; impacting the nano-clusters proximate the surface.
  • the nano-clusters may include propylene carbonate or TMAH.
  • the nano-clusters include an ionic liquid and an oxidizer. The ionic liquid and oxidizer may be mixed just prior to directing the nano-clusters toward the surface.
  • the method may further include: permitting the nano-clusters to decompose within between about 10 minutes and about 1 second of contacting the surface.
  • the nano-clusters may impact the surface in a positive pressure atmosphere.
  • Each nano-cluster may have a size between about 4 nm and about 12 nm before impacting proximate the surface. Also, the nano-clusters may be directed toward the surface in the form of a plasma. The method may further include: breaking apart the nano-clusters proximate the surface; and encapsulating a particle initially disposed on the surface in the broken apart nano-clusters.
  • a liquid composition is contacted with a surface to remove undesired material from the surface.
  • Undesired material can be any material that interferes with the ultimate function of the surface.
  • the surface includes a semiconductor substrate (e.g., a wafer)
  • undesired material can include, for example, resist residues or metal ions.
  • the composition can be useful in applications such as coating, plating, imaging, surfacing, processing, cleaning and sterilization.
  • the liquid composition includes an aqueous chemistry.
  • the present invention is readily applicable to the semiconductor industry (e.g., for submicron cleaning of wafers), it is not limited to use with any particular industry and instead may be applied in a wide variety of technology areas requiring the removal of contaminants to a very fine scale (e.g., nearly to the molecular level).
  • a substrate in the form of a semiconductor wafer may have undesired material on surface(s) thereof such as post etch residue from aluminum- or copper-based technologies.
  • the wafer can be contacted with a desired chemistry, for example, by immersion on a wet bench, e.g. a wet bench manufactured by Semitool or Tokyo Electron (TEL), or by a spray tool.
  • the spray tool for example, may be obtained from SEZ or Dainippon Screen Manufacturing Co. Ltd. (DNS) and the spray tool may be a single wafer spray tool.
  • the process time which depends on the equipment used, can be between 30 seconds and 30 minutes, such as for example, between 30 seconds and 2 minutes, or between 2 minutes and 30 minutes.
  • the process temperature can be between 20° C. and 70° C., preferably between 20° C. and 50° C., or more preferably between 20° C. and 35° C.
  • the wafer may be rinsed, either with water, or first with a solvent such as an organic solvent N-methylpyrrolidone (NMP), isopropyl alcohol (IPA), or dimethyl sulfoxide (DMSO), followed by a final rinse with water.
  • NMP organic solvent N-methylpyrrolidone
  • IPA isopropyl alcohol
  • DMSO dimethyl sulfoxide
  • the following steps may be employed: etching, ashing and/or application of wet chemistry to remove photoresist and/or etch residues; rinse with carbonated water, NMP, IPA, or DMSO to remove and/or neutralize debris and remaining wet chemistry from the etched surface; and finally a deionized (DI) water rinse.
  • etching, ashing and/or application of wet chemistry to remove photoresist and/or etch residues
  • rinse with carbonated water, NMP, IPA, or DMSO to remove and/or neutralize debris and remaining wet chemistry from the etched surface
  • DI deionized
  • the wet chemistry can be captured after use and used again in additional cleaning cycles.
  • the chemistry can be reused until the level of impurities in the chemistry exceeds a predetermined level.
  • Nano-clusters of the chemistry may be formed and charged with atmospheric inert gases in the liquid flow.
  • the nano-clusters may expand and then impinge on a substrate surface, removing surface particles that may be larger than the nano-clusters themselves.
  • particles ranging from about 5 microns to less than about 4 nm may be removed from a substrate.
  • the clusters may travel at super-sonic speeds.
  • the wet chemistry of the present invention may be a composition that includes a solvent and optionally one or more additional components mixed with or dissolved in the solvent.
  • the solvent can be, for example, a halogenated solvent, an aprotic solvent, a protic solvent, an organic acid, an alkanolamine, an alcohol, an amide, an ester, a dipolar aprotic solvent, an ether, a quaternary amine, a cyclic amine, a perfluorinated compound, an aliphatic ester, an inorganic acid, or an inorganic base.
  • Exemplary solvents of these classes are listed in Table 1.
  • the solvent can include an ionic liquid.
  • the solvent can include a mixture of solvents, such as, for example, a mixture of a polar solvent with a protic solvent, a mixture of two distinct protic solvents, or a mixture of a polar solvent with an ionic liquid.
  • the composition can include a superacid.
  • An ionic liquid can be used in a neat or substantially pure form. In other words, the ionic liquid can be used for substrate cleaning without adding any additional materials to the ionic liquid.
  • a superacid can be diluted before use, for example, in the range of 2-10% by weight.
  • Ionic liquids can have advantageous environmental properties over other solvents. Ionic liquids are substantially non-volatile. Some ionic liquids are biodegradable. Ionic liquids can be less toxic than other solvents, or even non-toxic.
  • organic photoresist polymers become at least partially carbonized after the substrate is subjected to an ion implant step.
  • the at least partially carbonized photoresist can be difficult to remove, but failing to remove it may interfere with further substrate processing.
  • Superacids may be used to remove such photoresists from a substrate.
  • An ionic liquid includes cations (positively charged species) and anions (negatively charged species), and has a melting point at or below 100° C.
  • an ionic liquid can include an organic cation such as a 1,3-dialkylimidazolium, a 1-alkylpyridinium, an N,N-dialkylpyrrolidinium, an ammonium, or a phosphonium cation.
  • anions can be employed, such as, for example, a halide (e.g., chloride), an inorganic anion (e.g., tetrafluoroborate or hexafluorophosphate), or an organic anion (e.g., bis-trifluorsulfonimide, triflate, or tosylate).
  • a halide e.g., chloride
  • an inorganic anion e.g., tetrafluoroborate or hexafluorophosphate
  • an organic anion e.g., bis-trifluorsulfonimide, triflate, or tosylate.
  • the melting point of 1-butyl-3-methylimidazolium tetrafluoroborate is about ⁇ 71° C.; this compound is a colorless liquid with high viscosity at room temperature. Additional exemplary ionic liquids are listed in Table 2.
  • ionic liquids are often colorless, poorly coordinating, and have substantially no vapor pressure, and can effectively dissolve residues.
  • High solubility of residues in ionic liquids allows process intensification. In other words, only low liquid volumes are required in the treatments, thereby permitting a substantial reduction in the amount of chemical required to produce the desired result. The reduced amount of chemical that is used makes ionic liquid-based cleaning an environmentally friendly substrate cleaning process.
  • Suitable cations for ionic liquids can include, for example, an imidazolium cation having the formula:
  • R 1 is an optionally substituted C 1 -C 20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group
  • R 2 is hydrogen or an optionally substituted C 1 -C 20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group
  • R 3 is an optionally substituted C 1 -C 12 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group
  • n is 0, 1, 2 or 3.
  • R 1 can be C 1 -C 6 alkyl and R 2 can be methyl.
  • R 1 or R 2 can be optionally substituted by a polar or protic substituent, such as, for example, hydroxy.
  • the pyrrolidinium cation can be an N,N-dialkylpyrrolidinium.
  • Another suitable cation is a pyridinium ion having the formula:
  • R 1 is an optionally substituted C 1 -C 20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group
  • R 3 is an optionally substituted C 1 -C 12 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group
  • n is 0, 1, 2 or 3.
  • R 1 can be C 1 -C 8 alkyl.
  • R 3 can be C 1 -C 6 alkyl.
  • the pyridinium ion can be N-alkyl pyridinium ion.
  • Another suitable cation is a pyrrolidinium ion having the formula:
  • R 1 and R 2 are each an optionally substituted C 1 -C 20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group;
  • R 3 is an optionally substituted C 1 -C 12 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; and n is 0, 1, 2 or 3.
  • R 1 and R 2 are each independently C 1 -C 6 alkyl.
  • R 1 can be methyl and R 2 can be C 1 -C 6 alkyl.
  • the pyrrolidinium ion can be an N,N-dialkylpyrrolidinium ion.
  • ammonium such as a quaternary ammonium ion having the formula:
  • R 1 , R 2 , R 3 , and R 4 are each an optionally substituted C 1 -C 20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group.
  • R 1 , R 2 , R 3 , and R 4 independently, are each C 1 -C 8 alkyl.
  • R 1 or R 2 can be optionally substituted by a polar or protic substituent, such as, for example, hydroxy.
  • the ammonium ion can be a tetraalkylammonium ion.
  • Another suitable cation is a phosphonium ion having the formula:
  • R 1 , R 2 , R 3 , and R 4 are each an optionally substituted C 1 -C 20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group.
  • R 1 , R 2 , R 3 , and R 4 independently, are each C 1 -C 8 alkyl.
  • the phosphonium ion can be a tetraalkylphosphonium ion.
  • Suitable anions for an ionic liquid include a halide (e.g., fluoride, chloride, bromide, or iodide), a sulfate, a sulfonate, a carboxylate (e.g., acetate or propionate), a sulfonimide (e.g., bis(trifluoromethylsulfonyl)imide), a phosphinate (e.g., bis(2,4,4-trimethylpentyl)phosphinate), a phosphate (e.g., tris(pentafluoroethyl)trifluorophosphate) an inorganic anion (e.g., tetrafluoroborate, hexafluorophosphate, or tetrachloroaluminate), thiocyanate, or dicyanamide.
  • a halide e.g., fluoride, chloride, bromide, or io
  • a sulfate can have the formula:
  • R is C 1 -C 20 alkyl, haloalkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, alkynyl, or aryl group.
  • R can be methyl, trifluoromethyl, p-tolyl, ethyl, n-butyl, n-hexyl, or n-octyl.
  • a sulfonate can have the formula:
  • R is C 1 -C 20 alkyl, haloalkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, alkynyl, or aryl group.
  • R can be methyl, trifluoromethyl, p-tolyl, ethyl, n-butyl, n-hexyl, or n-octyl.
  • the ionic liquid can include a eutectic mixture.
  • a eutectic mixture is a mixture of two or more pure materials in a particular ratio that displays a reduced melting point compared to either material in a pure state.
  • the eutectic mixture can be substantially free of metals ions.
  • the eutectic can be a mixture of organic compounds.
  • the eutectic mixture can be a deep eutectic solvent.
  • the eutectic mixture can be a mixture of a quaternary ammonium salt and a hydrogen-bonding partner.
  • the quaternary ammonium salt also can be a halide salt, i.e., a salt of a quaternary ammonium ion and a halide ion, such as fluoride, chloride, bromide or iodide.
  • the quaternary ammonium salt can be choline chloride.
  • the hydrogen-bonding partner can be, for example, a carboxylic acid, an amide, or a urea. See, for example, Freemantle, M., Chem. Eng. News Sep. 12, 2005, 36-38; Abbott, A. P. et al., Chem. Comm . Jan. 7, 2003, 70-71; and Abbott, A. P. et al., J. Am. Chem. Soc. 2004, 126, 9142-9147, each of which is incorporated herein by reference in its entirety.
  • the quaternary ammonium salt can include a quaternary ammonium ion having the formula:
  • R 1 , R 2 , R 3 , and R 4 are each an optionally substituted C 1 -C 20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group.
  • R 1 is hydroxyl substituted C 1 -C 8 alkyl
  • R 2 , R 3 , and R 4 independently, are each C 1 -C 8 alkyl.
  • R 1 can be hydroxyl substituted C 2 alkyl and R 2 , R 3 and R 4 can each be methyl.
  • the hydrogen bonding partner can be a carboxylic acid having the formula:
  • R 1 is an optionally substituted C 1 -C 20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; or an optionally substituted C 1 -C 10 aryl or heteroaryl group.
  • the carboxylic acid can be selected from the group of adipic acid, benzoic acid, citric acid, malonic acid, oxalic acid, phenylacetic acid, phenylpropionic acid, succinic acid, and tricarballylic acid.
  • the hydrogen bonding partner can be an amide having the formula:
  • R 1 is an optionally substituted C 1 -C 20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; or an optionally substituted C 1 -C 10 aryl or heteroaryl group; and R 2 and R 3 , independently, are each hydrogen or an optionally substituted C 1 -C 20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group.
  • the hydrogen bonding partner can be a urea having the formula:
  • R 1 , R 2 , R 3 , and R 4 independently, is an optionally substituted C 1 -C 20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; or an optionally substituted C 1 -C 10 aryl or heteroaryl group.
  • the composition can include an acid.
  • the acid can be a superacid, i.e., an acid with a greater proton-donating ability than 100% sulfuric acid.
  • a superacid i.e., an acid with a greater proton-donating ability than 100% sulfuric acid.
  • a superacid is a mixture of FSO 3 H—SbF 5 —SO 2 , sometimes referred to as “magic acid.”
  • Another superacid is a mixture of HF and BF 3 .
  • Still another is a mixture of SbF 5 and HF.
  • the chemistries can have a dielectric constant selected to support an electric charge.
  • the nano-clusters preferably are provided with sufficient velocity to mechanically dislodge surface particulate on substrates, while also chemically interacting with such particulate for example to lower surface adhesion.
  • the nano-clusters can include an ionic liquid and an oxidizer that interact with a high pH material. Such a combination preferably has a short life before decomposing, such as trimethylphenylammonium hydroxide (TMPAH) or tetramethylammonium hydroxide (TMAH) combined with propylene carbonate.
  • TPAH trimethylphenylammonium hydroxide
  • TMAH tetramethylammonium hydroxide
  • the ionic liquid and oxidizer may be mixed at the point-of-use, e.g., just prior to or during formation of nano-clusters.
  • Such instantaneously-reactive removal chemistries are preferred for use with the present invention.
  • the chemistries may be stable for about 1 hour or less prior to decomposition.
  • the chemistries may be stable for about 1 minute or less prior to decomposition.
  • the chemistries may be stable for 10 seconds or less prior to decomposition.
  • the chemistries may be stable for 1 second or less.
  • ionic liquids are commercially available, for example, from Sigma-Aldrich (St. Louis, Mo.), or Merck KGaA (Darmstadt, Germany).
  • the composition can include a conductivity enhancing compound.
  • the conductivity enhancing compound can include a preferably volatile salt.
  • an ammonium salt such as a ammonium acetate or ammonium carbonate may be used to impart conductivity to the liquid.
  • suitable salts include non-volatile alkali metal salts such as NaI, KI, and CsI.
  • the concentration of salt in the liquid is about 0.1 normal to 2.0 normal.
  • the composition can include an oxidizer.
  • the oxidizer can assist in the chemical removal of targeted material on the substrate surface.
  • the amount of oxidizer used to prepare the clusters is sufficient to assist the removal process, while being as low as possible to minimize handling, environmental, or similar or related issues, such as cost.
  • Hydroxylamine compounds can be either an oxidizer or a reducing agent.
  • the hydroxylamine compound can be an oxidizer.
  • the hydroxylamine compound can be hydroxylamine, a salt of hydroxylamine, a derivative of hydroxylamine, a salt of a derivative of hydroxylamine, or a combination thereof.
  • the hydroxylamine compound may be organic or inorganic.
  • the hydroxylamine compound has formula:
  • R 4 is hydrogen or a linear, branched, or cyclic C 1 -C 7 hydrocarbon group; and where X and Y are, independently, hydrogen or a linear, branched, or cyclic C 1 -C 7 hydrocarbon group, or wherein X and Y are linked together form a nitrogen-containing heterocyclic C 4 -C 7 ring.
  • hydroxylamine compounds include hydroxylamine, N-methyl-hydroxylamine, N,N-dimethyl-hydroxylamine, N-ethyl-hydroxylamine, N,N-diethyl-hydroxylamine, methoxylamine, ethoxylamine, N-methyl-methoxylamine, and the like. Hydroxylamine and its derivatives, as defined above, are available as salts, e.g., sulfate salts, nitrate salts, phosphate salts, or the like, or a combination thereof.
  • the oxidizer can include an inorganic or organic per-compound.
  • a per-compound is generally defined as a compound containing an element in its highest state of oxidation, such as perchloric acid; or a compound containing at least one peroxy group (—O—O—), such as peracetic acid and perchromic acid.
  • Suitable per-compounds containing at least one peroxy group include, but are not limited to, urea hydrogen peroxide, a monopersulfate, a dipersulfate, peracetic acid, a percarbonate, and an organic peroxide, such as benzoyl peroxide or di-t-butyl peroxide.
  • ozone is a suitable oxidizer either alone or in combination with one or more other suitable oxidizers.
  • the per-compound can be hydrogen peroxide.
  • Suitable per-compounds that do not contain a peroxy group include, but are not limited to, periodic acid, any periodiate salt, perchloric acid, any perchlorate salt, perbromic acid, and any perbromate salt, perboric acid, and any perborate salt.
  • Exemplary inorganic oxidizers include peroxymonosulfuric acid, potassium peroxymonosulfate, and ammonium peroxymonosulfate.
  • Other oxidizers are also suitable; for example, iodates are useful oxidizers, and oxone is a useful oxidizer.
  • the oxidizer may be a salt of a metal having multiple oxidation states, a complex or coordination compound of a metal having multiple oxidation states, or any combination thereof, provided the compound has a sufficient oxidative potential to oxidize the substrate.
  • Examples include permanganate or salts thereof and perchromate or salts thereof, iron salts, aluminum salts, cerium salts, and the like.
  • the salts and oxidizer react and the oxidizing capacity of the mixture may decline with time. It is known that if the pH is above about 5, iron precipitates as Fe(OH) 3 and catalytically decomposes the hydrogen peroxide to oxygen. At a pH of below about 5, a solution of hydrogen peroxide and an iron catalyst is known as Fenton's reagent.
  • the total weight of the metal present in the liquid used to make the clusters is less than 1 percent, less than 0.5 percent, less than 0.2 percent, less than 0.05 percent, less than 0.02 percent or less than 0.005 percent relative to the weight of the liquid.
  • Clusters of the invention may be essentially free of metals, for example, completely free of metals. By essentially free of metals it is meant that the total weight of metal present in the liquid used to generate the clusters is less than 0.25 percent relative to the weight of the liquid.
  • Preferred solvents are listed in Table 1.
  • An exemplary preferred solvent is propylene carbonate.
  • Residual removers for cleaning of semiconductors are known, for example, from U.S. Patent Application Publication No. 2004/0217006 A1, the entire content of which is expressly incorporated herein by reference thereto.
  • the wet chemistry may include one or more of the following: chelators, surfactants (nonionics, anionics, and/or cationics), abrasives, water, other solvents, corrosion inhibitors, basic amine compounds, acids and bases.
  • One exemplary method of cleaning a substrate using the cleaning compositions of the present invention comprises: contacting the substrate having residue thereon, e.g. organometallic or metal oxide residue, with a cleaning composition that includes an ionic liquid or superacid for a time and at a temperature sufficient to remove the residue.
  • the substrate may be generally immersed in the cleaning composition.
  • photoresist is stripped from a substrate using a method comprising: contacting the substrate having photoresist thereon with a composition that includes an ionic liquid or superacid for a time and at a temperature sufficient to remove the photoresist.
  • the substrate may be generally immersed in the photoresist stripping composition.
  • metal or oxide is etched in a method comprising: contacting the metal or oxide with an etching composition that includes an ionic liquid or superacid for a time and at a temperature sufficient to etch said metal or oxide.
  • the metal or oxide may be generally immersed in the etching composition.
  • the composition of the present invention can be selectively applied to the substrate, that is, applied to only to a predetermined region of the substrate. Selective application of the composition can be achieved, for example, by applying the composition with an ink jet printer.
  • chemistry may be delivered to a surface in the form of nano-clusters.
  • the molecular structure of nano-clusters as dispersed clouds with sizes between about 4 nm and about 12 nm, and in some embodiments preferably less than about 8 nm, provide a dry process environment for processing accuracy to atomic layer definition.
  • a nozzle may be used with sufficient charge at the nozzle via extractor electrodes. The resultant high-speed nano-clusters emitted under charge must then have the bonds broken down that hold the nano-clusters together.
  • nano-clusters may be accomplished with a mini discharge/dispersal field that completely eliminates charge, reduces the size of the nano-clusters for example from about 80 nm to between about 4 nm and about 12 nm (such as about 8 nm), and “aims” the nano-clusters.
  • a plasma of nano-clusters may be created as a directed flow to the substrate without the physical size or force of the original nano-clusters.
  • a clean cell preferably integrates with a gate interface and robotic handling mechanisms of a Semitool Mini-Rusion platform. Also, in some embodiments, only one side of the wafer may be processed, while in other embodiments both sides are processed.
  • the clean cell preferably formed of polyethylene therephthalate (PET), preferably has a positive pressure of purified atmosphere and/or inert gas (such as nitrogen) and has, as a means of withdrawing the contaminants, a side-flow evacuation system with upward-evacuation, and low vacuum withdrawal to plasmatic reclaim.
  • the sub chamber discharge/dispersal field
  • the sub chamber via the supersonic movement of nano-cluster plasma, may create a windmill effect that lifts the evacuant back to the vacuum or output port/reclaim above the surface interface. Redeposition may be avoided by the windmilling effect of the plasma directing the evacuants to the output port(s) and/or the use of common heavy gas laminar flow technology.
  • the chamber supports atmospheric and positive-pressure gas environments, and the output port is either an evacuation for positive pressure atmospheric or gas atmosphere processing.
  • the gas is ionized to create the discharge and dispersal of the nano-clusters.
  • the gas may be blended to collect and evacuate the residual to a reclaim plasma filter for re-use of the gas.
  • the array may be waferscale as a pattern of emitters angled toward evacuation port(s). This technique with a slowly revolving wafer (16-32 rpm) may produce higher throughputs above 200 Wph.
  • a solvated atmospheric flow from a center point above the nozzle(s) to low-draw vacuum evacuation at the side(s) of the platen may add to encapsulation and suspension of the particles and residuals because the atmosphere is heavier and its flow can carry micro particles more thoroughly to the exhaust vacuum ports.
  • the solvated atmosphere may be ionized to more rapidly discharge the nano-clusters for dispersal using IR or UV ionizing methods.
  • subsonic or supersonic spray applications may rely on the momentum of small droplets without the need for ionization.
  • the size, discharge and dispersal of the nano-clusters may be controlled by the height of the nozzle tip above the surface interface.
  • the nozzle preferably may have a conical tip like a blunderbuss so the nano-clusters have a broader spectrum or pattern array.
  • the formulations of chemistry may be selected as a function of charge retention capabilities (e.g., dielectric constant) in addition to their reaction rates and surface effects for desired specific discharge and reaction.
  • the nozzle's patterns preferably overlap in a single row, “like lawn sprinklers” to keep the nano-clusters traveling toward the surface.
  • a gap preferably may be provided row to row in order to allow the encapsulated particles and residuals to move out of the pattern and into the evacuation stream.
  • wet chemistry may be delivered to the substrate at an angle between about 0° and about 90°; between about 0° and about 45°; or between about 0° and about 25° with respect to the surface of the substrate.
  • the wet chemistry may be delivered through nozzles that all are oriented at about the same angle with respect to the substrate or alternatively through nozzles oriented at a plurality of angles with respect to the substrate.
  • the wet chemistry may be delivered at speeds that are subsonic or supersonic.
  • a cleaning chemistry is delivered to the substrate through nozzles oriented almost parallel to the substrate.
  • the nozzles may be oriented no more than about 5° transverse to the substrate surface; no more than about 3° transverse to the substrate surface; or no more than about 1° transverse to the substrate surface.
  • particles may be removed down to the detection limit of a scanning electron microscope of 8 nm and to the detection limits of a Surfscan at 50 ppb.
  • Vapor of the present invention may be produced using UNIT Delivery Systems chemistry (UDS) that delivers ready-to-use chemistry to the equipment in a clean interface container, and removes the output of the machine for convenient collection for reclaim as 50 to 100 times less reclaim volume than standard processor production.
  • UDS UNIT Delivery Systems chemistry
  • the successful integration of the two technologies permits a “bolt-on” final finish and dry unit that reduces both waste water and chemistries by two orders of magnitude, and increases the level of “soft-touch” contamination removal by over one order of magnitude.
  • the present invention may be applied to such fields as semiconductor manufacturing, nanotechnologies, medical sterilization technologies, MEMS, MOEMS, and many other processes.
  • a replacement of IPA with fugitive alcohols may facilitate the elimination of hydrocarbon contamination in the cleaning steps.
  • the present invention may be used in connection with wafers between about 150 mm and about 450 mm. In alternate embodiments, the present invention may be used for smaller wafer sizes such as those used in the hard disk industry in sizes of about 2.5 inches to about 3 inches.
  • the chemistries contemplated in the present invention may be dispensed from a cassette having up to 10 chemistries, and more preferably 5 to 10 chemistries, configured with valving that could either allow one chemistry or a mixture of several chemistries to occur just prior to injection into the chamber.
  • Such mixing may allow, for example, the mixing of a surfactant with a reactive chemistry for better surface contact and reactivity.
  • an expanded process window thus may be provided for cleaning a variety of residues encountered in the semiconductor industry.
  • the expanded window includes chemistries and chemistry concentrations to promote release of residues and particles.
  • the chemical concentrations and application time can be significantly reduced over prior art processes and more aggressive chemistries may be used for more precise process control.
  • Vapor spray technology may permit very quick removal of gross particles and residues.
  • One concept for post-process drying involves sub-critical gas or liquid spray for waferscale processing.
  • Another concept for post-process drying may involve an accelerated gas or liquid with an induced plasma.
  • a near-dry process may be provided and may have residual, trace moisture as nanoclusters in the pattern or porosity.
  • a positive-pressure chamber can be purged by turning off the chemistry for 4-7 seconds prior to wafer unload and increasing positive atmospheric flow in the chamber. However, doing so may fail to vacate trace moisture in porosity (dielectrics, etc.), and can actually impound the moisture. Instead, heated inert gas may be added to the expanding blended sub-critical gas flow, which reduces the positive atmospheric flow.
  • heated inert gas may be added to the expanding blended sub-critical gas flow, which reduces the positive atmospheric flow.
  • the combination of sub-critical-gas accelerated plasma and thermal dynamic gas may dry without moisture expansion/explosion, which can cause delamination of wafer layers or water spots.
  • Inert gas is a gas that does not react substantially with the surface, such as helium, neon, argon, or nitrogen.
  • purified atmosphere can be acceptable.
  • the inert gas can be introduced at an elevated temperature, i.e., higher than ambient temperature.
  • the elevated temperature can be, for example, between 50° C. and 100° C., between 70° C. and 90° C., or about 80° C.
  • chemistry flow is maintained to evacuate heavy molecular contamination.
  • the chemistry can include an oppositely charged chelator or surfactant running at between 0.1% and 1.0%, e.g., about 0.5%, to encourage removal of contaminants from porosity.
  • application areas for the cleaning technology of the present invention include:
  • the expanded window includes chemistries and chemistry concentrations. Because the chemical concentrations and application time can be significantly reduced, more aggressive chemistries can be used for more precise process control. Thus, the end user can significantly reduce chemical consumption, utilize new chemistries, and significantly reduce if not eliminate certain final rinse and drying steps for submicron features on the substrate. Such features can be found for example in semiconductor devices (memory, logic, etc.), nanotechnologies, post chemical mechanical planarization (CMP) processes, and biotechnologies.
  • CMP post chemical mechanical planarization
  • the wet chemistries may be applied to substrates with techniques that that may include stirring, agitation, circulation, sonication, or other techniques as are known in the art.
  • the methods disclosed herein may be applied to a variety of substrates including silicon and III-V semiconductors such as GaAs. Accordingly, all expedient modifications readily attainable by one versed in the art from the disclosure set forth herein that are within the scope and spirit of the present invention are to be included as further embodiments of the present invention. The scope of the present invention is accordingly defined as set forth in the appended claims.

Abstract

A method of cleaning a substrate includes contacting a surface of a semiconductor substrate with a composition comprising a superacid. The semiconductor substrate may be a wafer.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of application Ser. No. 11/350,758 filed Feb. 10, 2006, which claims the benefits of Provisional Application No. 60/651,998 filed Feb. 14, 2005 and Provisional Application No. 60/754,605 filed Dec. 30, 2005 under 35 U.S.C. §119(e), and the entire contents of each of these prior applications are expressly incorporated herein by reference thereto. This application also is related to U.S. application Ser. No. 11/350,757 filed on Feb. 10, 2006, naming Robert J. Small as inventor, and entitled “Semiconductor Cleaning Using Ionic Liquids,” and the entire contents of this application are expressly incorporated herein by reference thereto.
  • FIELD OF THE INVENTION
  • The invention relates to the cleaning of surfaces of substrates. In particular, the invention relates to the cleaning of the surfaces of semiconductor substrates.
  • BACKGROUND OF THE INVENTION
  • As semiconductor device sizes move toward the submicron regime, the challenges associated with particulate microcontamination present substantial hurdles to success. Advances in semiconductor processing are needed to ensure that manufacturing efficiencies can be kept high. In particular, improved performance-at-yield and significant increases in wafer throughput (e.g., more than 160 of 200 mm wafers/hr) are desired to reduce unit costs. The emerging applications for nanotechnologies also require special cleaning, and new deposition methods and materials will be required. In an industry driven by device yield, reliability, and performance criteria, substrate cleaning has become particularly important for efficiency and profitability.
  • Processing of advanced semiconductor materials, e.g., plasma etching, deposition, or chemical mechanical polishing, can leave residues (particle, ionic, or both) that are difficult to remove with conventional cleaning processes (such as wet benches, spray tools, etc). Critical residue particle sizes continue to decrease to below 20 nm, yet conventional particle removal methods (spray, ultrasonic, and megasonics) are ineffective, will damage the desired submicron structural features, or both.
  • As submicron processing advances, it becomes important to remove or neutralize etching residue and photoresist from the substrate, for example so that the residues do not absorb moisture and form acidic species that can cause undesired metal corrosion. If such metal residues are not removed, the substrate's devices may short. In addition, plasma etching of metals, for example, results in a variety of residues, and presents the challenge of adequately cleaning surface(s) of a substrate without corroding the metal.
  • Moreover, there is a thrust within the semiconductor industry to significantly reduce chemical and water consumption for both cost control and environmental concerns. Water consumption has been a growing concern in both the US and European markets. Although the industry is adapting cleaning chemistries with higher water content, the overall requirements are for semiconductor facilities to reduce total water consumption. Some alternative technologies contemplated for use are based on supercritical CO2 with co-solvents, cryogenics, plasma, laser shock, ion beam, or UV/ozone processes.
  • Despite the work done, for example, with supercritical CO2, laser shock waves, and UV ozone, each of these technologies has experienced significant technical barriers. Supercritical CO2 currently requires the use of co-solvents and controlled rinse sequences at pressures up to 3,000 psi. Yet, the goals of elimination of secondary deposition of particles and reduction of cycle times below 5 minutes have not been achieved. For example, laser shock (the convergence of two laser beams at some distance from the wafer surface) can easily damage wafer surfaces and carries the additional requirement that the wafer be processed through a traditional wet cleaning step in order to be able to remove ionic contamination. The UV ozone process is designed to generate high-energy free radical species to scavenge organic residues, but remains largely unproven for mainstream application.
  • During the late 1980's, combined government/industry programs were started to develop semiconductor fabrication processes that required few or no liquid chemical processing steps. The programs were not able to achieve these goals though they were able to further establish the benefits of plasma etch over wet etch of integrated circuit (IC) features. Newer technologies have been contemplated to minimize the cleaning challenges and include direct-imageable materials and in-situ/in-step post processing. The semiconductor industry continues to support research in this direction (see, e.g., Solid State Technology, March 1999, S13; Semiconductor Online, Mar. 2, 1999). However, incomplete removal of ionic species and particle contamination continue to be pressing issues. Various matured technologies for the production of a clean and dry 90 nm node copper semiconductor wafer with ultra low-k dielectrics, for example, have failed to meet expectations (according to the ITRS 2002).
  • Attempts have been made with current plasma etch equipment to program, design or adjust process parameters to minimize or eliminate post-etch residues, but because of the newer materials (cobalt silicides, Cu, low-k materials, HfO2, ZrO2, Pt, Ru, etc.) and the increasing aspect ratios and reduced particle sizes, these efforts have not met the current cleaning requirements. Conventional wet chemical cleaning methods also have not been able to meet some of these requirements.
  • Mist deposition of films on substrates also is known. See P. Mumbauer et al., Mist Deposition in Semiconductor Device Manufacturing, Semiconductor International, dated Nov. 1, 2004.
  • High throughput semiconductor cleaning processes are needed for providing high particle removal efficiency (PRE) while minimizing damage or undesired etching. See Steven Verhaverbeke (Applied Materials), “An Investigation of the Critical Parameters of a Atomized, Accelerated Liquid Spray to Remove Particles,” presented at the 208th Meeting of the Electrochemical Society, Los Angeles, Calif., Oct. 16-21, 2005, symposium on Cleaning Technology in Semiconductor Device Manufacturing IX, Electronics and Photonics/Dielectric Science and Technology; see also Ken-Ichi Sano et al. (Dainippon Screen and IMEC), “Single Wafer Wet Cleaning for a High Particle Removal Efficiency on Hydrophobic Surface,” also presented at the 208th Meeting of the Electrochemical Society. Verhaverbeke reported the use of atomized, accelerated liquid sprays to remove particles in which the gas velocities used to accelerate the liquid droplets approached 50 m/s. Sano et al. reported the use of a two-step single wafer cleaning process.
  • Conventional spray cleaning processes typically employ nozzles disposed between about 45° and about 90° with respect to the wafer surface. Conventional cryogenic cleaning processes typically employ nozzles disposed between about 75° and about 90° with respect to the wafer surface. High speed wet cleaning has been limited below 100 m/s, thus well below supersonic speeds (about 360 m/s).
  • In view of these developments, there is a need for chemistry that can be used in the reaction/removal of contaminants on a substrate. There further is a need for chemistry that may encapsulate particles. Moreover, there is a need for chemistry that permits acceptable drying of a substrate after application. Also, there is a need for chemistry that may remove substantially all trace residuals to below 4 nm detection levels at less than 50 ppb without damage or impounding of contaminants into the substrate. In addition, there is a need for methods and apparatus for delivering the chemistry in a precisely controlled fashion. And, there is a need for processing with reduced water and chemical consumption as compared to the mainstream technologies of the prior art. There additionally is a need for such processing at atmospheric or near-atmospheric conditions instead of the high vacuum conditions required by prior art processes.
  • SUMMARY OF THE INVENTION
  • The wet chemistries of the present invention, for example, may be used in stripping photoresists and cleaning organic and inorganic compounds, including post etch and post ash residues, from a semiconductor substrate.
  • In one aspect, the present invention relates to a method of cleaning a substrate that includes contacting a surface of a semiconductor substrate with a composition comprising an ionic liquid. The ionic liquid can include a cation selected from the group consisting of an imidazolium cation, a pyridinium cation, a pyrrolidinium cation, an ammonium cation, and a phosphonium cation.
  • In one exemplary embodiment, the ionic liquid can include a cation having the formula:
  • Figure US20110187010A1-20110804-C00001
  • wherein R1 is an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; R2 is hydrogen or an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group and R3 is an optionally substituted C1-C12 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; and n is 0, 1, 2 or 3.
  • In another exemplary embodiment, the ionic liquid can include a cation having the formula:
  • Figure US20110187010A1-20110804-C00002
  • wherein R1 is an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; R3 is an optionally substituted C1-C12 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; and n is 0, 1, 2 or 3.
  • In another exemplary embodiment, the ionic liquid can include a cation having the formula:
  • Figure US20110187010A1-20110804-C00003
  • wherein R1 and R2, independently, are each an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; R3 is an optionally substituted C1-C12 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; and n is 0, 1, 2 or 3.
  • In another exemplary embodiment, the ionic liquid can include a cation having the formula:
  • Figure US20110187010A1-20110804-C00004
  • wherein R1, R2, R3, and R4, independently, are each an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group.
  • In another exemplary embodiment, the ionic liquid can include a cation having the formula:
  • Figure US20110187010A1-20110804-C00005
  • wherein R1, R2, R3, and R4, independently, are each an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group.
  • In some exemplary embodiments, the ionic liquid can include a cation selected from the group consisting of a 1,3-dialkylimidazolium cation, a 1-alkylpyridinium cation, an N,N-dialkylpyrrolidinium cation, an tetraalkylammonium cation, and a tetraalkyl phosphonium cation.
  • In some exemplary embodiments, the ionic liquid can include a eutectic mixture. The eutectic mixture can include a quaternary ammonium salt and a hydrogen bonding partner.
  • The quaternary ammonium salt can include a cation having the formula:
  • Figure US20110187010A1-20110804-C00006
  • wherein R1, R2, R3, and R4, independently, are each an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group.
  • The quaternary ammonium salt can include a halide ion. The quaternary ammonium salt can be choline chloride. The hydrogen bonding partner can include a carboxylic acid, an amide, or a urea.
  • The hydrogen bonding partner can include a compound having the formula:
  • Figure US20110187010A1-20110804-C00007
  • wherein R1 is an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; or an optionally substituted C1-C10 aryl or heteroaryl group.
  • The hydrogen bonding partner can include a compound having the formula:
  • Figure US20110187010A1-20110804-C00008
  • wherein R1 is an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; or an optionally substituted C1-C10 aryl or heteroaryl group; and R2 and R3, independently, are each hydrogen or an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group.
  • The hydrogen bonding partner can include a compound having the formula:
  • Figure US20110187010A1-20110804-C00009
  • wherein X is O or S; and each of R1, R2, R3, and R4, independently, is an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; or an optionally substituted C1-C10 aryl or heteroaryl group.
  • In some exemplary embodiments, the surface can be contacted with the composition for a period of time ranging from 30 seconds to 30 minutes; 30 seconds to 2 minutes; or from 2 minutes to 30 minutes. In some exemplary embodiments, the surface can be contacted with the composition at a temperature between 20° C. and 70° C.; 20° C. and 50° C.; or 20° C. and 35° C.
  • The method can include rinsing the semiconductor substrate with water after contacting the semiconductor substrate with the composition. The method can include rinsing the semiconductor substrate with a solvent prior to rinsing the semiconductor substrate with water.
  • In some exemplary embodiments, the ionic liquid can be discharged toward the semiconductor substrate through at least one nozzle oriented at an angle between about 0° and about 45° with respect to the surface or oriented at an angle between about 0° and about 25° with respect to the surface. In one exemplary embodiment, the ionic liquid can be discharged toward the semiconductor substrate through at least one nozzle oriented at an angle no more than about 5° transverse to the surface.
  • In another aspect, the invention relates to a substrate cleaned according to the above-described methods, with the semiconductor substrate being a wafer in some embodiments.
  • In yet another aspect, the present invention relates to a method of cleaning a substrate that includes contacting a surface of a semiconductor substrate with a composition comprising a superacid. The superacid can include a mixture of FSO3H, SbF5, and SO2; a mixture of HF and BF3; or a mixture of SbF5 and HF. The semiconductor substrate can include a photoresist. In some exemplary embodiments, the surface can be contacted with the composition for a period of time ranging from 30 seconds to 30 minutes; 30 seconds to 2 minutes; or from 2 minutes to 30 minutes. In some exemplary embodiments, the surface can be contacted with the composition at a temperature between 20° C. and 70° C.; 20° C. and 50° C.; or 20° C. and 35° C. In some exemplary embodiments, the superacid can be discharged toward the semiconductor substrate through at least one nozzle oriented at an angle between about 0° and about 45° with respect to the surface or oriented at an angle between about 0° and about 25° with respect to the surface. In one exemplary embodiment, the superacid can be discharged toward the semiconductor substrate through at least one nozzle oriented at an angle no more than about 5° transverse to the surface. In another aspect, the invention relates to a substrate cleaned according to this method, with the semiconductor substrate being a wafer in some embodiments.
  • In another aspect of the invention, a method of removing undesired material from a semiconductor wafer includes contacting the semiconductor wafer with a composition comprising an ionic liquid at a temperature and for a time sufficient to dislodge residue therefrom.
  • In a further aspect of the invention, a method of removing undesired material from a semiconductor wafer comprises contacting the semiconductor wafer with a composition comprising a superacid at a temperature and for a time sufficient to dislodge residue therefrom.
  • The invention also relates to a method of removing undesired material from a semiconductor wafer comprising contacting the semiconductor wafer with a composition comprising a superacid at a temperature and for a time sufficient to strip photoresist therefrom.
  • The invention further relates to an integrated circuit fabrication process including: etching a semiconductor layer on a wafer; applying a superacid to the wafer to remove residues from the etching; rinsing the wafer with water.
  • In addition, the invention relates to a process for removing residue from an integrated circuit, which includes contacting the integrated circuit with a composition comprising an ionic liquid at a temperature and for a time sufficient to remove the residue from the integrated circuit.
  • And, the invention relates to a process for removing residue from an integrated circuit, which includes contacting the integrated circuit with a composition comprising a superacid at a temperature and for a time sufficient to remove the residue from the integrated circuit.
  • The present invention further relates to a method of modifying a surface, the method including: directing a plurality of nano-clusters toward the surface in generally atmospheric conditions; impacting the nano-clusters proximate the surface. In some embodiments, the nano-clusters may include propylene carbonate or TMAH. Also, in some embodiments the nano-clusters include an ionic liquid and an oxidizer. The ionic liquid and oxidizer may be mixed just prior to directing the nano-clusters toward the surface. The method may further include: permitting the nano-clusters to decompose within between about 10 minutes and about 1 second of contacting the surface. The nano-clusters may impact the surface in a positive pressure atmosphere. Each nano-cluster may have a size between about 4 nm and about 12 nm before impacting proximate the surface. Also, the nano-clusters may be directed toward the surface in the form of a plasma. The method may further include: breaking apart the nano-clusters proximate the surface; and encapsulating a particle initially disposed on the surface in the broken apart nano-clusters.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • In an exemplary preferred embodiment of the present invention, a liquid composition is contacted with a surface to remove undesired material from the surface. Undesired material can be any material that interferes with the ultimate function of the surface. When the surface includes a semiconductor substrate (e.g., a wafer), undesired material can include, for example, resist residues or metal ions. The composition can be useful in applications such as coating, plating, imaging, surfacing, processing, cleaning and sterilization. In some embodiments, the liquid composition includes an aqueous chemistry. Although the present invention is readily applicable to the semiconductor industry (e.g., for submicron cleaning of wafers), it is not limited to use with any particular industry and instead may be applied in a wide variety of technology areas requiring the removal of contaminants to a very fine scale (e.g., nearly to the molecular level).
  • In an exemplary preferred embodiment of the present invention, a substrate in the form of a semiconductor wafer may have undesired material on surface(s) thereof such as post etch residue from aluminum- or copper-based technologies. The wafer can be contacted with a desired chemistry, for example, by immersion on a wet bench, e.g. a wet bench manufactured by Semitool or Tokyo Electron (TEL), or by a spray tool. The spray tool, for example, may be obtained from SEZ or Dainippon Screen Manufacturing Co. Ltd. (DNS) and the spray tool may be a single wafer spray tool. The process time, which depends on the equipment used, can be between 30 seconds and 30 minutes, such as for example, between 30 seconds and 2 minutes, or between 2 minutes and 30 minutes. The process temperature can be between 20° C. and 70° C., preferably between 20° C. and 50° C., or more preferably between 20° C. and 35° C. After cleaning, the wafer may be rinsed, either with water, or first with a solvent such as an organic solvent N-methylpyrrolidone (NMP), isopropyl alcohol (IPA), or dimethyl sulfoxide (DMSO), followed by a final rinse with water.
  • In one exemplary method according to the present invention, the following steps may be employed: etching, ashing and/or application of wet chemistry to remove photoresist and/or etch residues; rinse with carbonated water, NMP, IPA, or DMSO to remove and/or neutralize debris and remaining wet chemistry from the etched surface; and finally a deionized (DI) water rinse.
  • Preferably the wet chemistry can be captured after use and used again in additional cleaning cycles. The chemistry can be reused until the level of impurities in the chemistry exceeds a predetermined level.
  • Nano-clusters of the chemistry may be formed and charged with atmospheric inert gases in the liquid flow. The nano-clusters may expand and then impinge on a substrate surface, removing surface particles that may be larger than the nano-clusters themselves. In some embodiments, particles ranging from about 5 microns to less than about 4 nm may be removed from a substrate. In some exemplary embodiments, the clusters may travel at super-sonic speeds.
  • In general, the wet chemistry of the present invention may be a composition that includes a solvent and optionally one or more additional components mixed with or dissolved in the solvent. The solvent can be, for example, a halogenated solvent, an aprotic solvent, a protic solvent, an organic acid, an alkanolamine, an alcohol, an amide, an ester, a dipolar aprotic solvent, an ether, a quaternary amine, a cyclic amine, a perfluorinated compound, an aliphatic ester, an inorganic acid, or an inorganic base. Exemplary solvents of these classes are listed in Table 1. The solvent can include an ionic liquid. The solvent can include a mixture of solvents, such as, for example, a mixture of a polar solvent with a protic solvent, a mixture of two distinct protic solvents, or a mixture of a polar solvent with an ionic liquid. The composition can include a superacid. An ionic liquid can be used in a neat or substantially pure form. In other words, the ionic liquid can be used for substrate cleaning without adding any additional materials to the ionic liquid. A superacid can be diluted before use, for example, in the range of 2-10% by weight.
  • TABLE 1
    Surface
    Molecular Tension Dielectric Viscosity
    Name Solvent Class Formula CAS # B.P. Fr. P. Flash P. Density dynes/cm Constant cp
    Chloroform Halogenated solvent CHCl3 67-66-3 61 −64 na 1.493 27.5 4.81 0.58
    Formamide Aprotic solvent CH3NO 75-12-7 210.5 2.6 154 1.133 57.6 84 1.4
    N-Methyl formamide Aprotic solvent C2H4NO 123-39-7 182.5 −3.8 98 1.018 182.4 1.7
    Acetic acid Organic acid C2H4O2 64-19-7 117.9 16.7 40 1.044 27.4 6.15 1.13
    Acetonitile Aprotic solvent C2H3N 75-05-8 81 −47 5 0.786 28.9 37.5 0.345
    1,2 Dichloro ethane Halogenated solvent C2H4Cl2 107-06-2 83 −35 15 1.256 33.3 10.4 0.74
    Glycolic acid Organic acid C2H4O3 79-14-1 112 10 na 1.27 −30 11.3
    2-Aminoethanol Alkanolamine C2H7NO 141-43-5 169 10 93 1.013 48.9 37.7
    Dimethyl sulfoxide Aprotic solvent C2H6SO 67-68-5 189 18.5 95 1.095 43 46.7 1.99
    Ethylene Carbonate Polar solvent C3H4O3 96-49-1 238 36.4 160 1.32 43.9 89.6 0.99
    Acetone Aprotic solvent C3H6O 67-64-1 56.2 −95.4 <−20 0.79 25.2 20.7 0.32
    Isopropyl alcohol Alcohol C3H7O 67-63-0 82.4 −88 12 0.79 23 19.9 2.43
    N,N Dimethyl Amide C3H7NO 68-12-2 152 −61 57 0.946 35.2 36.7 0.8
    formamide
    g-Butyrolactone Ester C4H6O2 96-48-0 204 143. 98.3 1.125 40.4 39 1.73
    Propylene carbonate Polar solvent C4H7O3 108-32-7 242 −49 135 1.2 40.9 64 2.5
    Methyl ethyl ketone Aprotic solvent C4H8O 78-93-3 79.6 −86.7 −3 0.805 24.6 18.5
    Butyric acid Organic acid C4H8O2 107-92-6 163.3 −5.2 76 0.96 26.8 2.97 1.53
    Sulfolane Dipolar aprotic solvent C4H8O2S 126-33-0 287.3 28.5 166 1.26 50.9 43.3 11.6
    N,N Dimethyl acetamide Amide C4H9NO 127-19-5 165 −20 70 0.938 33.5 37.8 0.93
    Propylene Glycol Me Ether C4H10O2 111-77-3 120 −97 31 0.921 27.7 1.7
    ether
    Digylcolamine (DGA) Alkanolamine C4H11NO2 929-06-6 221 111 127 1.053 44.4 26.2
    TMAH (25%) Quatemary amine C4H13NO 75-59-2 100 <−25 >200 1.016 2.8
    N-Methylpyrrolidone Amide C5H9NO 872-50-4 202 −24.4 86 1.028 40.1 32 1.65
    Morpholine Cyclic amine C5H11N 110-91-8 129 −7 35.5 0.995 36.9 7.42 2.04
    1,5 Pentanediol Alcohol C5H12O2 111-29-5 242 −16 129 0.992 43.3 26.2
    Vertrel XF perfluorinated cmpd C5H2F10 55 na 1.58 14-19 7-10 0.67
    Lactic acid, Butyl ester Aliphatic ester C7H14O3 138-22-7 186 −43 79 0.98 28 5.1 3.22
    Dipropylene glycol Me Ether C7H15O3 34590-94-8 190 −83 75 0.953 28.8 3.7
    ether
    Sulfuric acid Inorganic acid H2SO4 7664-93-9 327 −2 na 1.84 73.5 100 21.2
    Hydroxylamine Inorganic base NH30 7803-49-8 107 7 na 1.12
    Water Polar solvent H2O 7732-18-5 100 0 na 1 72.8 78.5 0.9
  • Ionic liquids can have advantageous environmental properties over other solvents. Ionic liquids are substantially non-volatile. Some ionic liquids are biodegradable. Ionic liquids can be less toxic than other solvents, or even non-toxic.
  • In some circumstances, organic photoresist polymers become at least partially carbonized after the substrate is subjected to an ion implant step. The at least partially carbonized photoresist can be difficult to remove, but failing to remove it may interfere with further substrate processing. Superacids may be used to remove such photoresists from a substrate.
  • Thus, discussed next are ionic liquids and superacids for use as the wet chemistry for substrate cleaning in accordance with the present invention.
  • An ionic liquid includes cations (positively charged species) and anions (negatively charged species), and has a melting point at or below 100° C. For example, an ionic liquid can include an organic cation such as a 1,3-dialkylimidazolium, a 1-alkylpyridinium, an N,N-dialkylpyrrolidinium, an ammonium, or a phosphonium cation. A wide range of anions can be employed, such as, for example, a halide (e.g., chloride), an inorganic anion (e.g., tetrafluoroborate or hexafluorophosphate), or an organic anion (e.g., bis-trifluorsulfonimide, triflate, or tosylate). As one example, the melting point of 1-butyl-3-methylimidazolium tetrafluoroborate is about −71° C.; this compound is a colorless liquid with high viscosity at room temperature. Additional exemplary ionic liquids are listed in Table 2.
  • TABLE 2
    Exemplary Ionic Liquids
    1-ethyl-3-methylimidazolium methanesulfonate
    methyl-tri-n-butylammonium methylsulfonate
    1-ethyl-2,3-dimethylimidazolium ethylsulfonate
    1-butyl-3-methylimidazolium ethylsulfate
    1-butyl-3-methylimidazolium methanesulfonate
    1-ethyl-3-methylimidazolium chloride
    1,2,3-trimethylimidazolium methylsulfate
    1-butyl-3-methylimidazolium tetrachloroaluminate
    1-ethyl-3-methylimidazolium tetrachloroaluminate
    1-ethyl-3-methylimidazolium hydrogensulfonate
    1-butyl-3-methylimidazolium hydrogensulfonate
    methylimidazolium hydrogensulfonate
    methylimidazolium chloride
    1-ethyl-3-methylimidazolium acetate
    1-butyl-3-methylimidazolium acetate
    1-ethyl-3-methylimidazolium ethylsulfate
    1-butyl-3-methylimidazolium methylsulfate
    1-ethyl-3-methylimidazolium thiocyanate
    1-butyl-3-methylimidazolium thiocyanate
    1-butyl-3-methylimidazolium chloride
    1-butyl-3-methylimidazolium hexafluorophosphate
    1-ethyl-3-methylimidazolium tetrafluoroborate
    1-butyl-3-methylimidazolium tetrafluoroborate
    1-butyl-2,3-dimethylimidazolium chloride
    1-methyl-3-octylimidazolium trifluoromethanesulfonate
    1-hexyl-3-methylimidazolium trifluoromethanesulfonate
    1-hexyl-3-methylimidazolium tetrafluoroborate
    1-methyl-3-octylimidazolium hexafluorophosphate
  • Advantageously, ionic liquids are often colorless, poorly coordinating, and have substantially no vapor pressure, and can effectively dissolve residues. High solubility of residues in ionic liquids allows process intensification. In other words, only low liquid volumes are required in the treatments, thereby permitting a substantial reduction in the amount of chemical required to produce the desired result. The reduced amount of chemical that is used makes ionic liquid-based cleaning an environmentally friendly substrate cleaning process.
  • Suitable cations for ionic liquids can include, for example, an imidazolium cation having the formula:
  • Figure US20110187010A1-20110804-C00010
  • where R1 is an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; R2 is hydrogen or an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group and R3 is an optionally substituted C1-C12 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; and n is 0, 1, 2 or 3. In some embodiments, R1 can be C1-C6 alkyl and R2 can be methyl. R1 or R2 can be optionally substituted by a polar or protic substituent, such as, for example, hydroxy. The pyrrolidinium cation can be an N,N-dialkylpyrrolidinium.
  • Another suitable cation is a pyridinium ion having the formula:
  • Figure US20110187010A1-20110804-C00011
  • where R1 is an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; R3 is an optionally substituted C1-C12 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; and n is 0, 1, 2 or 3. In some embodiments, R1 can be C1-C8 alkyl. R3 can be C1-C6 alkyl. The pyridinium ion can be N-alkyl pyridinium ion.
  • Another suitable cation is a pyrrolidinium ion having the formula:
  • Figure US20110187010A1-20110804-C00012
  • where R1 and R2, independently, are each an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; R3 is an optionally substituted C1-C12 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; and n is 0, 1, 2 or 3. In some embodiments, R1 and R2 are each independently C1-C6 alkyl. R1 can be methyl and R2 can be C1-C6 alkyl. The pyrrolidinium ion can be an N,N-dialkylpyrrolidinium ion.
  • Another suitable cation is an ammonium, such as a quaternary ammonium ion having the formula:
  • Figure US20110187010A1-20110804-C00013
  • where R1, R2, R3, and R4, independently, are each an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group. In some embodiments, R1, R2, R3, and R4, independently, are each C1-C8 alkyl. R1 or R2 can be optionally substituted by a polar or protic substituent, such as, for example, hydroxy. The ammonium ion can be a tetraalkylammonium ion.
  • Another suitable cation is a phosphonium ion having the formula:
  • Figure US20110187010A1-20110804-C00014
  • where R1, R2, R3, and R4, independently, are each an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group. In some embodiments, R1, R2, R3, and R4, independently, are each C1-C8 alkyl. The phosphonium ion can be a tetraalkylphosphonium ion.
  • Suitable anions for an ionic liquid include a halide (e.g., fluoride, chloride, bromide, or iodide), a sulfate, a sulfonate, a carboxylate (e.g., acetate or propionate), a sulfonimide (e.g., bis(trifluoromethylsulfonyl)imide), a phosphinate (e.g., bis(2,4,4-trimethylpentyl)phosphinate), a phosphate (e.g., tris(pentafluoroethyl)trifluorophosphate) an inorganic anion (e.g., tetrafluoroborate, hexafluorophosphate, or tetrachloroaluminate), thiocyanate, or dicyanamide.
  • A sulfate can have the formula:
  • Figure US20110187010A1-20110804-C00015
  • where R is C1-C20 alkyl, haloalkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, alkynyl, or aryl group. For example, R can be methyl, trifluoromethyl, p-tolyl, ethyl, n-butyl, n-hexyl, or n-octyl.
  • A sulfonate can have the formula:
  • Figure US20110187010A1-20110804-C00016
  • where R is C1-C20 alkyl, haloalkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, alkynyl, or aryl group. For example, R can be methyl, trifluoromethyl, p-tolyl, ethyl, n-butyl, n-hexyl, or n-octyl.
  • The ionic liquid can include a eutectic mixture. In general, a eutectic mixture is a mixture of two or more pure materials in a particular ratio that displays a reduced melting point compared to either material in a pure state. The eutectic mixture can be substantially free of metals ions. For example, the eutectic can be a mixture of organic compounds. The eutectic mixture can be a deep eutectic solvent. The eutectic mixture can be a mixture of a quaternary ammonium salt and a hydrogen-bonding partner. The quaternary ammonium salt also can be a halide salt, i.e., a salt of a quaternary ammonium ion and a halide ion, such as fluoride, chloride, bromide or iodide. The quaternary ammonium salt can be choline chloride. The hydrogen-bonding partner can be, for example, a carboxylic acid, an amide, or a urea. See, for example, Freemantle, M., Chem. Eng. News Sep. 12, 2005, 36-38; Abbott, A. P. et al., Chem. Comm. Jan. 7, 2003, 70-71; and Abbott, A. P. et al., J. Am. Chem. Soc. 2004, 126, 9142-9147, each of which is incorporated herein by reference in its entirety.
  • The quaternary ammonium salt can include a quaternary ammonium ion having the formula:
  • Figure US20110187010A1-20110804-C00017
  • where R1, R2, R3, and R4, independently, are each an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group. In some embodiments, R1 is hydroxyl substituted C1-C8 alkyl, R2, R3, and R4, independently, are each C1-C8 alkyl. R1 can be hydroxyl substituted C2 alkyl and R2, R3 and R4 can each be methyl.
  • The hydrogen bonding partner can be a carboxylic acid having the formula:
  • Figure US20110187010A1-20110804-C00018
  • where R1 is an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; or an optionally substituted C1-C10 aryl or heteroaryl group. The carboxylic acid can be selected from the group of adipic acid, benzoic acid, citric acid, malonic acid, oxalic acid, phenylacetic acid, phenylpropionic acid, succinic acid, and tricarballylic acid.
  • The hydrogen bonding partner can be an amide having the formula:
  • Figure US20110187010A1-20110804-C00019
  • where R1 is an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; or an optionally substituted C1-C10 aryl or heteroaryl group; and R2 and R3, independently, are each hydrogen or an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group.
  • The hydrogen bonding partner can be a urea having the formula:
  • Figure US20110187010A1-20110804-C00020
  • where X is O or S; and each of R1, R2, R3, and R4, independently, is an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; or an optionally substituted C1-C10 aryl or heteroaryl group.
  • The composition can include an acid. In some embodiments, the acid can be a superacid, i.e., an acid with a greater proton-donating ability than 100% sulfuric acid. One well known example of a superacid is a mixture of FSO3H—SbF5—SO2, sometimes referred to as “magic acid.” Another superacid is a mixture of HF and BF3. Still another is a mixture of SbF5 and HF.
  • The chemistries can have a dielectric constant selected to support an electric charge. In a preferred, exemplary embodiment, the nano-clusters preferably are provided with sufficient velocity to mechanically dislodge surface particulate on substrates, while also chemically interacting with such particulate for example to lower surface adhesion. In some embodiments, the nano-clusters can include an ionic liquid and an oxidizer that interact with a high pH material. Such a combination preferably has a short life before decomposing, such as trimethylphenylammonium hydroxide (TMPAH) or tetramethylammonium hydroxide (TMAH) combined with propylene carbonate. In an exemplary process for use with the present invention, the ionic liquid and oxidizer may be mixed at the point-of-use, e.g., just prior to or during formation of nano-clusters. Such instantaneously-reactive removal chemistries are preferred for use with the present invention. In some preferred exemplary embodiments, the chemistries may be stable for about 1 hour or less prior to decomposition. In other exemplary embodiments, the chemistries may be stable for about 1 minute or less prior to decomposition. In yet other exemplary embodiments, the chemistries may be stable for 10 seconds or less prior to decomposition. And in other exemplary embodiments, the chemistries may be stable for 1 second or less.
  • A number of suitable ionic liquids are commercially available, for example, from Sigma-Aldrich (St. Louis, Mo.), or Merck KGaA (Darmstadt, Germany).
  • The composition can include a conductivity enhancing compound. The conductivity enhancing compound can include a preferably volatile salt. For example, an ammonium salt such as a ammonium acetate or ammonium carbonate may be used to impart conductivity to the liquid. Other suitable salts include non-volatile alkali metal salts such as NaI, KI, and CsI. Preferably, the concentration of salt in the liquid is about 0.1 normal to 2.0 normal.
  • The composition can include an oxidizer. The oxidizer can assist in the chemical removal of targeted material on the substrate surface. Preferably, the amount of oxidizer used to prepare the clusters is sufficient to assist the removal process, while being as low as possible to minimize handling, environmental, or similar or related issues, such as cost.
  • Hydroxylamine compounds, depending on pH, can be either an oxidizer or a reducing agent. In one exemplary embodiment, the hydroxylamine compound can be an oxidizer. For example, the hydroxylamine compound can be hydroxylamine, a salt of hydroxylamine, a derivative of hydroxylamine, a salt of a derivative of hydroxylamine, or a combination thereof. The hydroxylamine compound may be organic or inorganic. Preferably, the hydroxylamine compound has formula:
  • Figure US20110187010A1-20110804-C00021
  • where R4 is hydrogen or a linear, branched, or cyclic C1-C7 hydrocarbon group; and where X and Y are, independently, hydrogen or a linear, branched, or cyclic C1-C7 hydrocarbon group, or wherein X and Y are linked together form a nitrogen-containing heterocyclic C4-C7 ring.
  • Examples of hydroxylamine compounds include hydroxylamine, N-methyl-hydroxylamine, N,N-dimethyl-hydroxylamine, N-ethyl-hydroxylamine, N,N-diethyl-hydroxylamine, methoxylamine, ethoxylamine, N-methyl-methoxylamine, and the like. Hydroxylamine and its derivatives, as defined above, are available as salts, e.g., sulfate salts, nitrate salts, phosphate salts, or the like, or a combination thereof.
  • The oxidizer can include an inorganic or organic per-compound. A per-compound is generally defined as a compound containing an element in its highest state of oxidation, such as perchloric acid; or a compound containing at least one peroxy group (—O—O—), such as peracetic acid and perchromic acid. Suitable per-compounds containing at least one peroxy group include, but are not limited to, urea hydrogen peroxide, a monopersulfate, a dipersulfate, peracetic acid, a percarbonate, and an organic peroxide, such as benzoyl peroxide or di-t-butyl peroxide. For example, ozone is a suitable oxidizer either alone or in combination with one or more other suitable oxidizers. The per-compound can be hydrogen peroxide.
  • Suitable per-compounds that do not contain a peroxy group include, but are not limited to, periodic acid, any periodiate salt, perchloric acid, any perchlorate salt, perbromic acid, and any perbromate salt, perboric acid, and any perborate salt.
  • Exemplary inorganic oxidizers include peroxymonosulfuric acid, potassium peroxymonosulfate, and ammonium peroxymonosulfate. Other oxidizers are also suitable; for example, iodates are useful oxidizers, and oxone is a useful oxidizer.
  • The oxidizer may be a salt of a metal having multiple oxidation states, a complex or coordination compound of a metal having multiple oxidation states, or any combination thereof, provided the compound has a sufficient oxidative potential to oxidize the substrate. Examples include permanganate or salts thereof and perchromate or salts thereof, iron salts, aluminum salts, cerium salts, and the like. When mixed with another common oxidizer such as hydrogen peroxide in a solution, the salts and oxidizer react and the oxidizing capacity of the mixture may decline with time. It is known that if the pH is above about 5, iron precipitates as Fe(OH)3 and catalytically decomposes the hydrogen peroxide to oxygen. At a pH of below about 5, a solution of hydrogen peroxide and an iron catalyst is known as Fenton's reagent.
  • One disadvantage of metal-containing oxidizer salts is that they can leave metal contamination on the substrate. This metallic contamination can result in shorts and spurious conductive properties, along with other problems. Certain metals, such as those with a tendency to plate on or be absorbed on to at least one part of the substrate, may be more damaging than other metals. In one embodiment, the total weight of the metal present in the liquid used to make the clusters is less than 1 percent, less than 0.5 percent, less than 0.2 percent, less than 0.05 percent, less than 0.02 percent or less than 0.005 percent relative to the weight of the liquid. Clusters of the invention may be essentially free of metals, for example, completely free of metals. By essentially free of metals it is meant that the total weight of metal present in the liquid used to generate the clusters is less than 0.25 percent relative to the weight of the liquid.
  • Preferred solvents are listed in Table 1. An exemplary preferred solvent is propylene carbonate.
  • Residual removers for cleaning of semiconductors are known, for example, from U.S. Patent Application Publication No. 2004/0217006 A1, the entire content of which is expressly incorporated herein by reference thereto.
  • In some exemplary embodiments of the present invention, the wet chemistry may include one or more of the following: chelators, surfactants (nonionics, anionics, and/or cationics), abrasives, water, other solvents, corrosion inhibitors, basic amine compounds, acids and bases.
  • One exemplary method of cleaning a substrate using the cleaning compositions of the present invention comprises: contacting the substrate having residue thereon, e.g. organometallic or metal oxide residue, with a cleaning composition that includes an ionic liquid or superacid for a time and at a temperature sufficient to remove the residue. The substrate may be generally immersed in the cleaning composition.
  • In another exemplary method in accordance with the present invention, photoresist is stripped from a substrate using a method comprising: contacting the substrate having photoresist thereon with a composition that includes an ionic liquid or superacid for a time and at a temperature sufficient to remove the photoresist. The substrate may be generally immersed in the photoresist stripping composition.
  • In yet another exemplary method in accordance with the present invention, metal or oxide is etched in a method comprising: contacting the metal or oxide with an etching composition that includes an ionic liquid or superacid for a time and at a temperature sufficient to etch said metal or oxide. The metal or oxide may be generally immersed in the etching composition.
  • In some exemplary embodiments, the composition of the present invention can be selectively applied to the substrate, that is, applied to only to a predetermined region of the substrate. Selective application of the composition can be achieved, for example, by applying the composition with an ink jet printer.
  • In some exemplary embodiments of the present invention, chemistry may be delivered to a surface in the form of nano-clusters. The molecular structure of nano-clusters, as dispersed clouds with sizes between about 4 nm and about 12 nm, and in some embodiments preferably less than about 8 nm, provide a dry process environment for processing accuracy to atomic layer definition. In order to produce the nano-clusters and deliver them to the substrate, a nozzle may be used with sufficient charge at the nozzle via extractor electrodes. The resultant high-speed nano-clusters emitted under charge must then have the bonds broken down that hold the nano-clusters together. This may be accomplished with a mini discharge/dispersal field that completely eliminates charge, reduces the size of the nano-clusters for example from about 80 nm to between about 4 nm and about 12 nm (such as about 8 nm), and “aims” the nano-clusters. A plasma of nano-clusters may be created as a directed flow to the substrate without the physical size or force of the original nano-clusters.
  • In some embodiments, a clean cell preferably integrates with a gate interface and robotic handling mechanisms of a Semitool Mini-Raider platform. Also, in some embodiments, only one side of the wafer may be processed, while in other embodiments both sides are processed.
  • The clean cell, preferably formed of polyethylene therephthalate (PET), preferably has a positive pressure of purified atmosphere and/or inert gas (such as nitrogen) and has, as a means of withdrawing the contaminants, a side-flow evacuation system with upward-evacuation, and low vacuum withdrawal to plasmatic reclaim. The sub chamber (discharge/dispersal field), via the supersonic movement of nano-cluster plasma, may create a windmill effect that lifts the evacuant back to the vacuum or output port/reclaim above the surface interface. Redeposition may be avoided by the windmilling effect of the plasma directing the evacuants to the output port(s) and/or the use of common heavy gas laminar flow technology.
  • Preferably, the chamber supports atmospheric and positive-pressure gas environments, and the output port is either an evacuation for positive pressure atmospheric or gas atmosphere processing. In the low positive pressure gas environment, the gas is ionized to create the discharge and dispersal of the nano-clusters. Further, the gas may be blended to collect and evacuate the residual to a reclaim plasma filter for re-use of the gas. The array may be waferscale as a pattern of emitters angled toward evacuation port(s). This technique with a slowly revolving wafer (16-32 rpm) may produce higher throughputs above 200 Wph.
  • In some embodiments, a solvated atmospheric flow from a center point above the nozzle(s) to low-draw vacuum evacuation at the side(s) of the platen may add to encapsulation and suspension of the particles and residuals because the atmosphere is heavier and its flow can carry micro particles more thoroughly to the exhaust vacuum ports. In some embodiments, the solvated atmosphere may be ionized to more rapidly discharge the nano-clusters for dispersal using IR or UV ionizing methods. In some embodiments, subsonic or supersonic spray applications may rely on the momentum of small droplets without the need for ionization. The size, discharge and dispersal of the nano-clusters may be controlled by the height of the nozzle tip above the surface interface. Also, the nozzle preferably may have a conical tip like a blunderbuss so the nano-clusters have a broader spectrum or pattern array. And, the formulations of chemistry may be selected as a function of charge retention capabilities (e.g., dielectric constant) in addition to their reaction rates and surface effects for desired specific discharge and reaction. In a preferred exemplary embodiment the nozzle's patterns preferably overlap in a single row, “like lawn sprinklers” to keep the nano-clusters traveling toward the surface. A gap preferably may be provided row to row in order to allow the encapsulated particles and residuals to move out of the pattern and into the evacuation stream.
  • In some exemplary embodiments of the present invention, wet chemistry may be delivered to the substrate at an angle between about 0° and about 90°; between about 0° and about 45°; or between about 0° and about 25° with respect to the surface of the substrate. The wet chemistry may be delivered through nozzles that all are oriented at about the same angle with respect to the substrate or alternatively through nozzles oriented at a plurality of angles with respect to the substrate. Moreover, the wet chemistry may be delivered at speeds that are subsonic or supersonic. In one exemplary embodiment, a cleaning chemistry is delivered to the substrate through nozzles oriented almost parallel to the substrate. For example, the nozzles may be oriented no more than about 5° transverse to the substrate surface; no more than about 3° transverse to the substrate surface; or no more than about 1° transverse to the substrate surface.
  • Preferably, particles may be removed down to the detection limit of a scanning electron microscope of 8 nm and to the detection limits of a Surfscan at 50 ppb.
  • At present, prior art batch and single wafer processors use chemistry with volumes of water creating a significant waste stream and reclaim issue for recycling or discharging water. Vapor of the present invention may be produced using UNIT Delivery Systems chemistry (UDS) that delivers ready-to-use chemistry to the equipment in a clean interface container, and removes the output of the machine for convenient collection for reclaim as 50 to 100 times less reclaim volume than standard processor production. The successful integration of the two technologies permits a “bolt-on” final finish and dry unit that reduces both waste water and chemistries by two orders of magnitude, and increases the level of “soft-touch” contamination removal by over one order of magnitude.
  • The present invention may be applied to such fields as semiconductor manufacturing, nanotechnologies, medical sterilization technologies, MEMS, MOEMS, and many other processes.
  • A replacement of IPA with fugitive alcohols may facilitate the elimination of hydrocarbon contamination in the cleaning steps.
  • In an exemplary preferred embodiment, the present invention may be used in connection with wafers between about 150 mm and about 450 mm. In alternate embodiments, the present invention may be used for smaller wafer sizes such as those used in the hard disk industry in sizes of about 2.5 inches to about 3 inches.
  • In some embodiments of the present invention, other surface deposition techniques may be used such as disclosed in U.S. Pat. No. 6,817,385, the entire content of which is incorporated herein by reference thereto.
  • In some embodiments, the chemistries contemplated in the present invention may be dispensed from a cassette having up to 10 chemistries, and more preferably 5 to 10 chemistries, configured with valving that could either allow one chemistry or a mixture of several chemistries to occur just prior to injection into the chamber. Such mixing (instead of sequential injections of chemistries) may allow, for example, the mixing of a surfactant with a reactive chemistry for better surface contact and reactivity.
  • With the present invention, an expanded process window thus may be provided for cleaning a variety of residues encountered in the semiconductor industry. The expanded window includes chemistries and chemistry concentrations to promote release of residues and particles. In the preferred exemplary embodiment, the chemical concentrations and application time can be significantly reduced over prior art processes and more aggressive chemistries may be used for more precise process control. Vapor spray technology may permit very quick removal of gross particles and residues.
  • One concept for post-process drying involves sub-critical gas or liquid spray for waferscale processing. Another concept for post-process drying may involve an accelerated gas or liquid with an induced plasma. A near-dry process may be provided and may have residual, trace moisture as nanoclusters in the pattern or porosity.
  • To prevent residual water from being left on the surface in a single-wafer system, a positive-pressure chamber can be purged by turning off the chemistry for 4-7 seconds prior to wafer unload and increasing positive atmospheric flow in the chamber. However, doing so may fail to vacate trace moisture in porosity (dielectrics, etc.), and can actually impound the moisture. Instead, heated inert gas may be added to the expanding blended sub-critical gas flow, which reduces the positive atmospheric flow. The combination of sub-critical-gas accelerated plasma and thermal dynamic gas may dry without moisture expansion/explosion, which can cause delamination of wafer layers or water spots.
  • Inert gas is a gas that does not react substantially with the surface, such as helium, neon, argon, or nitrogen. For certain applications (i.e., where oxide formation is of no significance), purified atmosphere can be acceptable. The inert gas can be introduced at an elevated temperature, i.e., higher than ambient temperature. The elevated temperature can be, for example, between 50° C. and 100° C., between 70° C. and 90° C., or about 80° C. At this time, chemistry flow is maintained to evacuate heavy molecular contamination. The chemistry can include an oppositely charged chelator or surfactant running at between 0.1% and 1.0%, e.g., about 0.5%, to encourage removal of contaminants from porosity.
  • Advantageously, application areas for the cleaning technology of the present invention include:
      • 1. Wet-to-Dry processes for gaining a more specific control of the chemical cleaning process and drying of a substrate, having particular importance to the Back-end-of-the-Line (BEOL) cleaning in the semiconductor industry;
      • 2. the specific control of chemicals with the nano-clusters may be used in plating/coating processes, particularly in nanotechnogy-related applications;
      • 3. adjustments to the composition may provide a “drier” chemistry to further control the chemical consumption;
      • 4. in some processes a gas/vapor spray may be used to remove particles less than about 50 nanometers along with the drying and curing of previously deposited films.
  • An expanded power level (process window) is possible for cleaning the newer, more difficult residues as discussed above. The expanded window includes chemistries and chemistry concentrations. Because the chemical concentrations and application time can be significantly reduced, more aggressive chemistries can be used for more precise process control. Thus, the end user can significantly reduce chemical consumption, utilize new chemistries, and significantly reduce if not eliminate certain final rinse and drying steps for submicron features on the substrate. Such features can be found for example in semiconductor devices (memory, logic, etc.), nanotechnologies, post chemical mechanical planarization (CMP) processes, and biotechnologies.
  • While various descriptions of the present invention are described above, it should be understood that the various features can be used singly or in any combination thereof. Therefore, this invention is not to be limited to only the specifically preferred embodiments depicted herein.
  • Further, it should be understood that variations and modifications within the spirit and scope of the invention may occur to those skilled in the art to which the invention pertains. For example, in each of the methods disclosed herein, the wet chemistries may be applied to substrates with techniques that that may include stirring, agitation, circulation, sonication, or other techniques as are known in the art. The methods disclosed herein may be applied to a variety of substrates including silicon and III-V semiconductors such as GaAs. Accordingly, all expedient modifications readily attainable by one versed in the art from the disclosure set forth herein that are within the scope and spirit of the present invention are to be included as further embodiments of the present invention. The scope of the present invention is accordingly defined as set forth in the appended claims.

Claims (23)

1-20. (canceled)
21. A method of cleaning submicron features on a semiconductor substrate comprising contacting a surface of the semiconductor substrate with a composition comprising a superacid.
22. The method of claim 21, wherein the superacid includes a mixture of FSO3H, SbF5, and SO2; a mixture of HF and BF3; or a mixture of SbF5 and HF
23. The method of claim 21, wherein the semiconductor substrate includes a photoresist.
24. The method of claim 21, wherein the surface is contacted with the composition for a period of time ranging from 30 seconds to 30 minutes.
25. The method of claim 21, wherein the surface is contacted with the composition for a period of time ranging from 30 seconds to 2 minutes.
26. The method of claim 21, wherein the surface is contacted with the composition for a period of time ranging from 2 minutes to 30 minutes.
27. The method of claim 21, wherein the surface is contacted with the composition at a temperature between 20° C. and 70° C.
28. The method of claim 21, wherein the surface is contacted with the composition at a temperature between 20° C. and 50° C.
29. The method of claim 21, wherein the surface is contacted with the composition at a temperature between 20° C. and 35° C.
30. The method of claim 21, further comprising rinsing the semiconductor substrate with water after contacting the semiconductor substrate with the composition.
31. The method of claim 30, further comprising rinsing the semiconductor substrate with a solvent prior to rinsing the semiconductor substrate with water.
32. The method of claim 21, wherein the superacid is discharged toward the semiconductor substrate through at least one nozzle oriented at an angle between about 0° and about 45° with respect to the surface.
33. The method of claim 21, wherein the superacid is discharged toward the semiconductor substrate through at least one nozzle oriented at an angle between about 0° and about 25° with respect to the surface.
34. The method of claim 21, wherein the superacid is discharged toward the semiconductor substrate through at least one nozzle oriented at an angle no more than about 5° transverse to the surface.
35. A semiconductor substrate cleaned according to the method of claim 21.
36. The semiconductor substrate of claim 35, wherein the semiconductor substrate is a wafer.
37. A method of removing metal ions from submicron features on a semiconductor wafer comprising contacting the semiconductor wafer with a composition comprising a superacid at a temperature and for a time sufficient to dislodge residue therefrom.
38. A method of removing metal ions from submicron features on a semiconductor wafer comprising contacting the semiconductor wafer with a composition comprising a superacid at a temperature and for a time sufficient to strip photoresist therefrom.
39. A process for removing metal ions from submicron features on an integrated circuit, which comprises contacting the integrated circuit with a composition comprising a superacid at a temperature and for a time sufficient to remove the residue from the integrated circuit.
40. The method of claim 21, wherein the submicron features comprise memory.
41. The method of claim 21, wherein the superacid in the composition is diluted in the range of 2-10% by weight.
42. A method of cleaning metal ions from submicron features on a semiconductor substrate comprising contacting a surface of the semiconductor substrate with a composition comprising a superacid.
US13/084,475 2005-02-14 2011-04-11 Semiconductor cleaning using superacids Abandoned US20110187010A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/084,475 US20110187010A1 (en) 2005-02-14 2011-04-11 Semiconductor cleaning using superacids

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US65199805P 2005-02-14 2005-02-14
US75460505P 2005-12-30 2005-12-30
US11/350,758 US7923424B2 (en) 2005-02-14 2006-02-10 Semiconductor cleaning using superacids
US13/084,475 US20110187010A1 (en) 2005-02-14 2011-04-11 Semiconductor cleaning using superacids

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/350,758 Continuation US7923424B2 (en) 2005-02-14 2006-02-10 Semiconductor cleaning using superacids

Publications (1)

Publication Number Publication Date
US20110187010A1 true US20110187010A1 (en) 2011-08-04

Family

ID=36816158

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/350,758 Expired - Fee Related US7923424B2 (en) 2005-02-14 2006-02-10 Semiconductor cleaning using superacids
US13/084,475 Abandoned US20110187010A1 (en) 2005-02-14 2011-04-11 Semiconductor cleaning using superacids

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/350,758 Expired - Fee Related US7923424B2 (en) 2005-02-14 2006-02-10 Semiconductor cleaning using superacids

Country Status (1)

Country Link
US (2) US7923424B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8481474B1 (en) * 2012-05-15 2013-07-09 Ecolab Usa Inc. Quaternized alkyl imidazoline ionic liquids used for enhanced food soil removal

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060183654A1 (en) * 2005-02-14 2006-08-17 Small Robert J Semiconductor cleaning using ionic liquids
US7923424B2 (en) * 2005-02-14 2011-04-12 Advanced Process Technologies, Llc Semiconductor cleaning using superacids
JP2009231346A (en) * 2008-03-19 2009-10-08 Toshiba Corp Substrate processing apparatus and method
JP5152851B2 (en) * 2008-04-17 2013-02-27 国立大学法人東北大学 Manufacturing method of semiconductor device
TWI494710B (en) * 2008-05-01 2015-08-01 Entegris Inc Low ph mixtures for the removal of high density implanted resist
US9334161B2 (en) * 2009-10-02 2016-05-10 Mitsubishi Gas Chemical Company, Inc. Processing liquid for suppressing pattern collapse of fine metal structure and method for producing fine metal structure using same
US8252673B2 (en) 2009-12-21 2012-08-28 International Business Machines Corporation Spin-on formulation and method for stripping an ion implanted photoresist
US20120276741A1 (en) * 2011-04-29 2012-11-01 Arizona Board Of Regents On Behalf Of The University Of Arizona Benign, liquid chemical system-based back end of line (beol) cleaning
CN104024995B (en) * 2011-10-25 2017-05-17 尤尼皮克塞尔显示器有限公司 Method of changing the optical properties of high resolution conducting patterns
US8993218B2 (en) * 2013-02-20 2015-03-31 Taiwan Semiconductor Manufacturing Company Limited Photo resist (PR) profile control
KR102095084B1 (en) * 2013-11-11 2020-03-30 도쿄엘렉트론가부시키가이샤 System and method for enhanced removal of metal hardmask using ultra violet treatment
WO2018080839A1 (en) 2016-10-26 2018-05-03 S. C. Johnson & Son, Inc. Disinfectant cleaning composition with quaternary ammonium hydroxycarboxylate salt
EP3532584A1 (en) 2016-10-26 2019-09-04 S.C. Johnson & Son, Inc. Disinfectant cleaning composition with quaternary amine ionic liquid
US10815453B2 (en) 2016-10-26 2020-10-27 S. C. Johnson & Son, Inc. Disinfectant cleaning composition with quaternary ammonium hydroxycarboxylate salt and quaternary ammonium antimicrobial
CN106987348B (en) * 2017-03-24 2020-07-14 太仓鸿羽智能科技有限公司 Online maintenance cleaning method for communication equipment
KR101986049B1 (en) * 2018-05-15 2019-06-04 한국기초과학지원연구원 Device and method for generating organic cluster ion beam
CN109261707B (en) * 2018-11-29 2021-01-15 西南石油大学 Green cleaning method for cleaning petroleum-polluted soil
CN112114502A (en) * 2020-08-27 2020-12-22 江苏中德电子材料科技有限公司 CF reworking liquid

Citations (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5129955A (en) * 1989-01-11 1992-07-14 Dainippon Screen Mfg. Co., Ltd. Wafer cleaning method
US5221423A (en) * 1986-05-20 1993-06-22 Fujitsu Limited Process for cleaning surface of semiconductor substrate
US5302240A (en) * 1991-01-22 1994-04-12 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US5334332A (en) * 1990-11-05 1994-08-02 Ekc Technology, Inc. Cleaning compositions for removing etching residue and method of using
US5965465A (en) * 1997-09-18 1999-10-12 International Business Machines Corporation Etching of silicon nitride
US5981454A (en) * 1993-06-21 1999-11-09 Ekc Technology, Inc. Post clean treatment composition comprising an organic acid and hydroxylamine
US6092539A (en) * 1997-03-20 2000-07-25 Samsung Electronics Co., Ltd. In-situ cleaning apparatuses for wafers used in integrated circuit devices and methods of cleaning using the same
US6110881A (en) * 1990-11-05 2000-08-29 Ekc Technology, Inc. Cleaning solutions including nucleophilic amine compound having reduction and oxidation potentials
US6147002A (en) * 1999-05-26 2000-11-14 Ashland Inc. Process for removing contaminant from a surface and composition useful therefor
US6200891B1 (en) * 1998-08-13 2001-03-13 International Business Machines Corporation Removal of dielectric oxides
US6280527B1 (en) * 1998-06-12 2001-08-28 International Business Machines Corporation Aqueous quaternary ammonium hydroxide as a screening mask cleaner
US6310020B1 (en) * 1998-11-13 2001-10-30 Kao Corporation Stripping composition for resist
US6312759B1 (en) * 1997-05-16 2001-11-06 Nippon Zeon Co., Ltd. Fluorinated hydrocarbons, detergents, deterging method, polymer-containing fluids, and method of forming polymer films
US20010056052A1 (en) * 1999-04-20 2001-12-27 Nec Corporation Cleaning liquid
US20020037820A1 (en) * 2000-07-10 2002-03-28 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductor devices
US20020055066A1 (en) * 2000-08-25 2002-05-09 Shuichi Takamiya Alkaline liquid developer for lithographic printing plate and method for preparing lithographic printing plate
US6413923B2 (en) * 1999-11-15 2002-07-02 Arch Specialty Chemicals, Inc. Non-corrosive cleaning composition for removing plasma etching residues
US6417112B1 (en) * 1998-07-06 2002-07-09 Ekc Technology, Inc. Post etch cleaning composition and process for dual damascene system
US20020128164A1 (en) * 2000-11-30 2002-09-12 Tosoh Corporation Resist stripper
GB2373367A (en) * 2000-12-12 2002-09-18 Univ Montfort Formation and processing of porous semiconductors using etching solution of oxidant and fluorine-containing Lewis acid
US20020134963A1 (en) * 1998-07-06 2002-09-26 Ekc Technology, Inc. Post etch cleaning composition for dual damascene system
DE10108893A1 (en) * 2001-02-23 2002-10-24 Rolf Hempelmann Production of metals and their alloys and compound semiconductors comprises galvanically depositing metals, alloys or compound semiconductors from an ionic liquid or suitable molten salt in an electrolysis device
US20020155724A1 (en) * 2001-04-19 2002-10-24 Kabushiki Kaisha Toshiba Dry etching method and apparatus
US6497238B1 (en) * 1999-11-26 2002-12-24 Koninklijke Philips Electronics N.V. Method of manufacturing electronic devices and apparatus for carrying out such a method
US20030003762A1 (en) * 2001-06-27 2003-01-02 International Business Machines Corporation Process of removing residue material from a precision surface
US20030130149A1 (en) * 2001-07-13 2003-07-10 De-Ling Zhou Sulfoxide pyrolid(in)one alkanolamine cleaner composition
US20030171239A1 (en) * 2002-01-28 2003-09-11 Patel Bakul P. Methods and compositions for chemically treating a substrate using foam technology
US6624127B1 (en) * 2002-11-15 2003-09-23 Intel Corporation Highly polar cleans for removal of residues from semiconductor structures
US6627587B2 (en) * 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
US20040038840A1 (en) * 2002-04-24 2004-02-26 Shihying Lee Oxalic acid as a semiaqueous cleaning product for copper and dielectrics
US20040112409A1 (en) * 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
US20040134873A1 (en) * 1996-07-25 2004-07-15 Li Yao Abrasive-free chemical mechanical polishing composition and polishing process containing same
US6764552B1 (en) * 2002-04-18 2004-07-20 Novellus Systems, Inc. Supercritical solutions for cleaning photoresist and post-etch residue from low-k materials
JP2004285354A (en) * 2003-03-06 2004-10-14 Tokuyama Corp Composition for cleaning
US20040211675A1 (en) * 2003-04-28 2004-10-28 Dong Chun Christine Removal of surface oxides by electron attachment for wafer bumping applications
US20040217006A1 (en) * 2003-03-18 2004-11-04 Small Robert J. Residue removers for electrohydrodynamic cleaning of semiconductors
US6817385B1 (en) * 2003-02-15 2004-11-16 Va-Tran Systems Inc. Method and apparatus for filling a liquid container and converting liquid phase fluid into a gaseous phase for dispensing to users
US20050106890A1 (en) * 2003-09-08 2005-05-19 Schroeder Uwe P. Method for forming a trench in a layer or a layer stack on a semiconductor wafer
US20050143270A1 (en) * 2003-10-28 2005-06-30 Sachem, Inc. Cleaning solutions and etchants and methods for using same
US20050176602A1 (en) * 2002-06-07 2005-08-11 Hsu Chien-Pin S. Microelectronic cleaning and arc remover compositions
US20050202987A1 (en) * 2000-07-10 2005-09-15 Small Robert J. Compositions for cleaning organic and plasma etched residues for semiconductor devices
US20060040499A1 (en) * 2004-08-20 2006-02-23 Steve Walther In situ surface contaminant removal for ion implanting
US20060060818A1 (en) * 2004-09-23 2006-03-23 Tempel Daniel J Ionic liquid based mixtures for gas storage and delivery
US20060061017A1 (en) * 2004-09-20 2006-03-23 The Regents Of The University Of California Method for synthesis of colloidal nanoparticles
US7018937B2 (en) * 2002-08-29 2006-03-28 Micron Technology, Inc. Compositions for removal of processing byproducts and method for using same
US7018560B2 (en) * 2003-08-05 2006-03-28 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Composition for polishing semiconductor layers
US20060090777A1 (en) * 2004-11-01 2006-05-04 Hecht Stacie E Multiphase cleaning compositions having ionic liquid phase
US20060094620A1 (en) * 2004-11-01 2006-05-04 Jordan Glenn T Iv Compositions containing ionic liquid actives
US20060094615A1 (en) * 2004-11-01 2006-05-04 Hecht Stacie E Ionic liquids derived from functionalized anionic surfactants
US20060154839A1 (en) * 2003-08-19 2006-07-13 Mallinckrodt Baker Inc. Stripping and cleaning compositions for microelectronics
US20060189499A1 (en) * 2005-02-18 2006-08-24 The Procter & Gamble Company Ionic liquids derived from peracid anions
US20070060490A1 (en) * 2003-10-29 2007-03-15 Skee David C Alkaline, post plasma etch/ash residue removers and photoresist stripping compositions containing metal-halide corrosion inhibitors
US20070278109A1 (en) * 2005-09-30 2007-12-06 Rockwell Scientific Licensing, Llc Multilayer self-decontaminating coatings
US20080038932A1 (en) * 2004-09-09 2008-02-14 Sez Ag Method for Selective Etching
US7789971B2 (en) * 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
US7923424B2 (en) * 2005-02-14 2011-04-12 Advanced Process Technologies, Llc Semiconductor cleaning using superacids

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB9616264D0 (en) * 1996-08-02 1996-09-11 British Nuclear Fuels Plc Reprocessing irradiated fuel
KR20010058668A (en) 1999-12-30 2001-07-06 윤종용 Cleansing solution containing fluoride based compound and cleasing method thereby
US20060183654A1 (en) * 2005-02-14 2006-08-17 Small Robert J Semiconductor cleaning using ionic liquids

Patent Citations (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5221423A (en) * 1986-05-20 1993-06-22 Fujitsu Limited Process for cleaning surface of semiconductor substrate
US5129955A (en) * 1989-01-11 1992-07-14 Dainippon Screen Mfg. Co., Ltd. Wafer cleaning method
US5334332A (en) * 1990-11-05 1994-08-02 Ekc Technology, Inc. Cleaning compositions for removing etching residue and method of using
US6110881A (en) * 1990-11-05 2000-08-29 Ekc Technology, Inc. Cleaning solutions including nucleophilic amine compound having reduction and oxidation potentials
US5302240A (en) * 1991-01-22 1994-04-12 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US5981454A (en) * 1993-06-21 1999-11-09 Ekc Technology, Inc. Post clean treatment composition comprising an organic acid and hydroxylamine
US20040134873A1 (en) * 1996-07-25 2004-07-15 Li Yao Abrasive-free chemical mechanical polishing composition and polishing process containing same
US6092539A (en) * 1997-03-20 2000-07-25 Samsung Electronics Co., Ltd. In-situ cleaning apparatuses for wafers used in integrated circuit devices and methods of cleaning using the same
US6312759B1 (en) * 1997-05-16 2001-11-06 Nippon Zeon Co., Ltd. Fluorinated hydrocarbons, detergents, deterging method, polymer-containing fluids, and method of forming polymer films
US5965465A (en) * 1997-09-18 1999-10-12 International Business Machines Corporation Etching of silicon nitride
US6280527B1 (en) * 1998-06-12 2001-08-28 International Business Machines Corporation Aqueous quaternary ammonium hydroxide as a screening mask cleaner
US20020134963A1 (en) * 1998-07-06 2002-09-26 Ekc Technology, Inc. Post etch cleaning composition for dual damascene system
US6417112B1 (en) * 1998-07-06 2002-07-09 Ekc Technology, Inc. Post etch cleaning composition and process for dual damascene system
US6200891B1 (en) * 1998-08-13 2001-03-13 International Business Machines Corporation Removal of dielectric oxides
US6310020B1 (en) * 1998-11-13 2001-10-30 Kao Corporation Stripping composition for resist
US20010056052A1 (en) * 1999-04-20 2001-12-27 Nec Corporation Cleaning liquid
US6147002A (en) * 1999-05-26 2000-11-14 Ashland Inc. Process for removing contaminant from a surface and composition useful therefor
US6413923B2 (en) * 1999-11-15 2002-07-02 Arch Specialty Chemicals, Inc. Non-corrosive cleaning composition for removing plasma etching residues
US6497238B1 (en) * 1999-11-26 2002-12-24 Koninklijke Philips Electronics N.V. Method of manufacturing electronic devices and apparatus for carrying out such a method
US20020037820A1 (en) * 2000-07-10 2002-03-28 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductor devices
US20050202987A1 (en) * 2000-07-10 2005-09-15 Small Robert J. Compositions for cleaning organic and plasma etched residues for semiconductor devices
US20020055066A1 (en) * 2000-08-25 2002-05-09 Shuichi Takamiya Alkaline liquid developer for lithographic printing plate and method for preparing lithographic printing plate
US20020128164A1 (en) * 2000-11-30 2002-09-12 Tosoh Corporation Resist stripper
GB2373367A (en) * 2000-12-12 2002-09-18 Univ Montfort Formation and processing of porous semiconductors using etching solution of oxidant and fluorine-containing Lewis acid
DE10108893A1 (en) * 2001-02-23 2002-10-24 Rolf Hempelmann Production of metals and their alloys and compound semiconductors comprises galvanically depositing metals, alloys or compound semiconductors from an ionic liquid or suitable molten salt in an electrolysis device
US20020155724A1 (en) * 2001-04-19 2002-10-24 Kabushiki Kaisha Toshiba Dry etching method and apparatus
US6627587B2 (en) * 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
US20030003762A1 (en) * 2001-06-27 2003-01-02 International Business Machines Corporation Process of removing residue material from a precision surface
US20030130149A1 (en) * 2001-07-13 2003-07-10 De-Ling Zhou Sulfoxide pyrolid(in)one alkanolamine cleaner composition
US20030171239A1 (en) * 2002-01-28 2003-09-11 Patel Bakul P. Methods and compositions for chemically treating a substrate using foam technology
US6764552B1 (en) * 2002-04-18 2004-07-20 Novellus Systems, Inc. Supercritical solutions for cleaning photoresist and post-etch residue from low-k materials
US20040038840A1 (en) * 2002-04-24 2004-02-26 Shihying Lee Oxalic acid as a semiaqueous cleaning product for copper and dielectrics
US20050176602A1 (en) * 2002-06-07 2005-08-11 Hsu Chien-Pin S. Microelectronic cleaning and arc remover compositions
US7018937B2 (en) * 2002-08-29 2006-03-28 Micron Technology, Inc. Compositions for removal of processing byproducts and method for using same
US6624127B1 (en) * 2002-11-15 2003-09-23 Intel Corporation Highly polar cleans for removal of residues from semiconductor structures
US20040112409A1 (en) * 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
US6817385B1 (en) * 2003-02-15 2004-11-16 Va-Tran Systems Inc. Method and apparatus for filling a liquid container and converting liquid phase fluid into a gaseous phase for dispensing to users
JP2004285354A (en) * 2003-03-06 2004-10-14 Tokuyama Corp Composition for cleaning
US20040217006A1 (en) * 2003-03-18 2004-11-04 Small Robert J. Residue removers for electrohydrodynamic cleaning of semiconductors
US20040211675A1 (en) * 2003-04-28 2004-10-28 Dong Chun Christine Removal of surface oxides by electron attachment for wafer bumping applications
US7018560B2 (en) * 2003-08-05 2006-03-28 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Composition for polishing semiconductor layers
US20060154839A1 (en) * 2003-08-19 2006-07-13 Mallinckrodt Baker Inc. Stripping and cleaning compositions for microelectronics
US20050106890A1 (en) * 2003-09-08 2005-05-19 Schroeder Uwe P. Method for forming a trench in a layer or a layer stack on a semiconductor wafer
US20050143270A1 (en) * 2003-10-28 2005-06-30 Sachem, Inc. Cleaning solutions and etchants and methods for using same
US20070060490A1 (en) * 2003-10-29 2007-03-15 Skee David C Alkaline, post plasma etch/ash residue removers and photoresist stripping compositions containing metal-halide corrosion inhibitors
US20060040499A1 (en) * 2004-08-20 2006-02-23 Steve Walther In situ surface contaminant removal for ion implanting
US20080038932A1 (en) * 2004-09-09 2008-02-14 Sez Ag Method for Selective Etching
US20060061017A1 (en) * 2004-09-20 2006-03-23 The Regents Of The University Of California Method for synthesis of colloidal nanoparticles
US20060060818A1 (en) * 2004-09-23 2006-03-23 Tempel Daniel J Ionic liquid based mixtures for gas storage and delivery
US20060090777A1 (en) * 2004-11-01 2006-05-04 Hecht Stacie E Multiphase cleaning compositions having ionic liquid phase
US20060094620A1 (en) * 2004-11-01 2006-05-04 Jordan Glenn T Iv Compositions containing ionic liquid actives
US20060094615A1 (en) * 2004-11-01 2006-05-04 Hecht Stacie E Ionic liquids derived from functionalized anionic surfactants
US7923424B2 (en) * 2005-02-14 2011-04-12 Advanced Process Technologies, Llc Semiconductor cleaning using superacids
US20060189499A1 (en) * 2005-02-18 2006-08-24 The Procter & Gamble Company Ionic liquids derived from peracid anions
US7789971B2 (en) * 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
US20070278109A1 (en) * 2005-09-30 2007-12-06 Rockwell Scientific Licensing, Llc Multilayer self-decontaminating coatings

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8481474B1 (en) * 2012-05-15 2013-07-09 Ecolab Usa Inc. Quaternized alkyl imidazoline ionic liquids used for enhanced food soil removal

Also Published As

Publication number Publication date
US7923424B2 (en) 2011-04-12
US20060183248A1 (en) 2006-08-17

Similar Documents

Publication Publication Date Title
US7923424B2 (en) Semiconductor cleaning using superacids
US20060183654A1 (en) Semiconductor cleaning using ionic liquids
US7273060B2 (en) Methods for chemically treating a substrate using foam technology
US6777380B2 (en) Compositions for cleaning organic and plasma etched residues for semiconductor devices
EP1177275B1 (en) Compositions for cleaning organic and plasma etched residues for semiconductor devices
US6235693B1 (en) Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices
EP1848790B1 (en) Semiconductor cleaning
US7119052B2 (en) Compositions and methods for high-efficiency cleaning/polishing of semiconductor wafers
EP1572833B1 (en) Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal
KR100922092B1 (en) Apparatus and method for controlling galvanic corrosion effects on a single-wafer cleaning system
US10593538B2 (en) Surface treatment methods and compositions therefor
US20040050406A1 (en) Compositions and method for removing photoresist and/or resist residue at pressures ranging from ambient to supercritical
Hattori Nonaqueous Cleaning Challenges for Preventing Damage to Fragile Nanostructures
CN101155906A (en) Semiconductor cleaning using ionic liquids
Hattori Non-aqueous/dry cleaning technology without causing damage to fragile nano-structures
WO2003064581A1 (en) Methods and compositions for chemically treating a substrate using foam technology
KR20200138742A (en) Cleaning composition
WO2008138881A1 (en) Method for removing etching residues from semiconductor components
EP1949423A1 (en) Method for removing etch residue and chemistry therefor

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION