US20110139372A1 - Showerhead assembly for vacuum processing apparatus - Google Patents

Showerhead assembly for vacuum processing apparatus Download PDF

Info

Publication number
US20110139372A1
US20110139372A1 US12/965,804 US96580410A US2011139372A1 US 20110139372 A1 US20110139372 A1 US 20110139372A1 US 96580410 A US96580410 A US 96580410A US 2011139372 A1 US2011139372 A1 US 2011139372A1
Authority
US
United States
Prior art keywords
plate
showerhead
vacuum processing
processing chamber
back plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/965,804
Inventor
Wendell Thomas Blonigan
Michael Allen Renta
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Orbotech LT Solar LLC
Original Assignee
Orbotech LT Solar LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Orbotech LT Solar LLC filed Critical Orbotech LT Solar LLC
Priority to US12/965,804 priority Critical patent/US20110139372A1/en
Assigned to Orbotech LT Solar, LLC. reassignment Orbotech LT Solar, LLC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: Blonigan, Wendell Thomas, Renta, Michael Allen
Publication of US20110139372A1 publication Critical patent/US20110139372A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49826Assembling or joining
    • Y10T29/49947Assembling or joining by applying separate fastener
    • Y10T29/49948Multipart cooperating fastener [e.g., bolt and nut]

Definitions

  • the invention concerns a vacuum processing apparatus, such as plasma chambers used for etching or forming thin films on substrates or other workpieces.
  • Manufacturing processes in the fields of semiconductor, flat panel displays, solar panels, etc. involve processing in vacuum chambers.
  • vacuum chambers are used for plasma-enhanced chemical vapor deposition (PECVD), plasma etching and various other processes for forming thin films on substrates (workpieces) and etching structures on the substrates.
  • PECVD plasma-enhanced chemical vapor deposition
  • plasma etching various other processes for forming thin films on substrates (workpieces) and etching structures on the substrates.
  • various gases are flowed into the chamber, either via injectors or via a showerhead.
  • a showerhead is preferable over injectors.
  • the showerhead would generally cover substantially the entire ceiling of the chamber, so that even amount of gas is injected everywhere within the chamber.
  • plasma As gas is flowed into the chamber, plasma is ignited and sustained using radio frequency or microwave energy. This heats up the chamber. Also, for many processes active heaters are used to heat the substrates, which further add to heating of the chamber. Of course, when the processed substrates are removed from the chamber and fresh ones are introduced into the chamber, the chamber cools down until plasma is ignited again. These changes in temperatures cause various parts of the chamber to expand and contract. Parts made of different material would expand and contract at different rates. Also, large parts in large chamber would expand considerably. This is especially true for showerheads of large processing chambers, such as those used for fabrication of flat panel displays or solar cell.
  • Embodiments of the invention provide vacuum processing chambers having provisions for thermal expansion and contraction.
  • Specific embodiments of the invention provide a plasma processing chamber having a showerhead that enables thermal expansion and contraction without imparting structural stress on the chamber body and without breaking any gas seal.
  • a plasma chamber wherein the showerhead assembly anchors the showerhead at one point, while allowing sliding of the shower at other points in order to allow for thermal expansion and contraction.
  • no anchoring is provided, and the showerhead may slide in all directions while maintaining gas seal.
  • a vacuum processing chamber comprising: a chamber body; a showerhead assembly coupled to top portion of the chamber body and comprising: a back plate rigidly attached to the chamber body; a perforated showerhead plate having a plurality of oval holes; and, a plurality of fastening assemblies that slidingly attach the showerhead plate to the back plate to thereby allow sliding of the showerhead plate relative to the back plate while gas seal is maintained between the showerhead plate and the back plate.
  • at least one bolt rigidly attaches the showerhead plate to the back plate.
  • a method for securing a showerhead assembly onto a vacuum processing chamber comprising: fixedly attaching a back plate to the vacuum processing chamber; coupling a perforated showerhead plate to the back plate by using a plurality of fastening assemblies to slidingly attach the perforated showerhead plate to the back plate; and, providing a gas seal between the perforated showerhead plate and the back plate.
  • the method further comprises fixedly attaching at least one location of the perforated showerhead plate to the back plate.
  • FIG. 1 is a schematic illustrating major elements of a plasma processing chamber implementing an embodiment of the invention.
  • FIG. 2 is a cross section illustrating one example of anchoring one side of the showerhead, according to an embodiment of the invention.
  • FIG. 3 is a cross section illustrating one example of floating one side of the showerhead, according to an embodiment of the invention.
  • FIG. 4 is an explosive view illustrating a floating mechanism according to an embodiment of the invention.
  • FIG. 5 is an isometric view of the assembled floating attachment.
  • FIG. 6 is a lower elevation of the cover plate 176 , showing its underside.
  • FIG. 7 illustrates a sliding fastening mechanism according to another embodiment of the invention.
  • FIGS. 8A and 8B are bottom elevation view of the back plate and the showerhead plate according to an embodiment of the invention.
  • FIG. 1 is a schematic illustrating major elements of a plasma processing chamber 100 implementing an embodiment of the invention.
  • the chamber 100 includes a chamber body 102 , generally made of metal, such as aluminum, stainless steel, etc.
  • a pedestal 105 is provided for holding one or more substrates.
  • the pedestal 105 may support a susceptor, a chuck, and/or a heater.
  • the pedestal may be attached to a lift mechanism 115 , so that it is lowered to the illustrated position for substrates loading via the valve 110 , and then lifted up for processing.
  • the chamber has a showerhead assembly that includes a showerhead plate 120 attached to a back plate 125 .
  • the back plate 125 is secured hermetically to the chamber body 102 .
  • Gas is supplied to the showerhead from source 130 , via conduit 135 .
  • the showerhead plate 120 has many perforations that allow the gas to disperse into the chamber.
  • FIG. 2 is a cross section illustrating one example of anchoring one location of the showerhead plate 120 to the back plate 125 , according to an embodiment of the invention.
  • at least one point of the shower plate 120 is anchored to the back plate 125 , such that it is sealed to the back plate 125 to avoid gas leaks, and such that it cannot move relative to the back plate 125 at that point.
  • This point may be, for example, one of the corners or the center of the showerhead plate.
  • the illustration of FIG. 2 shows the example of anchoring at one corner of the showerhead plate.
  • FIG. 3 shows example of the sliding fastening assemblies used to slidingly attach the showerhead to the backplate, according to an embodiment of the invention. That is, in this embodiment, at least the diametrically opposing side of the showerhead plate 120 is attached to the back plate 125 in a floating manner, such that it is sealed to the back plate 125 , but can slide with respect to the back plate 125 at that location. In this manner, expansion and contraction of the showerhead plate 120 is enabled, as it is free to slide with respect to the back plate 125 , while still keeping the seal to it to avoid processing gas escaping from between the showerhead plate and the back plate.
  • an o-ring 140 is provided between the showerhead plate 120 and back plate 125 , so as to provide gas seal between the showerhead plate 120 and the back plate 125 .
  • a Teflon block or spacer 145 is also provided between the showerhead plate 120 and the back plate 125 , such that it maintains a small gap between the showerhead plate 120 and the back plate 125 , enabling sliding motion therebetween.
  • the showerhead plate 120 is securely bolted to the back plate 125 using bolt 150 and washer 155 .
  • a cover 160 is provided to cover the bolt 150 .
  • FIG. 3 illustrate the sliding fastening assembly that is used to attach the showerhead plate 120 to the back plate 125 in a manner providing gas seal but allowing sliding of the showerhead plate 120 for thermal expansion and contraction.
  • a hole 152 having a diameter just sufficient to accommodate the bolt 150 is drilled in the showerhead plate, for the sliding fastening assembly illustrated in FIG. 3 the hole 154 is of oval shape and includes a step 156 .
  • An insert 170 is inserted into the hole 154 , such that it is seated against the step 156 .
  • the insert may be made of ceramic or other material.
  • FIG. 4 is an exploded view of the sliding fastening mechanism according to one embodiment of the invention.
  • the insert 170 has a hole 172 that is of slightly larger diameter than the diameter of the bolt 150 and is oval in shape.
  • the insert 170 includes a key 171 , so that it can be inserted into hole 154 in only one orientation, such that the long axis of the oval hole 172 is in the direction of expansion of the showerhead plate 120 at that location.
  • a sliding plate 173 is provided over the insert 170 .
  • the sliding plate 173 has four oval holes 174 , which are also oriented in the direction of sliding. In each of the holes, a ball 175 is inserted. Each ball 175 can ride freely inside its respective oval hole 174 .
  • a cover plate 176 is provided over the assembly of the sliding plate 173 and balls 175 . It can be appreciated that the insert 170 , sliding plate 173 , balls 175 and cover plate 176 , essentially form a “linear” ball bearing arrangement. Two optional washers 177 , 178 are provided over the cover plate 176 , and a bolt 150 tightens the entire assembly onto the back plate 125 .
  • the showerhead plate 120 can be sealed to the backplate 150 , but also be able to expand by sliding with respect to the backplate 125 .
  • the assembly of showerhead plate 120 and insert 170 “ride” on balls 175 , since the hole 172 provided in the insert 170 is oval in the direction of expansion motion.
  • all of the parts, except for the bolt 150 are made of ceramic, but other materials may be used for the various parts, such as aluminum, anodized aluminum, Teflon, etc.
  • FIG. 5 illustrates the entire sliding fastening arrangement with bolt 150 inserted thorough the washers 177 / 178 , the cover plate 176 , the sliding plate 173 , and the insert 170 .
  • This entire assembly is inserted into the hole 154 , shown in FIG. 3 , and the bolt is tightened so as to form a seal with o-ring 140 , but allow sliding of the showerhead plate 120 , assisted by the Teflon block.
  • FIG. 6 is a lower elevation of the cover plate 176 , showing its underside. As shown in FIG. 6 , according to one embodiment, four races are provided on the bottom face of the cover plate, such that the balls 175 can freely roll in one linear direction dictated by the race.
  • FIG. 7 illustrate a sliding fastening mechanism according to another embodiment of the invention.
  • the sliding fastening mechanism according to this embodiment is similar to that shown in FIGS. 3 and 4 , except that balls 175 are eliminated and the sliding is enables by simple friction.
  • cover 176 “rides” on insert 170 and sliding there-between occurs at interface 175 ′.
  • the surfaces of the cover 176 and insert 170 which form interface 175 ′ are treated to provide reduce friction and avoid or reduce particle generation caused by the sliding. This can be done by, for example, by coating the surfaces with, e.g., Teflon, anodization, etc.
  • a disk made of smooth material, such as Teflon is provided at the interface 175 ′.
  • the showerhead plate is not fixedly attached to the back plate. Rather, a plurality of sliding fastening assemblies are used to attach the showerhead plate to the back plate, so that it is free to expand in all directions. However, the plurality of sliding fastening assemblies are oriented such that the center of the showerhead plate remains at the same location regardless of expansion or contraction of the showerhead plate. This is illustrated in FIGS. 8A and 8B .
  • FIGS. 8A and 8B are bottom elevation views of the back plate 125 (shown in broken line) and the showerhead plate 120 according to an embodiment of the invention.
  • the broken-line arrows illustrate straight-line directions from the center of the showerhead plate, extending radially and passing through the center of each point where a fastening assembly is provided.
  • each of the oval holes 154 of the fastening assembly is oriented such that its long axis lies along the straight line extending radially from the center of the showerhead plate.
  • each of the inserts 170 includes a key, such that when it is inserted into a hole 154 it assumes an orientation so that the long axis of its oval hole 172 is also oriented along the straight line extending radially from the center of the showerhead plate.
  • the showerhead can slide only along the direction of the straight line extending radially from the center of the showerhead plate and passes through that point. Consequently, it can be understood that the showerhead can expand and contract in all directions by sliding or riding on the fastening assemblies, but because of the orientation of the fastening assemblies the center of the showerhead remains at the same location regardless of the expansion and contraction of the showerhead plate. That is, the orientations of the fastening mechanisms prevent any rotation or translation of the showerhead plate, other than radial expansion and contraction.
  • FIG. 8A illustrate the showerhead plate in its expanded position. As shown, the showerhead plate has expanded such that the bolts 150 are on the inner-radial side of the oval holes 154 . Conversely, in FIG. 8B , illustrating the contracting position, the bolts 150 are shown at the outer-radial side of the oval holes 154 .

Abstract

Vacuum processing chambers having provisions for thermal expansion and contraction. Specific embodiments provide a plasma processing chamber having a showerhead that enables thermal expansion and contraction without imparting structural stress on the chamber body and without breaking any vacuum seal.

Description

    RELATED APPLICATIONS
  • This application is a continuation of and claims priority from U.S. Provisional Application Ser. No. 61/285,505, filed on Dec. 10, 2009, the entire content of which is incorporated herein by reference.
  • BACKGROUND
  • 1. Field
  • The invention concerns a vacuum processing apparatus, such as plasma chambers used for etching or forming thin films on substrates or other workpieces.
  • 2. Related Art
  • Manufacturing processes in the fields of semiconductor, flat panel displays, solar panels, etc., involve processing in vacuum chambers. For example, vacuum chambers are used for plasma-enhanced chemical vapor deposition (PECVD), plasma etching and various other processes for forming thin films on substrates (workpieces) and etching structures on the substrates. In such chambers, various gases are flowed into the chamber, either via injectors or via a showerhead. For uniform plasma processing in large chambers, a showerhead is preferable over injectors. The showerhead would generally cover substantially the entire ceiling of the chamber, so that even amount of gas is injected everywhere within the chamber.
  • As gas is flowed into the chamber, plasma is ignited and sustained using radio frequency or microwave energy. This heats up the chamber. Also, for many processes active heaters are used to heat the substrates, which further add to heating of the chamber. Of course, when the processed substrates are removed from the chamber and fresh ones are introduced into the chamber, the chamber cools down until plasma is ignited again. These changes in temperatures cause various parts of the chamber to expand and contract. Parts made of different material would expand and contract at different rates. Also, large parts in large chamber would expand considerably. This is especially true for showerheads of large processing chambers, such as those used for fabrication of flat panel displays or solar cell.
  • SUMMARY
  • The following summary of the invention is included in order to provide a basic understanding of some aspects and features of the invention. This summary is not an extensive overview of the invention and as such it is not intended to particularly identify key or critical elements of the invention or to delineate the scope of the invention. Its sole purpose is to present some concepts of the invention in a simplified form as a prelude to the more detailed description that is presented below.
  • Embodiments of the invention provide vacuum processing chambers having provisions for thermal expansion and contraction. Specific embodiments of the invention provide a plasma processing chamber having a showerhead that enables thermal expansion and contraction without imparting structural stress on the chamber body and without breaking any gas seal.
  • According to an embodiment of the invention, a plasma chamber is provided wherein the showerhead assembly anchors the showerhead at one point, while allowing sliding of the shower at other points in order to allow for thermal expansion and contraction. According to another embodiment, no anchoring is provided, and the showerhead may slide in all directions while maintaining gas seal.
  • According to an embodiment of the invention, a vacuum processing chamber is provided, comprising: a chamber body; a showerhead assembly coupled to top portion of the chamber body and comprising: a back plate rigidly attached to the chamber body; a perforated showerhead plate having a plurality of oval holes; and, a plurality of fastening assemblies that slidingly attach the showerhead plate to the back plate to thereby allow sliding of the showerhead plate relative to the back plate while gas seal is maintained between the showerhead plate and the back plate. According to one embodiment, at least one bolt rigidly attaches the showerhead plate to the back plate.
  • According to an embodiment of the invention, a method for securing a showerhead assembly onto a vacuum processing chamber is provided, comprising: fixedly attaching a back plate to the vacuum processing chamber; coupling a perforated showerhead plate to the back plate by using a plurality of fastening assemblies to slidingly attach the perforated showerhead plate to the back plate; and, providing a gas seal between the perforated showerhead plate and the back plate. According to one embodiment, the method further comprises fixedly attaching at least one location of the perforated showerhead plate to the back plate.
  • Other aspects and features of the invention will become apparent from the description of various embodiments described herein, and which come within the scope and spirit of the invention as claimed in the appended claims.
  • BRIEF DESCRIPTION OF DRAWINGS
  • The accompanying drawings, which are incorporated in and constitute a part of this specification, exemplify the embodiments of the present invention and, together with the description, serve to explain and illustrate principles of the invention. The drawings are intended to illustrate major features of the exemplary embodiments in a diagrammatic manner. The drawings are not intended to depict every feature of actual embodiments nor relative dimensions of the depicted elements, and are not drawn to scale.
  • FIG. 1 is a schematic illustrating major elements of a plasma processing chamber implementing an embodiment of the invention.
  • FIG. 2 is a cross section illustrating one example of anchoring one side of the showerhead, according to an embodiment of the invention.
  • FIG. 3 is a cross section illustrating one example of floating one side of the showerhead, according to an embodiment of the invention.
  • FIG. 4 is an explosive view illustrating a floating mechanism according to an embodiment of the invention.
  • FIG. 5 is an isometric view of the assembled floating attachment.
  • FIG. 6 is a lower elevation of the cover plate 176, showing its underside.
  • FIG. 7 illustrates a sliding fastening mechanism according to another embodiment of the invention.
  • FIGS. 8A and 8B are bottom elevation view of the back plate and the showerhead plate according to an embodiment of the invention.
  • DETAILED DESCRIPTION
  • FIG. 1 is a schematic illustrating major elements of a plasma processing chamber 100 implementing an embodiment of the invention. The chamber 100 includes a chamber body 102, generally made of metal, such as aluminum, stainless steel, etc. A pedestal 105 is provided for holding one or more substrates. The pedestal 105 may support a susceptor, a chuck, and/or a heater. The pedestal may be attached to a lift mechanism 115, so that it is lowered to the illustrated position for substrates loading via the valve 110, and then lifted up for processing. At its top, the chamber has a showerhead assembly that includes a showerhead plate 120 attached to a back plate 125. The back plate 125 is secured hermetically to the chamber body 102. Gas is supplied to the showerhead from source 130, via conduit 135. The showerhead plate 120 has many perforations that allow the gas to disperse into the chamber.
  • FIG. 2 is a cross section illustrating one example of anchoring one location of the showerhead plate 120 to the back plate 125, according to an embodiment of the invention. According to an embodiment of the invention, at least one point of the shower plate 120 is anchored to the back plate 125, such that it is sealed to the back plate 125 to avoid gas leaks, and such that it cannot move relative to the back plate 125 at that point. This point may be, for example, one of the corners or the center of the showerhead plate. The illustration of FIG. 2 shows the example of anchoring at one corner of the showerhead plate.
  • FIG. 3, on the other hand, shows example of the sliding fastening assemblies used to slidingly attach the showerhead to the backplate, according to an embodiment of the invention. That is, in this embodiment, at least the diametrically opposing side of the showerhead plate 120 is attached to the back plate 125 in a floating manner, such that it is sealed to the back plate 125, but can slide with respect to the back plate 125 at that location. In this manner, expansion and contraction of the showerhead plate 120 is enabled, as it is free to slide with respect to the back plate 125, while still keeping the seal to it to avoid processing gas escaping from between the showerhead plate and the back plate.
  • As shown in FIGS. 2 and 3, an o-ring 140 is provided between the showerhead plate 120 and back plate 125, so as to provide gas seal between the showerhead plate 120 and the back plate 125. A Teflon block or spacer 145 is also provided between the showerhead plate 120 and the back plate 125, such that it maintains a small gap between the showerhead plate 120 and the back plate 125, enabling sliding motion therebetween. In FIG. 2, the showerhead plate 120 is securely bolted to the back plate 125 using bolt 150 and washer 155. A cover 160 is provided to cover the bolt 150.
  • FIG. 3 illustrate the sliding fastening assembly that is used to attach the showerhead plate 120 to the back plate 125 in a manner providing gas seal but allowing sliding of the showerhead plate 120 for thermal expansion and contraction. While in FIG. 2 a hole 152 having a diameter just sufficient to accommodate the bolt 150 is drilled in the showerhead plate, for the sliding fastening assembly illustrated in FIG. 3 the hole 154 is of oval shape and includes a step 156. An insert 170 is inserted into the hole 154, such that it is seated against the step 156. The insert may be made of ceramic or other material.
  • FIG. 4 is an exploded view of the sliding fastening mechanism according to one embodiment of the invention. As shown in FIG. 4, the insert 170 has a hole 172 that is of slightly larger diameter than the diameter of the bolt 150 and is oval in shape. Also, as shown in FIG. 4, the insert 170 includes a key 171, so that it can be inserted into hole 154 in only one orientation, such that the long axis of the oval hole 172 is in the direction of expansion of the showerhead plate 120 at that location.
  • A sliding plate 173 is provided over the insert 170. The sliding plate 173 has four oval holes 174, which are also oriented in the direction of sliding. In each of the holes, a ball 175 is inserted. Each ball 175 can ride freely inside its respective oval hole 174. A cover plate 176 is provided over the assembly of the sliding plate 173 and balls 175. It can be appreciated that the insert 170, sliding plate 173, balls 175 and cover plate 176, essentially form a “linear” ball bearing arrangement. Two optional washers 177, 178 are provided over the cover plate 176, and a bolt 150 tightens the entire assembly onto the back plate 125. As can be understood, under proper tightening of the bold 150, the showerhead plate 120 can be sealed to the backplate 150, but also be able to expand by sliding with respect to the backplate 125. When this occurs, the assembly of showerhead plate 120 and insert 170 “ride” on balls 175, since the hole 172 provided in the insert 170 is oval in the direction of expansion motion. In this embodiment, all of the parts, except for the bolt 150, are made of ceramic, but other materials may be used for the various parts, such as aluminum, anodized aluminum, Teflon, etc.
  • FIG. 5 illustrates the entire sliding fastening arrangement with bolt 150 inserted thorough the washers 177/178, the cover plate 176, the sliding plate 173, and the insert 170. This entire assembly is inserted into the hole 154, shown in FIG. 3, and the bolt is tightened so as to form a seal with o-ring 140, but allow sliding of the showerhead plate 120, assisted by the Teflon block.
  • FIG. 6 is a lower elevation of the cover plate 176, showing its underside. As shown in FIG. 6, according to one embodiment, four races are provided on the bottom face of the cover plate, such that the balls 175 can freely roll in one linear direction dictated by the race.
  • FIG. 7 illustrate a sliding fastening mechanism according to another embodiment of the invention. The sliding fastening mechanism according to this embodiment is similar to that shown in FIGS. 3 and 4, except that balls 175 are eliminated and the sliding is enables by simple friction. According to one embodiment, cover 176 “rides” on insert 170 and sliding there-between occurs at interface 175′. According to another embodiment, the surfaces of the cover 176 and insert 170 which form interface 175′ are treated to provide reduce friction and avoid or reduce particle generation caused by the sliding. This can be done by, for example, by coating the surfaces with, e.g., Teflon, anodization, etc. According to yet another embodiment, a disk made of smooth material, such as Teflon, is provided at the interface 175′.
  • According to another embodiment, the showerhead plate is not fixedly attached to the back plate. Rather, a plurality of sliding fastening assemblies are used to attach the showerhead plate to the back plate, so that it is free to expand in all directions. However, the plurality of sliding fastening assemblies are oriented such that the center of the showerhead plate remains at the same location regardless of expansion or contraction of the showerhead plate. This is illustrated in FIGS. 8A and 8B.
  • FIGS. 8A and 8B are bottom elevation views of the back plate 125 (shown in broken line) and the showerhead plate 120 according to an embodiment of the invention. The broken-line arrows illustrate straight-line directions from the center of the showerhead plate, extending radially and passing through the center of each point where a fastening assembly is provided. As illustrated, each of the oval holes 154 of the fastening assembly is oriented such that its long axis lies along the straight line extending radially from the center of the showerhead plate. As explained above, each of the inserts 170 includes a key, such that when it is inserted into a hole 154 it assumes an orientation so that the long axis of its oval hole 172 is also oriented along the straight line extending radially from the center of the showerhead plate. In this manner, at each point where a fastening assembly is provided, the showerhead can slide only along the direction of the straight line extending radially from the center of the showerhead plate and passes through that point. Consequently, it can be understood that the showerhead can expand and contract in all directions by sliding or riding on the fastening assemblies, but because of the orientation of the fastening assemblies the center of the showerhead remains at the same location regardless of the expansion and contraction of the showerhead plate. That is, the orientations of the fastening mechanisms prevent any rotation or translation of the showerhead plate, other than radial expansion and contraction.
  • FIG. 8A illustrate the showerhead plate in its expanded position. As shown, the showerhead plate has expanded such that the bolts 150 are on the inner-radial side of the oval holes 154. Conversely, in FIG. 8B, illustrating the contracting position, the bolts 150 are shown at the outer-radial side of the oval holes 154.
  • While the invention has been described with reference to particular embodiments thereof, it is not limited to those embodiments. Specifically, various variations and modifications may be implemented by those of ordinary skill in the art without departing from the invention's spirit and scope, as defined by the appended claims. Additionally, all of the above-cited prior art references are incorporated herein by reference.

Claims (20)

1. A vacuum processing chamber, comprising:
a chamber body;
a showerhead assembly coupled to top portion of the chamber body and comprising:
a back plate rigidly attached to the chamber body;
a perforated showerhead plate;
a plurality of fastening assemblies slidingly securing the showerhead plate to the back plate such that the showerhead plate may slide with respect to the back plate; and,
wherein gas seal is maintained between the showerhead plate and the back plate.
2. The vacuum processing chamber of claim 1, wherein the perforated showerhead plate comprises a plurality of oval holes at periphery thereof, and wherein each one of the plurality of fastening assemblies is inserted in a respective one of the plurality of oval holes.
3. The vacuum processing chamber of claim 1, wherein the showerhead assembly further comprises an o-ring provided between the showerhead plate and the back plate.
4. The vacuum processing chamber of claim 1, wherein the showerhead assembly further comprises a spacer provided between the showerhead plate and the back plate to thereby maintaining a small gap between the showerhead plate and the back plate.
5. The vacuum processing chamber of claim 1, wherein the showerhead plate further comprises a circular hole and a bolt passing through the circular hole and fixedly securing the showerhead plate to the back plate.
6. The vacuum processing chamber of claim 2, wherein the each of the plurality of oval holes is oriented such that its long axis lies along a straight line extending radially from the center of the showerhead plate and passing through that oval hole.
7. The vacuum processing chamber of claim 6, wherein each of the fastening assembly comprises a key to enable only one orientation when the fastening assembly is seated within its respective oval hole.
8. The vacuum processing chamber of claim 1, wherein each of the fastening assemblies comprises a ball bearing assembly and a bolt passing through the ball bearing assembly.
9. The vacuum processing chamber of claim 8, wherein the ball bearing assembly comprises:
an insert having an oval hole therein;
a sliding plate having a plurality of non-circular holes therein;
a plurality of balls, each for a corresponding one of the non-circular holes;
a cover plate having a circular hole therein.
10. The vacuum processing chamber of claim 9, wherein the insert further comprises a key to orient the insert inside one of the oval holes of the showerhead plate.
11. The vacuum processing chamber of claim 9, wherein at least one of the insert, sliding plate, plurality of balls, and cover plate, is made of ceramic.
12. The vacuum processing chamber of claim 8, further comprising a covering plate for covering the fastening assembly.
13. The vacuum processing chamber of claim 1, wherein each of the fastening assembly comprises:
an insert having an oval hole therein and a sliding surface;
a cover plate having a mating surface facing the sliding surface; and,
a bolt passing through the insert and the cover plate.
14. The vacuum processing chamber of claim 1, wherein each of the fastening assembly further comprises a sliding plate inserted between the insert and the cover plate.
15. The vacuum processing chamber of claim 1, wherein the sliding plate comprises a Teflon plate.
16. A method for securing a showerhead assembly onto a vacuum processing chamber, comprising:
fixedly attaching a back plate to the vacuum processing chamber;
coupling a perforated showerhead plate to the back plate by using a plurality of fastening assemblies to slidingly attach the perforated showerhead plate to the back plate; and,
providing a gas seal between the perforated showerhead plate and the back plate.
17. The method of claim 16, wherein slidingly attaching comprises bolting the perforated showerhead plate to the back plate via a ball bearing assembly.
18. The method of claim 17, further comprising inserting a spacer between the perforated showerhead plate and the back plate.
19. The method of claim 17, further comprising orienting each of the ball bearing assembly such that it is aligned with an imaginary line extending radially from the center of the showerhead plate.
20. The method of claim 16, further comprising fixedly affixing the showerhead plate to the back plate at one point.
US12/965,804 2009-12-10 2010-12-10 Showerhead assembly for vacuum processing apparatus Abandoned US20110139372A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/965,804 US20110139372A1 (en) 2009-12-10 2010-12-10 Showerhead assembly for vacuum processing apparatus

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US28550509P 2009-12-10 2009-12-10
US12/965,804 US20110139372A1 (en) 2009-12-10 2010-12-10 Showerhead assembly for vacuum processing apparatus

Publications (1)

Publication Number Publication Date
US20110139372A1 true US20110139372A1 (en) 2011-06-16

Family

ID=43502633

Family Applications (4)

Application Number Title Priority Date Filing Date
US12/965,791 Active 2031-07-26 US8672603B2 (en) 2009-12-10 2010-12-10 Auto-sequencing inline processing apparatus
US12/965,798 Active 2031-11-17 US8444364B2 (en) 2009-12-10 2010-12-10 Auto-sequencing multi-directional inline processing apparatus
US12/965,804 Abandoned US20110139372A1 (en) 2009-12-10 2010-12-10 Showerhead assembly for vacuum processing apparatus
US13/898,353 Active 2031-08-19 US9287152B2 (en) 2009-12-10 2013-05-20 Auto-sequencing multi-directional inline processing method

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US12/965,791 Active 2031-07-26 US8672603B2 (en) 2009-12-10 2010-12-10 Auto-sequencing inline processing apparatus
US12/965,798 Active 2031-11-17 US8444364B2 (en) 2009-12-10 2010-12-10 Auto-sequencing multi-directional inline processing apparatus

Family Applications After (1)

Application Number Title Priority Date Filing Date
US13/898,353 Active 2031-08-19 US9287152B2 (en) 2009-12-10 2013-05-20 Auto-sequencing multi-directional inline processing method

Country Status (6)

Country Link
US (4) US8672603B2 (en)
EP (3) EP2336389B1 (en)
JP (2) JP5721132B2 (en)
KR (3) KR101732348B1 (en)
CN (3) CN102122610B (en)
TW (3) TWI436831B (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110142573A1 (en) * 2009-12-10 2011-06-16 Wendell Thomas Blonigan Auto-sequencing multi-directional inline processing apparatus
US20110284100A1 (en) * 2010-05-21 2011-11-24 Applied Materials, Inc. Tightly fitted ceramic insulator on large area electrode
WO2021216453A1 (en) * 2020-04-23 2021-10-28 Applied Materials, Inc. Compliance components for semiconductor processing system
US11248293B2 (en) * 2018-08-31 2022-02-15 Tokyo Electron Limited Film-forming apparatus and film-forming method
US20220064799A1 (en) * 2019-01-07 2022-03-03 Ulvac, Inc. Vacuum processing apparatus
US20220081774A1 (en) * 2019-01-07 2022-03-17 Ulvac, Inc. Vacuum processing apparatus and method of cleaning vacuum processing apparatus

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120288355A1 (en) * 2011-05-11 2012-11-15 Ming-Teng Hsieh Method for storing wafers
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
US20130092085A1 (en) * 2011-10-17 2013-04-18 Synos Technology, Inc. Linear atomic layer deposition apparatus
JP5840095B2 (en) * 2011-10-31 2016-01-06 三菱電機株式会社 Solar cell manufacturing apparatus and solar cell manufacturing method
US20130108406A1 (en) * 2011-11-02 2013-05-02 Varian Semiconductor Equipment Associates, Inc. High-throughput workpiece handling
KR101930981B1 (en) * 2011-11-25 2018-12-19 도쿄엘렉트론가부시키가이샤 Processing device group controller, manufacturing process system, processing device group control method, manufacturing optimization system, manufacturing optimization device, and manufacturing optimization method
WO2013086432A2 (en) 2011-12-07 2013-06-13 Intevac, Inc. High throughput load lock for solar wafers
CN102544211B (en) * 2011-12-31 2013-10-30 常州天合光能有限公司 Solar cell etching method and equipment
DE102012100929A1 (en) * 2012-02-06 2013-08-08 Roth & Rau Ag Substrate processing system
US20150295124A1 (en) * 2012-04-02 2015-10-15 Koji Matsumaru Manufacturing equipment for photovoltaic devices and methods
WO2014035346A1 (en) * 2012-08-31 2014-03-06 Semiconductor Technologies & Instruments Pte Ltd Single ultra-planar wafer table structure for both wafers and film frames
KR102064391B1 (en) * 2012-08-31 2020-01-10 삼성디스플레이 주식회사 Substrate processing apparatus
US9111980B2 (en) * 2012-09-04 2015-08-18 Applied Materials, Inc. Gas exhaust for high volume, low cost system for epitaxial silicon deposition
KR102014299B1 (en) * 2013-02-07 2019-08-26 주식회사 원익아이피에스 Object Transfer System and Initialization Method for Positioning of Carrier Therefor
TWI490956B (en) * 2013-03-12 2015-07-01 Shinkawa Kk Flip chip bonder and method of flip chip bonding
CN103266310B (en) * 2013-05-24 2015-05-20 上海和辉光电有限公司 Dispersing plate and film coating device provided with same
EP2854155B1 (en) 2013-09-27 2017-11-08 INDEOtec SA Plasma reactor vessel and assembly, and a method of performing plasma processing
JP2015088694A (en) * 2013-11-01 2015-05-07 株式会社日立ハイテクノロジーズ Vacuum processing apparatus
US9704762B2 (en) * 2014-02-04 2017-07-11 Applied Materials, Inc. Application of in-line glass edge-inspection and alignment check in display manufacturing
KR101613544B1 (en) * 2014-02-13 2016-04-19 주식회사 유진테크 Substrate processing apparatus
US9484243B2 (en) * 2014-04-17 2016-11-01 Lam Research Corporation Processing chamber with features from side wall
US10648927B2 (en) * 2015-05-15 2020-05-12 Taiwan Semiconductor Manufacturing Company Ltd. Method and apparatus for monitoring edge bevel removal area in semiconductor apparatus and electroplating system
JP6753654B2 (en) * 2015-07-14 2020-09-09 株式会社日立ハイテク Plasma processing equipment
US10249521B2 (en) * 2016-03-17 2019-04-02 Lam Research Ag Wet-dry integrated wafer processing system
JP6739201B2 (en) * 2016-03-25 2020-08-12 スピードファム株式会社 Local dry etching equipment
US9892956B1 (en) 2016-10-12 2018-02-13 Lam Research Corporation Wafer positioning pedestal for semiconductor processing
US10573549B2 (en) 2016-12-01 2020-02-25 Lam Research Corporation Pad raising mechanism in wafer positioning pedestal for semiconductor processing
CN110062816B (en) * 2016-10-12 2021-09-07 朗姆研究公司 Pad-lifting mechanism for wafer positioning pedestal for semiconductor processing
KR101855654B1 (en) * 2016-12-23 2018-05-08 주식회사 테스 Large sized showerhead assembly
US20180230597A1 (en) * 2017-02-14 2018-08-16 Applied Materials, Inc. Method and apparatus of remote plasmas flowable cvd chamber
WO2018163572A1 (en) * 2017-03-10 2018-09-13 富士フイルム株式会社 Image-processing system, image-processing device, image-processing method, and image-processing program
CN107887308A (en) * 2017-12-01 2018-04-06 合肥芯欣智能科技有限公司 Full-automatic multi-functional processing equipment
CN107919311A (en) * 2017-12-19 2018-04-17 北京铂阳顶荣光伏科技有限公司 Production line is deposited in solar cell altogether
US10901328B2 (en) 2018-09-28 2021-01-26 Applied Materials, Inc. Method for fast loading substrates in a flat panel tool
CN111385955B (en) * 2018-12-28 2022-08-23 中微半导体设备(上海)股份有限公司 Plasma processor's mounting structure and corresponding plasma processor
US11637030B2 (en) 2019-06-18 2023-04-25 Kla Corporation Multi-stage, multi-zone substrate positioning systems
KR102640172B1 (en) 2019-07-03 2024-02-23 삼성전자주식회사 Processing apparatus for a substrate and method of driving the same
JP2021057364A (en) * 2019-09-26 2021-04-08 シンフォニアテクノロジー株式会社 Carrier system
DE102020103947A1 (en) 2020-02-14 2021-08-19 AIXTRON Ltd. CVD reactor and method of handling a process chamber ceiling plate
CN111471965A (en) * 2020-04-30 2020-07-31 苏州迈正科技有限公司 Conveying carrier plate, vacuum coating equipment and vacuum coating method
CN111519169A (en) * 2020-05-28 2020-08-11 深圳市捷佳伟创新能源装备股份有限公司 Jacking device and material processing equipment
CN114188206B (en) * 2020-09-15 2023-09-29 中微半导体设备(上海)股份有限公司 Plasma processing device and adjusting method of upper electrode assembly of plasma processing device
US11508590B2 (en) * 2021-04-15 2022-11-22 Jnk Tech Substrate inspection system and method of use thereof
US20220230927A1 (en) * 2021-04-15 2022-07-21 Jnk Tech Glass and wafer inspection system and a method of use thereof
CN113757245B (en) * 2021-08-31 2022-05-20 中国科学院西安光学精密机械研究所 Single-limit screw pad suitable for low-temperature optical system and use method thereof
CN115247245B (en) * 2021-11-05 2024-02-02 徐州瑞马智能技术有限公司 Automatic hanging device that trades of steel pipe preliminary treatment material of washing

Citations (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3158086A (en) * 1960-03-21 1964-11-24 Ralph E Weimer Apparatus for charging hamburger patties onto a griddle
USH422H (en) * 1986-04-25 1988-02-02 American Telephone And Telegraph Company, At&T Bell Laboratories Apparatus for inverting articles and method for using same
US4752180A (en) * 1985-02-14 1988-06-21 Kabushiki Kaisha Toshiba Method and apparatus for handling semiconductor wafers
US5178638A (en) * 1990-07-20 1993-01-12 Tokyo Electron Limited Pressure-reduced chamber system having a filter means
JPH0526252A (en) * 1991-07-16 1993-02-02 Kayseven Co Ltd Shaft coupling
US5795399A (en) * 1994-06-30 1998-08-18 Kabushiki Kaisha Toshiba Semiconductor device manufacturing apparatus, method for removing reaction product, and method of suppressing deposition of reaction product
US5855468A (en) * 1995-12-22 1999-01-05 Navistar International Transportation Corp. Method and apparatus for setting foundry core assemblies
US6022178A (en) * 1998-07-20 2000-02-08 The Aerospace Corporation Flexure washer bearing and method
US6036782A (en) * 1997-10-07 2000-03-14 Tokyo Electron Limited Shower head
US6036422A (en) * 1998-07-20 2000-03-14 The Aerospace Corporation Roller washer bearing and method
US6064629A (en) * 1989-09-01 2000-05-16 Quantronix, Inc. Object detection apparatus and method
US6159301A (en) * 1997-12-17 2000-12-12 Asm Japan K.K. Substrate holding apparatus for processing semiconductor
US6210067B1 (en) * 1998-12-14 2001-04-03 The Aerospace Corporation Clip flexure slider washer bearing
US6286230B1 (en) * 1998-07-13 2001-09-11 Applied Komatsu Technology, Inc. Method of controlling gas flow in a substrate processing system
US20020080291A1 (en) * 2000-12-27 2002-06-27 Nobuyuki Takahashi Interback-type substrate processing device
US6435798B1 (en) * 1999-04-09 2002-08-20 Asm Japan K.K. Semiconductor processing apparatus with substrate-supporting mechanism
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US20030003767A1 (en) * 2001-06-29 2003-01-02 Plasmion Corporation High throughput hybrid deposition system and method using the same
US20040082251A1 (en) * 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
US6746198B2 (en) * 1998-05-20 2004-06-08 Applied Materials, Inc. Substrate transfer shuttle
US20040170407A1 (en) * 2003-02-27 2004-09-02 Applied Materials, Inc. Substrate support
US20040197184A1 (en) * 2003-03-19 2004-10-07 Akira Sugiyama Workpiece transport apparatus
US20040206305A1 (en) * 2003-04-16 2004-10-21 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
JP2005016582A (en) * 2003-06-24 2005-01-20 Toshiba Medical System Co Ltd Vertically movable mechanism, and bed
US20050183827A1 (en) * 2004-02-24 2005-08-25 Applied Materials, Inc. Showerhead mounting to accommodate thermal expansion
US6979168B2 (en) * 2002-03-26 2005-12-27 Hitachi High-Technologies Corporation Method and apparatus for transferring substrate
US20060060138A1 (en) * 2004-09-20 2006-03-23 Applied Materials, Inc. Diffuser gravity support
JP2006132579A (en) * 2004-11-02 2006-05-25 Tokyo Electron Ltd Bolt and plasma processing apparatus
US20060124169A1 (en) * 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
US20060177288A1 (en) * 2005-02-09 2006-08-10 Parker N W Multiple loadlocks and processing chamber
US20060197235A1 (en) * 2000-06-08 2006-09-07 Farnworth Warren M Electronic device components including protective layers on surfaces thereof
US20060286193A1 (en) * 2005-05-24 2006-12-21 Takashi Ando Imprint device and microstructure transfer method
US20070181255A1 (en) * 2006-02-06 2007-08-09 Tokyo Electron Limited Gas supply system, substrate processing apparatus and gas supply method
US20080179283A1 (en) * 2007-01-31 2008-07-31 Tokyo Electron Limited Plasma etching method and plasma etching apparatus
US20080233283A1 (en) * 2007-03-22 2008-09-25 Yong-Sup Choi Apparatus for depositing protective layer and depositing method using the apparatus
US20080242085A1 (en) * 2007-03-30 2008-10-02 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
WO2008146705A1 (en) * 2007-05-31 2008-12-04 Advantest Corporation Fixing device of probe card
US20090045182A1 (en) * 2007-08-15 2009-02-19 Lerner Alexander N Pulsed laser anneal system architecture
JP2009174236A (en) * 2008-01-28 2009-08-06 Kuwata:Kk Base isolation and seismic response control auxiliary implement, its use method, and connecting structure
US20100087028A1 (en) * 2008-10-07 2010-04-08 Applied Materials, Inc. Advanced platform for processing crystalline silicon solar cells
US20100203242A1 (en) * 2009-02-06 2010-08-12 Applied Materials, Inc. self-cleaning susceptor for solar cell processing
US20110142573A1 (en) * 2009-12-10 2011-06-16 Wendell Thomas Blonigan Auto-sequencing multi-directional inline processing apparatus
US20110144799A1 (en) * 2007-10-23 2011-06-16 Masato Toshima Processing apparatus and processing method
US20110188974A1 (en) * 2008-07-15 2011-08-04 Ulvac Technologies, Inc. Work-piece transfer systems and methods

Family Cites Families (189)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5647287Y2 (en) 1976-08-23 1981-11-05
JPS57180005A (en) 1981-04-30 1982-11-05 Hitachi Ltd Silicon carbide electric insulator with low dielectric constant
US4490042A (en) 1981-06-04 1984-12-25 Wyatt Philip J Method for determining the properties of wine
JPS57211746A (en) 1981-06-23 1982-12-25 Fujitsu Ltd Wafer conveying apparatus
US4694779A (en) 1984-10-19 1987-09-22 Tetron, Inc. Reactor apparatus for semiconductor wafer processing
JPS61105853A (en) 1984-10-30 1986-05-23 Anelva Corp Autoloader
US4590042A (en) 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
DE3508516A1 (en) 1985-03-09 1986-09-11 Wolfgang 6108 Weiterstadt Köhler Appliance for transferring a disc in the clean room
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
JP2564303B2 (en) 1987-05-08 1996-12-18 株式会社日立製作所 Wafer carrier jig
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
JP2602298B2 (en) 1988-01-30 1997-04-23 日本電気株式会社 Vapor phase growth equipment
US5084125A (en) 1989-09-12 1992-01-28 Matsushita Electric Industrial Co., Ltd. Apparatus and method for producing semiconductor substrate
US5167922A (en) 1990-04-27 1992-12-01 Pb Diagnostic Systems Inc. Assay cartridge
US5136975A (en) 1990-06-21 1992-08-11 Watkins-Johnson Company Injector and method for delivering gaseous chemicals to a surface
JPH05109683A (en) 1991-03-27 1993-04-30 Mitsubishi Materials Corp Removal of metallic impurity in semiconductor silicon wafer cleaning fluid
JP2598353B2 (en) 1991-12-04 1997-04-09 アネルバ株式会社 Substrate processing device, substrate transfer device, and substrate replacement method
JPH0569162U (en) 1992-02-28 1993-09-17 セイコー電子工業株式会社 Cluster type thin film processing equipment with buffer
US5404894A (en) 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
JP3155844B2 (en) * 1992-10-20 2001-04-16 日本真空技術株式会社 High frequency electrode of vacuum processing equipment
JPH06155197A (en) * 1992-11-16 1994-06-03 Pfu Ltd Member feeding system for mixed flow production system
KR100324792B1 (en) 1993-03-31 2002-06-20 히가시 데쓰로 Plasma processing apparatus
US5439524A (en) 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
US5591269A (en) 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
KR950020993A (en) 1993-12-22 1995-07-26 김광호 Semiconductor manufacturing device
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
JP3666512B2 (en) 1994-06-16 2005-06-29 ローム株式会社 Thin plate substrate transfer device
US5486080A (en) 1994-06-30 1996-01-23 Diamond Semiconductor Group, Inc. High speed movement of workpieces in vacuum processing
TW295677B (en) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
US5551327A (en) 1994-08-22 1996-09-03 Hamby; William D. Adjusting means for multi-blade cutting apparatus
JPH0878347A (en) 1994-09-06 1996-03-22 Komatsu Electron Metals Co Ltd Susceptor for epitaxial growth apparatus
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
JP2929948B2 (en) 1994-09-20 1999-08-03 三菱電機株式会社 Probe type test handler and IC testing method using the same
US5885356A (en) 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JP3151364B2 (en) * 1994-12-05 2001-04-03 シャープ株式会社 Method for manufacturing polymer optical waveguide
JP3360098B2 (en) 1995-04-20 2002-12-24 東京エレクトロン株式会社 Shower head structure of processing equipment
JP3165348B2 (en) 1995-05-18 2001-05-14 ワイエイシイ株式会社 Plasma processing apparatus and operation method thereof
TW318258B (en) 1995-12-12 1997-10-21 Tokyo Electron Co Ltd
JP3606979B2 (en) 1995-12-22 2005-01-05 株式会社アルバック Single wafer vacuum processing equipment
US5756155A (en) 1996-01-22 1998-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Combination nozzle and vacuum hood that is self cleaning
US5679055A (en) 1996-05-31 1997-10-21 Memc Electronic Materials, Inc. Automated wafer lapping system
US5996528A (en) 1996-07-02 1999-12-07 Novellus Systems, Inc. Method and apparatus for flowing gases into a manifold at high potential
US5944940A (en) 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5653808A (en) 1996-08-07 1997-08-05 Macleish; Joseph H. Gas injection system for CVD reactors
US6217662B1 (en) 1997-03-24 2001-04-17 Cree, Inc. Susceptor designs for silicon carbide thin films
JPH10321564A (en) 1997-05-20 1998-12-04 Tokyo Seimitsu Co Ltd Wafer recovery device
US5968275A (en) 1997-06-25 1999-10-19 Lam Research Corporation Methods and apparatus for passivating a substrate in a plasma reactor
US6722834B1 (en) 1997-10-08 2004-04-20 Applied Materials, Inc. Robot blade with dual offset wafer supports
JP4346700B2 (en) 1998-01-12 2009-10-21 株式会社半導体エネルギー研究所 Method for manufacturing photoelectric conversion device
US6050506A (en) 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
EP0943699B1 (en) * 1998-02-19 2003-12-17 Applied Films GmbH & Co. KG Load-lock device for transferring substrates in and out of a treatment chamber
US6176668B1 (en) * 1998-05-20 2001-01-23 Applied Komatsu Technology, Inc. In-situ substrate transfer shuttle
US6086362A (en) * 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
US6202589B1 (en) 1998-05-29 2001-03-20 Advanced Micro Devices, Inc. Grounding mechanism which maintains a low resistance electrical ground path between a plate electrode and an etch chamber
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6517691B1 (en) 1998-08-20 2003-02-11 Intevac, Inc. Substrate processing system
EP1127369A1 (en) 1998-10-15 2001-08-29 Applied Materials, Inc. Detection of wafer fragments in a wafer processing apparatus
JP3205304B2 (en) * 1998-10-16 2001-09-04 日本ピラー工業株式会社 Sliding member
US6267839B1 (en) 1999-01-12 2001-07-31 Applied Materials, Inc. Electrostatic chuck with improved RF power distribution
JP4204128B2 (en) 1999-01-18 2009-01-07 東京応化工業株式会社 Substrate transport apparatus and substrate transport method
JP2000223546A (en) * 1999-02-02 2000-08-11 Dainippon Screen Mfg Co Ltd Substrate processor
US6323616B1 (en) 1999-03-15 2001-11-27 Berkeley Process Control, Inc. Self teaching robotic wafer handling system
JP2000290777A (en) 1999-04-07 2000-10-17 Tokyo Electron Ltd Gas treating device, buffle member, and gas treating method
JP3965258B2 (en) 1999-04-30 2007-08-29 日本碍子株式会社 Ceramic gas supply structure for semiconductor manufacturing equipment
US6486444B1 (en) * 1999-06-03 2002-11-26 Applied Materials, Inc. Load-lock with external staging area
US6156124A (en) 1999-06-18 2000-12-05 Applied Materials, Inc. Wafer transfer station for a chemical mechanical polisher
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6556715B1 (en) * 1999-10-29 2003-04-29 Unisys Corporation Method for CCITT compression of image data
US6558509B2 (en) 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
JP4526151B2 (en) 2000-01-28 2010-08-18 キヤノンアネルバ株式会社 Substrate transfer device for substrate processing apparatus
WO2001075188A2 (en) 2000-03-30 2001-10-11 Tokyo Electron Limited Method of and apparatus for gas injection
JP2001284258A (en) 2000-03-30 2001-10-12 Hitachi Kokusai Electric Inc Semiconductor production system
US6502530B1 (en) 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
KR100332314B1 (en) 2000-06-24 2002-04-12 서성기 Reactor for depositing thin film on wafer
JP2002045683A (en) * 2000-08-08 2002-02-12 Hitachi Kokusai Electric Inc Substrate processing device
US6302965B1 (en) 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
TWI246382B (en) * 2000-11-08 2005-12-21 Orbotech Ltd Multi-layer printed circuit board fabrication system and method
KR100516844B1 (en) 2001-01-22 2005-09-26 동경 엘렉트론 주식회사 Device and method for treatment
JP2002256439A (en) * 2001-03-06 2002-09-11 Hitachi Kokusai Electric Inc Substrate treatment apparatus
KR100421036B1 (en) 2001-03-13 2004-03-03 삼성전자주식회사 Wafer processing apparatus and wafer processing method using the same
JP2002270880A (en) 2001-03-14 2002-09-20 Shin Etsu Handotai Co Ltd Solar battery module and its manufacturing method
JP4222589B2 (en) 2001-03-26 2009-02-12 キヤノンアネルバ株式会社 Substrate transport apparatus and substrate processing apparatus using the same
JP2003007682A (en) 2001-06-25 2003-01-10 Matsushita Electric Ind Co Ltd Electrode member for plasma treatment apparatus
US6592679B2 (en) 2001-07-13 2003-07-15 Asyst Technologies, Inc. Clean method for vacuum holding of substrates
JP2003028142A (en) 2001-07-19 2003-01-29 Konica Corp Positioning mechanism and image forming device
TWI224815B (en) 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
JP2003059999A (en) 2001-08-14 2003-02-28 Tokyo Electron Ltd Treating system
CN1996552B (en) 2001-08-31 2012-09-05 克罗辛自动化公司 Wafer engine
JP4061044B2 (en) * 2001-10-05 2008-03-12 住友重機械工業株式会社 Substrate moving device
US6719517B2 (en) 2001-12-04 2004-04-13 Brooks Automation Substrate processing apparatus with independently configurable integral load locks
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6586886B1 (en) 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US6793733B2 (en) 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US20040060514A1 (en) 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
JP2003258058A (en) 2002-02-27 2003-09-12 Anelva Corp Substrate treatment device operating method
JP2003282462A (en) 2002-03-27 2003-10-03 Kyocera Corp Shower plate and method of manufacturing the same, and shower head using the same
JP2003338492A (en) 2002-05-21 2003-11-28 Tokyo Electron Ltd Plasma processing system
WO2003100848A1 (en) * 2002-05-23 2003-12-04 Anelva Corporation Substrate processing device and substrate processing method
US7217336B2 (en) 2002-06-20 2007-05-15 Tokyo Electron Limited Directed gas injection apparatus for semiconductor processing
US6902647B2 (en) 2002-08-29 2005-06-07 Asm International N.V. Method of processing substrates with integrated weighing steps
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
TWI601199B (en) * 2002-11-15 2017-10-01 荏原製作所股份有限公司 Apparatus for substrate processing and method for substrate processing
JP3886046B2 (en) 2002-12-18 2007-02-28 シャープ株式会社 Plasma CVD apparatus, film forming method using the same, and semiconductor device manufacturing method
US7270713B2 (en) 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
JP2004327761A (en) * 2003-04-25 2004-11-18 Sumitomo Mitsubishi Silicon Corp Susceptor for epitaxial growth
US7010388B2 (en) 2003-05-22 2006-03-07 Axcelis Technologies, Inc. Work-piece treatment system having load lock and buffer
JP4517595B2 (en) 2003-06-26 2010-08-04 東京エレクトロン株式会社 Method for transporting workpieces
US20050011447A1 (en) 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
KR100999104B1 (en) * 2003-10-01 2010-12-07 삼성전자주식회사 Conveyor Apparatus For Conveying Substrates
US7827930B2 (en) 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7214027B2 (en) 2003-10-16 2007-05-08 Varian Semiconductor Equipment Associates, Inc. Wafer handler method and system
US8403613B2 (en) * 2003-11-10 2013-03-26 Brooks Automation, Inc. Bypass thermal adjuster for vacuum semiconductor processing
US20070282480A1 (en) * 2003-11-10 2007-12-06 Pannese Patrick D Methods and systems for controlling a semiconductor fabrication process
JP4739024B2 (en) * 2003-12-04 2011-08-03 三星ダイヤモンド工業株式会社 Substrate processing method, substrate processing apparatus, substrate transport mechanism, and substrate separation apparatus
JP2005183834A (en) 2003-12-22 2005-07-07 Toshiba Ceramics Co Ltd Barrel type susceptor
US7892357B2 (en) 2004-01-12 2011-02-22 Axcelis Technologies, Inc. Gas distribution plate assembly for plasma reactors
JP2005211865A (en) 2004-02-02 2005-08-11 Masato Toshima Plasma processing apparatus
JP4707959B2 (en) 2004-02-20 2011-06-22 日本エー・エス・エム株式会社 Shower plate, plasma processing apparatus and plasma processing method
US7905960B2 (en) * 2004-03-24 2011-03-15 Jusung Engineering Co., Ltd. Apparatus for manufacturing substrate
JP4349952B2 (en) * 2004-03-24 2009-10-21 京セラ株式会社 Wafer support member and manufacturing method thereof
US7290978B2 (en) * 2004-06-09 2007-11-06 N&K Technology Inc. Photomask flipper and single direction inspection device for dual side photomask inspection
KR101023725B1 (en) 2004-06-29 2011-03-25 엘지디스플레이 주식회사 A Robot for transfer of glass
JP2006049544A (en) 2004-08-04 2006-02-16 Canon Anelva Corp Substrate processing apparatus and substrate processing method using same
JP2006054284A (en) * 2004-08-11 2006-02-23 Shimadzu Corp Vacuum processing apparatus
JP2006058769A (en) 2004-08-23 2006-03-02 Sony Corp Collapsible mount type lens barrel and imaging apparatus
US20060137609A1 (en) 2004-09-13 2006-06-29 Puchacz Jerzy P Multi-single wafer processing apparatus
TWI287279B (en) * 2004-09-20 2007-09-21 Applied Materials Inc Diffuser gravity support
JP2006173560A (en) 2004-11-16 2006-06-29 Sumitomo Electric Ind Ltd Wafer guide, metal organic vapor phase growing device and method for depositing nitride semiconductor
KR100747735B1 (en) 2005-05-13 2007-08-09 주식회사 테스 Semiconductor manufacturing apparatus
US20070017445A1 (en) * 2005-07-19 2007-01-25 Takako Takehara Hybrid PVD-CVD system
JP2007112626A (en) * 2005-09-20 2007-05-10 Olympus Corp Substrate carrying device, substrate inspection device and substrate carrying method
JP2007123684A (en) 2005-10-31 2007-05-17 Masato Toshima Substrate treatment device
US20070119393A1 (en) 2005-11-28 2007-05-31 Ashizawa Kengo Vacuum processing system
US20070151516A1 (en) 2006-01-03 2007-07-05 Law Kam S Chemical vapor deposition apparatus and electrode plate thereof
WO2007084124A1 (en) 2006-01-18 2007-07-26 Applied Materials, Inc. Sensors for dynamically detecting substrate breakage and misalignment of a moving substrate
JP4915985B2 (en) 2006-02-06 2012-04-11 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
JP2007242648A (en) 2006-03-04 2007-09-20 Masato Toshima Substrate processing apparatus
US8268078B2 (en) 2006-03-16 2012-09-18 Tokyo Electron Limited Method and apparatus for reducing particle contamination in a deposition system
TWI476855B (en) 2006-05-03 2015-03-11 Gen Co Ltd Substrate transferring apparatus and high speed substrate processing system using the same
JP4018120B2 (en) 2006-05-12 2007-12-05 シャープ株式会社 Droplet discharge drawing device
EP1855324A1 (en) 2006-05-12 2007-11-14 Applied Materials GmbH & Co. KG Substrate made of glass ceramic
KR101346081B1 (en) * 2006-06-20 2013-12-31 참엔지니어링(주) Plasma etching chamber
US20080066683A1 (en) 2006-09-19 2008-03-20 General Electric Company Assembly with Enhanced Thermal Uniformity and Method For Making Thereof
JP2008078095A (en) * 2006-09-25 2008-04-03 Tokki Corp Connecting structure for vacuum plasma apparatus
US7482550B2 (en) 2006-10-16 2009-01-27 Lam Research Corporation Quartz guard ring
US7854820B2 (en) 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
TW200900210A (en) 2006-11-09 2009-01-01 Ihi Corp Frog-leg arm robot and control method thereof
CN101528571A (en) * 2006-12-05 2009-09-09 株式会社岛津制作所 Pallet conveyance device and substrate inspection device
US7949425B2 (en) 2006-12-06 2011-05-24 Axcelis Technologies, Inc. High throughput wafer notch aligner
US20080138178A1 (en) 2006-12-06 2008-06-12 Axcelis Technologies,Inc. High throughput serial wafer handling end station
DE112008000169T5 (en) 2007-01-12 2010-01-14 Veeco Instruments Inc. Gas Conditioning Systems
JP2008205219A (en) 2007-02-20 2008-09-04 Masato Toshima Showerhead, and cvd apparatus using the same showerhead
WO2008106812A1 (en) * 2007-03-02 2008-09-12 Oerlikon Trading Ag, Trübbach Vacuum coating apparatus
US8408858B2 (en) 2007-08-30 2013-04-02 Ascentool International Limited Substrate processing system having improved substrate transport system
US7806641B2 (en) * 2007-08-30 2010-10-05 Ascentool, Inc. Substrate processing system having improved substrate transport system
GB0717489D0 (en) 2007-09-08 2007-10-17 Design Factor Ni The Ltd A Glass breaking device
US20090095222A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US20090095221A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
WO2009119580A1 (en) * 2008-03-25 2009-10-01 Toshima Masato Processing apparatus and processing method
EP2207909B1 (en) * 2007-10-24 2012-08-29 OC Oerlikon Balzers AG Method for manufacturing workpieces and apparatus
CN104008987B (en) * 2007-11-15 2018-01-30 株式会社尼康 Mask cassette, carrying device, exposure device, mask transfer method and device making method
US8876024B2 (en) 2008-01-10 2014-11-04 Applied Materials, Inc. Heated showerhead assembly
DE102008009090B3 (en) * 2008-02-14 2009-06-04 MAG Industrial Automation Systems, LLC., Sterling Heights Loading and unloading system for machine tools
JP5434910B2 (en) * 2008-03-27 2014-03-05 株式会社ニコン Joining apparatus and joining method
JP4472005B2 (en) * 2008-04-24 2010-06-02 キヤノンアネルバ株式会社 Vacuum processing apparatus and vacuum processing method
WO2009130790A1 (en) * 2008-04-25 2009-10-29 キヤノンアネルバ株式会社 Tray transfer type inline film forming apparatus
TWI495402B (en) 2008-10-09 2015-08-01 Applied Materials Inc Plasma processing chamber having rf return path
US20100136261A1 (en) 2008-12-03 2010-06-03 Applied Materials, Inc. Modulation of rf returning straps for uniformity control
TWI366546B (en) 2009-01-09 2012-06-21 Chimei Innolux Corp Transmission apparatus
KR101593460B1 (en) 2009-02-04 2016-02-12 어플라이드 머티어리얼스, 인코포레이티드 Ground return for plasma processes
US8287648B2 (en) * 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
US8246284B2 (en) * 2009-03-05 2012-08-21 Applied Materials, Inc. Stacked load-lock apparatus and method for high throughput solar cell manufacturing
JP3151364U (en) * 2009-04-09 2009-06-18 株式会社島津製作所 Plasma chemical vapor deposition equipment
ITUD20090214A1 (en) 2009-11-24 2011-05-25 Applied Materials Inc EXTREME EFFECT FOR HANDLING SUBSTRATES
TWM366667U (en) 2009-05-25 2009-10-11 Aidc Aerospace Ind Dev Corp Auto movement and inspection device for solar energy panel
CN105088191B (en) 2009-07-15 2018-07-13 应用材料公司 The fluid control features structure of CVD chambers
US8454850B2 (en) 2009-09-02 2013-06-04 Air Products And Chemicals, Inc. Method for the removal of surface oxides by electron attachment
EP2482784B8 (en) 2009-09-28 2013-09-11 Manfred Schwaiger-Shah Device which permits slimming by improving blood flow in the abdomen area
TWI430714B (en) 2009-10-15 2014-03-11 Orbotech Lt Solar Llc Showerhead assembly for plasma processing chamber and method for fabricating gas ionization plate thereof
KR101329303B1 (en) * 2010-06-17 2013-11-20 세메스 주식회사 Substrates processing apparatus for loading/unloading of substrates
US20110315081A1 (en) 2010-06-25 2011-12-29 Law Kam S Susceptor for plasma processing chamber
US20120267049A1 (en) 2011-04-25 2012-10-25 Craig Lyle Stevens Grounding assembly for vacuum processing apparatus
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
KR20120131105A (en) 2011-05-24 2012-12-04 오보텍 엘티 솔라 엘엘씨 Broken wafer recovery system
WO2014035768A1 (en) 2012-08-30 2014-03-06 Orbotech Lt Solar, Inc. System, architecture and method for simultaneous transfer and process of substrates

Patent Citations (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3158086A (en) * 1960-03-21 1964-11-24 Ralph E Weimer Apparatus for charging hamburger patties onto a griddle
US4752180A (en) * 1985-02-14 1988-06-21 Kabushiki Kaisha Toshiba Method and apparatus for handling semiconductor wafers
USH422H (en) * 1986-04-25 1988-02-02 American Telephone And Telegraph Company, At&T Bell Laboratories Apparatus for inverting articles and method for using same
US6064629A (en) * 1989-09-01 2000-05-16 Quantronix, Inc. Object detection apparatus and method
US5178638A (en) * 1990-07-20 1993-01-12 Tokyo Electron Limited Pressure-reduced chamber system having a filter means
JPH0526252A (en) * 1991-07-16 1993-02-02 Kayseven Co Ltd Shaft coupling
US5795399A (en) * 1994-06-30 1998-08-18 Kabushiki Kaisha Toshiba Semiconductor device manufacturing apparatus, method for removing reaction product, and method of suppressing deposition of reaction product
US5855468A (en) * 1995-12-22 1999-01-05 Navistar International Transportation Corp. Method and apparatus for setting foundry core assemblies
US6036782A (en) * 1997-10-07 2000-03-14 Tokyo Electron Limited Shower head
US6159301A (en) * 1997-12-17 2000-12-12 Asm Japan K.K. Substrate holding apparatus for processing semiconductor
US6746198B2 (en) * 1998-05-20 2004-06-08 Applied Materials, Inc. Substrate transfer shuttle
US6286230B1 (en) * 1998-07-13 2001-09-11 Applied Komatsu Technology, Inc. Method of controlling gas flow in a substrate processing system
US6036422A (en) * 1998-07-20 2000-03-14 The Aerospace Corporation Roller washer bearing and method
US6022178A (en) * 1998-07-20 2000-02-08 The Aerospace Corporation Flexure washer bearing and method
US6210067B1 (en) * 1998-12-14 2001-04-03 The Aerospace Corporation Clip flexure slider washer bearing
US6435798B1 (en) * 1999-04-09 2002-08-20 Asm Japan K.K. Semiconductor processing apparatus with substrate-supporting mechanism
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US20060197235A1 (en) * 2000-06-08 2006-09-07 Farnworth Warren M Electronic device components including protective layers on surfaces thereof
US20020080291A1 (en) * 2000-12-27 2002-06-27 Nobuyuki Takahashi Interback-type substrate processing device
US20030003767A1 (en) * 2001-06-29 2003-01-02 Plasmion Corporation High throughput hybrid deposition system and method using the same
US6979168B2 (en) * 2002-03-26 2005-12-27 Hitachi High-Technologies Corporation Method and apparatus for transferring substrate
US20040082251A1 (en) * 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
US20040170407A1 (en) * 2003-02-27 2004-09-02 Applied Materials, Inc. Substrate support
US20040197184A1 (en) * 2003-03-19 2004-10-07 Akira Sugiyama Workpiece transport apparatus
US7128516B2 (en) * 2003-03-19 2006-10-31 Sharp Kabushiki Kaisha Workpiece transport apparatus
US20040206305A1 (en) * 2003-04-16 2004-10-21 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
JP2005016582A (en) * 2003-06-24 2005-01-20 Toshiba Medical System Co Ltd Vertically movable mechanism, and bed
US20050183827A1 (en) * 2004-02-24 2005-08-25 Applied Materials, Inc. Showerhead mounting to accommodate thermal expansion
US20060060138A1 (en) * 2004-09-20 2006-03-23 Applied Materials, Inc. Diffuser gravity support
JP2006132579A (en) * 2004-11-02 2006-05-25 Tokyo Electron Ltd Bolt and plasma processing apparatus
US20060124169A1 (en) * 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
US20060177288A1 (en) * 2005-02-09 2006-08-10 Parker N W Multiple loadlocks and processing chamber
US20060286193A1 (en) * 2005-05-24 2006-12-21 Takashi Ando Imprint device and microstructure transfer method
US20070181255A1 (en) * 2006-02-06 2007-08-09 Tokyo Electron Limited Gas supply system, substrate processing apparatus and gas supply method
US20080179283A1 (en) * 2007-01-31 2008-07-31 Tokyo Electron Limited Plasma etching method and plasma etching apparatus
US20080233283A1 (en) * 2007-03-22 2008-09-25 Yong-Sup Choi Apparatus for depositing protective layer and depositing method using the apparatus
US20080242085A1 (en) * 2007-03-30 2008-10-02 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
WO2008146705A1 (en) * 2007-05-31 2008-12-04 Advantest Corporation Fixing device of probe card
US20090045182A1 (en) * 2007-08-15 2009-02-19 Lerner Alexander N Pulsed laser anneal system architecture
US20110144799A1 (en) * 2007-10-23 2011-06-16 Masato Toshima Processing apparatus and processing method
JP2009174236A (en) * 2008-01-28 2009-08-06 Kuwata:Kk Base isolation and seismic response control auxiliary implement, its use method, and connecting structure
US20110188974A1 (en) * 2008-07-15 2011-08-04 Ulvac Technologies, Inc. Work-piece transfer systems and methods
US20100087028A1 (en) * 2008-10-07 2010-04-08 Applied Materials, Inc. Advanced platform for processing crystalline silicon solar cells
US20100203242A1 (en) * 2009-02-06 2010-08-12 Applied Materials, Inc. self-cleaning susceptor for solar cell processing
US20110142573A1 (en) * 2009-12-10 2011-06-16 Wendell Thomas Blonigan Auto-sequencing multi-directional inline processing apparatus
US20110142572A1 (en) * 2009-12-10 2011-06-16 Wendell Thomas Blonigan Auto-sequencing inline processing apparatus

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8672603B2 (en) 2009-12-10 2014-03-18 Orbotech LT Solar, LLC. Auto-sequencing inline processing apparatus
US20110142572A1 (en) * 2009-12-10 2011-06-16 Wendell Thomas Blonigan Auto-sequencing inline processing apparatus
US20110142573A1 (en) * 2009-12-10 2011-06-16 Wendell Thomas Blonigan Auto-sequencing multi-directional inline processing apparatus
US8444364B2 (en) 2009-12-10 2013-05-21 Orbotech LT Solar, LLC. Auto-sequencing multi-directional inline processing apparatus
US9827578B2 (en) 2010-05-21 2017-11-28 Applied Materials, Inc. Tightly fitted ceramic insulator on large area electrode
US9068262B2 (en) * 2010-05-21 2015-06-30 Applied Materials, Inc. Tightly fitted ceramic insulator on large area electrode
US20110284100A1 (en) * 2010-05-21 2011-11-24 Applied Materials, Inc. Tightly fitted ceramic insulator on large area electrode
US11248293B2 (en) * 2018-08-31 2022-02-15 Tokyo Electron Limited Film-forming apparatus and film-forming method
US20220064799A1 (en) * 2019-01-07 2022-03-03 Ulvac, Inc. Vacuum processing apparatus
US20220081774A1 (en) * 2019-01-07 2022-03-17 Ulvac, Inc. Vacuum processing apparatus and method of cleaning vacuum processing apparatus
US11901162B2 (en) * 2019-01-07 2024-02-13 Ulvac, Inc. Vacuum processing apparatus and method of cleaning vacuum processing apparatus
WO2021216453A1 (en) * 2020-04-23 2021-10-28 Applied Materials, Inc. Compliance components for semiconductor processing system
TWI790603B (en) * 2020-04-23 2023-01-21 美商應用材料股份有限公司 Compliance components for semiconductor processing system
US11626303B2 (en) 2020-04-23 2023-04-11 Applied Materials, Inc. Compliance components for semiconductor processing system

Also Published As

Publication number Publication date
CN102094188B (en) 2015-02-18
EP2333813B1 (en) 2021-02-24
TWI436831B (en) 2014-05-11
EP2333813A3 (en) 2015-05-20
JP5721132B2 (en) 2015-05-20
US8444364B2 (en) 2013-05-21
KR101814202B1 (en) 2018-01-02
EP2333814A2 (en) 2011-06-15
JP2011124579A (en) 2011-06-23
TW201127496A (en) 2011-08-16
US20110142573A1 (en) 2011-06-16
KR101732348B1 (en) 2017-05-04
KR101730322B1 (en) 2017-05-11
CN102122610A (en) 2011-07-13
EP2333814A3 (en) 2015-05-27
TW201140733A (en) 2011-11-16
US9287152B2 (en) 2016-03-15
CN102094188A (en) 2011-06-15
EP2336389A1 (en) 2011-06-22
US8672603B2 (en) 2014-03-18
CN102122609B (en) 2015-08-19
TWI417984B (en) 2013-12-01
JP5835722B2 (en) 2015-12-24
EP2333813A2 (en) 2011-06-15
EP2336389B1 (en) 2014-05-21
EP2333814B1 (en) 2021-02-03
CN102122610B (en) 2016-06-15
KR20110066111A (en) 2011-06-16
JP2011137228A (en) 2011-07-14
KR20110066113A (en) 2011-06-16
TW201131683A (en) 2011-09-16
TWI485799B (en) 2015-05-21
CN102122609A (en) 2011-07-13
US20130294678A1 (en) 2013-11-07
KR20110066107A (en) 2011-06-16
US20110142572A1 (en) 2011-06-16

Similar Documents

Publication Publication Date Title
US20110139372A1 (en) Showerhead assembly for vacuum processing apparatus
US8721791B2 (en) Showerhead support structure for improved gas flow
JP3480271B2 (en) Shower head structure of heat treatment equipment
US20060266852A1 (en) Shower head
KR20090069826A (en) System for treatmenting substrate
US20140311411A1 (en) Showerhead having cooling system and substrate processing apparatus including the showerhead
KR101929192B1 (en) Showerhead support structures
JP2006515039A (en) Gas distribution plate assembly for large area plasma chemical vapor deposition
JP2015007289A (en) Pecvd process chamber backing plate reinforcement
US20090071406A1 (en) Cooled backing plate
TWI697037B (en) Processing apparatus
KR102167800B1 (en) Cathode electrode plate assembly and fastening method thereof
US9159591B2 (en) Batch type apparatus for manufacturing semiconductor devices
JP2023055713A (en) Gas diffuser attachment plate for reducing occurrence of particle
KR20110049986A (en) Substrate processing apparatus
KR20210137631A (en) Vacuum chuck, substrate processing apparatus having the same and method of manufacturing vacuum chuck
TW550727B (en) Semiconductor device fabrication apparatus having multi-hole angled gas injection system
KR20110049988A (en) Substrate processing apparatus
CN220356973U (en) Heater heat testing device
KR101080637B1 (en) Gas injecting device for substrate processing apparatus
WO2021247946A1 (en) Removable showerhead faceplate for semiconductor processing tools
KR20080006980A (en) Substrate processing apparatus improving temperature gradient between chamber and exhaust line
JPH03228320A (en) Thin film forming device
KR20050080326A (en) Shower head used for semiconductor device process

Legal Events

Date Code Title Description
AS Assignment

Owner name: ORBOTECH LT SOLAR, LLC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:BLONIGAN, WENDELL THOMAS;RENTA, MICHAEL ALLEN;SIGNING DATES FROM 20101208 TO 20101209;REEL/FRAME:025492/0767

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION