US20110100295A1 - System and method for forming an integrated barrier layer - Google Patents

System and method for forming an integrated barrier layer Download PDF

Info

Publication number
US20110100295A1
US20110100295A1 US12/987,962 US98796211A US2011100295A1 US 20110100295 A1 US20110100295 A1 US 20110100295A1 US 98796211 A US98796211 A US 98796211A US 2011100295 A1 US2011100295 A1 US 2011100295A1
Authority
US
United States
Prior art keywords
gas distribution
gas
distribution zone
zone
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/987,962
Inventor
Ming Xi
Michael Yang
Hui Zhang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US12/987,962 priority Critical patent/US20110100295A1/en
Publication of US20110100295A1 publication Critical patent/US20110100295A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: XI, MING, YANG, MICHAEL, ZHANG, HUI
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/75Electrodes comprising two or more layers, e.g. comprising a barrier layer and a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/84Electrodes with an enlarged surface, e.g. formed by texturisation being a rough surface, e.g. using hemispherical grains
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions

Definitions

  • Embodiments of the present invention generally relate to a method of barrier layer formation and, more particularly to a method of integrated barrier layer formation using both cyclical deposition techniques and chemical vapor deposition techniques.
  • contact level metallization schemes are often used to provide low resistance contacts to an underlying semiconductor material.
  • contact level metallization schemes combine an integrated barrier layer with a contact level metal layer.
  • an integrated barrier layer e.g., titanium nitride/tungsten (TiN/W)
  • TiN/W titanium nitride/tungsten
  • the contact level metal layer e.g., aluminum (Al) or copper (Cu)
  • the integrated barrier layer inhibits the diffusion of the aluminum (Al) or copper (Cu) into the polysilicon gate material.
  • aluminum (Al) or copper (Cu) diffusion is undesirable because it potentially changes the characteristics of the transistor, rendering the transistor inoperable.
  • the integrated barrier layer typically comprises two different material layers. Each of the material layers is typically formed using a separate process chamber. For example, separate deposition chambers may be used for depositing the titanium nitride (TiN) layer and the tungsten (W) layer comprising a titanium nitride/tungsten (TiN/W) integrated barrier layer.
  • the separate deposition chambers may include, for example, physical vapor deposition (PVD) chambers and/or chemical vapor deposition (CVD) chambers.
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • the widths of integrated circuit features such as, for example, gate electrodes
  • the thickness of material layers between such features typically remains substantially constant, increasing the aspect ratios therefor.
  • aspect ratio refers to the ratio of the feature height divided by the feature width.
  • Many traditional deposition processes have difficulty filling sub-micron features where the aspect ratio exceeds 8:1, and especially where the aspect ratio exceeds 10:1.
  • FIG. 1 illustrates the possible consequences of material layer deposition in a high aspect ratio feature 6 formed on a substrate 1 .
  • the high aspect ratio feature 6 may be any opening such as a space formed between adjacent features 2 , a contact, a via, or a trench defined in a material layer.
  • a material layer 11 that is formed using conventional deposition techniques (e.g., chemical vapor deposition (CVD) and/or physical vapor deposition (PVD)) tends to be deposited on the top edges 6 T of the feature 6 at a higher rate than at the bottom 6 B or sides 6 S thereof creating an overhang.
  • This overhang or excess deposition of material is sometimes referred to as crowning.
  • Such excess material continues to build up on the top edges 6 T of the feature 6 , until the opening is closed off by the deposited material 11 , forming a void 4 therein.
  • the presence of voids may result in unreliable integrated circuit performance.
  • the apparatus includes a process chamber, and a dual-mode gas distribution plate disposed within the process chamber.
  • the dual-mode gas distribution plate comprises a first gas distribution zone disposed in a center of the gas distribution plate, and a second gas distribution zone surrounding the first gas distribution zone, the second gas distribution zone being fluidly isolated from the first gas distribution zone, wherein the first gas distribution zone is coupled to a valve system to deliver sequential pulses of a first gas to the first gas distribution zone to perform a cyclical deposition process, and the second gas distribution zone is in communication with a flow controller to deliver a second gas to perform a chemical vapor deposition process.
  • an apparatus for processing a substrate includes a process chamber, and a dual-mode gas distribution plate disposed within the process chamber.
  • the dual-mode gas distribution plate comprises a first gas distribution zone having an opening in a center of the gas distribution plate and a second gas distribution zone having a plurality of openings surrounding the opening in the center of the gas distribution plate, the second gas distribution zone being fluidly isolated from the first gas distribution zone.
  • the first gas distribution zone is coupled to an electronic valve to deliver sequential pulses of a first gas to the first gas distribution zone to perform a cyclical deposition process, and the second gas distribution zone is in communication with a flow controller to deliver a second gas to perform a chemical vapor deposition process.
  • an apparatus for processing a substrate includes a process chamber, and a dual-mode gas distribution plate disposed within the process chamber.
  • the dual-mode gas distribution plate comprises a first gas distribution zone having an opening in a center of the gas distribution plate, and a second gas distribution zone having a plurality of openings radially dispersed around the opening in the center of the gas distribution plate, the second gas distribution zone being fluidly isolated from the first gas distribution zone.
  • the first gas distribution zone is coupled to an electronic valve to deliver sequential pulses of a tungsten containing gas and a reducing gas to the first gas distribution zone to perform a cyclical deposition process
  • the second gas distribution zone is in communication with a flow controller to deliver a second gas comprising a titanium containing precursor gas to perform a chemical vapor deposition process.
  • FIG. 1 is a cross-sectional view of one possible deposition result for high aspect ratio features filled using conventional prior art deposition techniques
  • FIGS. 2A-2B depicts a schematic cross-sectional view of a process chamber and a top view of a gas distribution plate that can be used for the practice of embodiments described herein;
  • FIG. 3 is a block diagram of a gas delivery system for the gas distribution plate shown in FIG. 2B ;
  • FIG. 4 illustrates a process sequence for integrated barrier layer formation
  • FIG. 5 illustrates a process sequence for material layer formation using cyclical deposition techniques according to one embodiment described herein;
  • FIG. 6 illustrates a process sequence for material layer formation using cyclical deposition techniques according to an alternate embodiment described herein;
  • FIGS. 7A-7C illustrate schematic cross-sectional views of a substrate at different stages of an interconnect fabrication sequence
  • FIGS. 8A-8C illustrate schematic cross-sectional views of a substrate at different stages of a gate electrode fabrication sequence
  • FIGS. 9A-9D illustrate schematic cross-sectional views of a substrate at different stages of a trench capacitor fabrication sequence
  • FIGS. 10A-10B depict cross-sectional views of a substrate at different stages of a crown capacitor fabrication sequence.
  • FIG. 2A depicts a schematic cross-sectional view of a process chamber 10 that can be used to perform deposition processes in accordance with embodiments described herein.
  • the process chamber 10 generally houses a wafer support pedestal 48 , which is used to support a substrate (not shown).
  • the wafer support pedestal 48 is movable in a vertical direction inside the process chamber 10 using a displacement mechanism 48 a.
  • the substrate can be heated to some desired temperature prior to or during deposition.
  • the wafer support pedestal 48 may be heated using an embedded heater element 52 a .
  • the wafer support pedestal 48 may be resistively heated by applying an electric current from an AC power supply 52 to the heater element 52 a .
  • the substrate (not shown) is, in turn, heated by the pedestal 48 .
  • the wafer support pedestal 48 may be heated using radiant heaters such as, for example, lamps (not shown).
  • a temperature sensor 50 a such as a thermocouple, is also embedded in the wafer support pedestal 48 to monitor the temperature of the pedestal 48 in a conventional manner. The measured temperature is used in a feedback loop to control the AC power supply 52 for the heating element 52 a , such that the substrate temperature can be maintained or controlled at a desired temperature which is suitable for the particular process application.
  • a vacuum pump 18 is used to evacuate the process chamber 10 and to maintain the pressure inside the process chamber 10 .
  • a gas manifold 34 through which process gases are introduced into the process chamber 10 , is located above the wafer support pedestal 48 .
  • the gas manifold 34 is coupled to a gas panel 51 , which controls and supplies various process gases to the process chamber 10 .
  • gas manifold 34 Proper control and regulation of the gas flows to the gas manifold 34 are performed by mass flow controllers (not shown) and a microprocessor controller 70 . Additionally, the gas manifold 34 may optionally be heated to prevent condensation of the reactive gases within the manifold.
  • the gas manifold 34 includes a gas distribution plate 35 .
  • the gas distribution plate 35 is configured to include two gas distribution zones 42 , 45 for providing process gases to the process chamber for either a chemical vapor deposition (CVD) process or a cyclical deposition process.
  • a first gas distribution zone 45 comprises a center opening 36 through which process gases for the cyclical deposition process are provided to the process chamber.
  • a second gas distribution zone 42 comprises a plurality of openings 37 radially dispersed around the center opening 36 .
  • the first gas distribution zone 45 and the second gas distribution zone 42 are isolated from one another using one or more seals 38 , 47 which inhibit mixing of the process gases provided thereto.
  • the one or more seals 38 , 47 may comprise any suitable material that is non-reactive with the process gases provided to the process chamber, such as, for example, an o-ring.
  • FIG. 3 depicts a gas distribution system 50 that may be used to provide process gases to the gas distribution plate 35 ( FIG. 2A ).
  • the gas distribution system 50 includes process gas supplies 53 , 55 , 57 , 59 , purge gas supplies 85 , 86 , electronic control valves 60 , 61 , mass flow controllers (MFC) 64 , 65 , gas splitters 81 , 82 , a three-position valve 75 and a premix chamber 80 .
  • MFC mass flow controllers
  • a process gas from supplies 57 , 59 is provided to electronic control valves 60 , 61 , respectively.
  • a purge gas from the purge gas supply 85 may be mixed with the process gases through gas splitters 81 , 82 .
  • the electronic control valves 60 , 61 as used herein refer to any control valve capable of providing rapid and precise gas flow to the process chamber 10 with valve open and close cycles of less than about 1-2 seconds, and more preferably less than about 0.1 second.
  • the electronic control valves 60 , 61 are coupled to the center opening 36 in the first gas distribution zone 45 , via three-position valve 75 and gas line 88 .
  • process gases from gas supplies 53 , 55 are coupled through mass flow controllers (MFC) 64 , 65 , respectively, to premix chamber 80 .
  • MFC mass flow controllers
  • a purge gas from purge gas supply 86 may also be provided to the premix chamber 80 .
  • the process gases are caused to mix. Generally, these gases are reactants that will react when they are exposed to a heated substrate.
  • the mixed gases are provided from the premix chamber 80 to the plurality of openings 37 in the second gas distribution zone 42 via gas line 87 .
  • the microprocessor controller 70 may be one of any form of general purpose computer processor (CPU) 71 that can be used in an industrial setting for controlling various chambers and sub-processors.
  • the computer may use any suitable memory 72 , such as random access memory, read only memory, floppy disk drive, hard disk, or any other form of digital storage, local or remote.
  • Various support circuits 73 may be coupled to the CPU for supporting the processor in a conventional manner.
  • Software routines as required may be stored in the memory or executed by a second CPU that is remotely located.
  • the software routines are executed to initiate process recipes or sequences.
  • the software routines when executed, transform the general purpose computer into a specific process computer that controls the chamber operation so that a chamber process is performed.
  • software routines may be used to precisely control the activation of the electronic control valves for the execution of process sequences according to embodiments described herein.
  • the software routines may be performed in hardware, as an application specific integrated circuit or other type of hardware implementation, or a combination of software and hardware.
  • a dual-mode deposition process for forming an integrated barrier layer structure on a substrate is described.
  • the dual-mode deposition process is performed in a single deposition chamber and comprises a chemical vapor deposition (CVD) step as well as a cyclical deposition step.
  • CVD chemical vapor deposition
  • FIG. 4 illustrates an embodiment of the dual-mode deposition process sequence 100 detailing the various steps used for the formation of the integrated barrier layer structure.
  • the integrated barrier layer comprises at least a first refractory metal layer and a second refractory metal layer.
  • the dual-mode deposition process may be performed in a process chamber similar to that described above with respect to FIGS. 2-3 .
  • a substrate is provided to the process chamber.
  • the substrate may be for example, a silicon substrate having gate regions formed thereon.
  • a first refractory metal layer is formed on the substrate using a first deposition mode.
  • the first deposition mode may comprise, for example, a chemical vapor deposition process wherein a refractory metal-containing precursor is thermally decomposed.
  • the first refractory metal layer may comprise for example titanium (Ti), titanium nitride (TiN), tantalum (Ta), or tantalum nitride (TaN), among others.
  • Suitable titanium-containing precursors for a CVD process may include, for example, titanium tetrachloride (TiCl 4 ), tetrakis(dimethylamido)titanium (TDMAT) and tetrakis(diethylamido)titanium (TDEAT), among others.
  • Suitable tantalum-containing precursors for a CVD process may include, for example, pentakis(dimethylamido) tantalum (PDMAT), pentakis (ethylmethylamido) tantalum (PEMAT), tertbutylimidotris(diethylamido)tantalum (TBTDET), and pentakis(diethylamido)tantalum (PDEAT), among others.
  • PDMAT pentakis(dimethylamido) tantalum
  • PEMAT pentakis (ethylmethylamido) tantalum
  • TBTDET tertbutylimidotris(diethylamido)tantalum
  • PDEAT pentakis(diethylamido)tantalum
  • One exemplary process of depositing a titanium nitride (TiN) layer using a chemical vapor deposition (CVD) process comprises thermally decomposing a titanium-containing precursor such as, for example, tetrakis (dimethylamido)titanium (TDMAT).
  • TDMAT tetrakis (dimethylamido)titanium
  • the tetrakis(dimethylamido)titanium (TDMAT) may be provided to radially dispersed openings 37 ( FIG. 2B ) of the distribution plate 35 ( FIG. 2B ) in the process chamber at a flow rate between about 20 sccm to about 200 sccm, preferably between about 50 sccm to about 100 sccm.
  • a carrier gas comprising helium (He) may be provided along with the tetrakis(dimethylamido)titanium (TDMAT) at a flow rate between about 500 sccm to about 2000 sccm, preferably between about 1000 sccm to about 1500 sccm.
  • the substrate may be maintained at a temperature between about 200° C. to about 400° C., preferably between about 300° C. to about 350° C., at a chamber pressure between about 5 torr to about 15 torr, preferably about 10 torr.
  • the process chamber is purged to remove any process gases remaining therein. Suitable purge gases may include argon (Ar), helium (He) and nitrogen (N 2 ). Thereafter, a second refractory metal layer is formed on the first refractory metal layer using a second deposition mode, as indicated in step 108 .
  • the second refractory metal layer may be formed using a cyclical deposition process by alternately adsorbing a refractory metal-containing precursor and a reducing gas on the substrate.
  • FIG. 5 illustrates an embodiment of a cyclical deposition process sequence 200 according to the present invention detailing the various steps used for the deposition of the second refractory metal layer.
  • the process chamber conditions such as, for example, the temperature and pressure are adjusted to enhance the adsorption of the process gases on the substrate.
  • a carrier gas stream is established within the process chamber through the center opening 36 ( FIG. 2B ) in the gas distribution plate 35 ( FIG. 2B ), as indicated in step 204 .
  • Carrier gases may be selected so as to also act as a purge gas for removal of volatile reactants and/or by-products from the process chamber.
  • Carrier gases such as, for example, helium (He), argon (Ar), nitrogen (N 2 ) and hydrogen (H 2 ), and combinations thereof, among others may be used.
  • a pulse of a refractory metal-containing precursor is added to the carrier gas stream.
  • the term pulse as used herein refers to a dose of material injected into the process chamber or into the carrier gas stream.
  • the pulse of the refractory metal-containing precursor lasts for a predetermined time interval.
  • the second refractory metal layer may comprise for example, tungsten (W), tungsten nitride (WN), or tungsten boride (WB), among others.
  • Suitable tungsten-containing precursors may include, for example, tungsten hexafluoride (WF 6 ) and tungsten carbonyl (W(CO) 6 ), among others.
  • the time interval for the pulse of the refractory metal-containing precursor is variable depending on a number of factors such as, for example, the volume capacity of the process chamber employed, the vacuum system coupled thereto and the volatility/reactivity of the reactants used. For example, (1) a large-volume process chamber may lead to a longer time to stabilize the process conditions such as, for example, carrier purge gas flow and temperature, requiring a longer pulse time; and (2) a lower flow rate for the process gas may also lead to a longer time to stabilize the process conditions requiring a longer pulse time.
  • the process conditions are advantageously selected so that a pulse of the refractory metal-containing precursor provides a sufficient amount of precursor, such that at least a monolayer of the refractory metal-containing precursor is adsorbed on the substrate. Thereafter, excess refractory metal-containing precursor remaining in the chamber may be removed from the process chamber by the carrier gas stream in combination with the vacuum system.
  • step 208 after the excess refractory metal-containing precursor has been sufficiently removed from the process chamber by the carrier gas stream to prevent co-reaction or particle formation with a subsequently provided process gas, a pulse of a reducing gas is added to the carrier gas stream.
  • Suitable reducing gases may include for example, silane (SiH 4 ), disilane (Si 2 H 6 ), dichlorosilane (SiC 12 H 2 ), ammonia (NH 3 ), hydrazine (N 2 H 4 ), monomethyl hydrazine (CH 3 N 2 H 3 ), dimethyl hydrazine (C 2 H 6 N 2 H 2 ), t-butyl hydrazine (C 4 H 9 N 2 H 3 ), phenyl hydrazine (C 6 H 6 N 2 H 3 ), 2,2′-azoisobutane ((CH 3 ) 6 C 2 N 2 ), ethylazide (C 2 H 5 N 3 ), borane (BH 3 ), diborane (B 2 H 6 ), triborane (B 3 H 9 ), tetraborane (B 4 H 12 ), pentaborane (B 6 H 15 ), hexaborane (B 6 H 18 ), heptaboran
  • the pulse of the reducing gas also lasts for a predetermined time interval.
  • the time interval for the pulse of the reducing gas should be long enough to provide a sufficient amount of the reducing gas for reaction with the refractory metal-containing precursor that is already adsorbed on the substrate. Thereafter, excess reducing gas is flushed from the process chamber by the carrier gas stream in combination with the vacuum system.
  • Steps 204 through 208 comprise one embodiment of a deposition cycle for the second refractory metal-containing layer.
  • a constant flow of the carrier gas is provided to the process chamber modulated by alternating periods of pulsing and non-pulsing where the periods of pulsing alternate between the refractory metal-containing precursor and the reducing gas along with the carrier gas stream, while the periods of non-pulsing include only the carrier gas stream.
  • the time interval for each of the pulses of the refractory metal-containing precursor and the reducing gas may have the same duration. That is the duration of the pulse of the refractory metal-containing precursor may be identical to the duration of the pulse of the reducing gas.
  • a time interval (T 1 ) for the pulse of the refractory metal-containing precursor equals a time interval (T 2 ) for the pulse of the reducing gas.
  • the time interval for each of the pulses of the refractory metal-containing precursor and the reducing gas may have different durations. That is the duration of the pulse of the refractory metal-containing precursor may be shorter or longer than the duration of the pulse of the reducing gas.
  • a time interval (T 1 ) for the pulse of the refractory metal-containing precursor is different than a time interval (T 2 ) for the pulse of the reducing gas.
  • the periods of non-pulsing between each of the pulses of the refractory metal-containing precursor and the reducing gas may have the same duration. That is, the duration of the period of non-pulsing between each pulse of the refractory metal-containing precursor and each pulse of the reducing gas is identical.
  • a time interval (T 3 ) of non-pulsing between the pulse of the refractory metal-containing precursor and the pulse of the reducing gas equals a time interval (T 4 ) of non-pulsing between the pulse of the reducing gas and the pulse of the refractory metal-containing precursor.
  • the periods of non-pulsing between each of the pulses of the refractory metal-containing precursor and the reducing gas may have different durations. That is, the duration of the period of non-pulsing between each pulse of the refractory metal-containing precursor and each pulse of the reducing gas may be shorter or longer than the duration of the period of non-pulsing between each pulse of the reducing gas and the pulse of the refractory metal-containing precursor.
  • a time interval (T 3 ) of non-pulsing between the pulse of the refractory metal-containing precursor and the pulse of the reducing gas is different from a time interval (T 4 ) of non-pulsing between the pulse of the reducing gas and the pulse of the refractory metal-containing precursor.
  • T 3 a time interval of non-pulsing between the pulse of the refractory metal-containing precursor and the pulse of the reducing gas
  • T 4 time interval
  • time intervals for each pulse of the refractory metal-containing precursor, the reducing gas and the periods of non-pulsing therebetween for each deposition cycle may have the same duration.
  • a time interval (T 1 ) for the pulse of the refractory metal-containing precursor has the same duration as the time interval (T 1 ) for the pulse of the refractory metal-containing precursor in subsequent deposition cycles (C 2 . . . C N ).
  • the duration of each pulse of the reducing gas as well as the periods of non-pulsing between the pulse of the refractory metal-containing precursor and the reducing gas in the first deposition cycle (C 1 ) is the same as the duration of each pulse of the reducing gas and the periods of non-pulsing between the pulse of the refractory metal-containing precursor and the reducing gas in subsequent deposition cycles (C 2 . . . C N ), respectively.
  • the time intervals for at least one pulse of the refractory metal-containing precursor, the reducing gas and the periods of non-pulsing therebetween for one or more of the deposition cycles of the second refractory metal layer may have different durations.
  • one or more of the time intervals (T 1 ) for the refractory metal-containing precursor, the time intervals (T 2 ) for the reducing gas, the time intervals (T 3 ) of non-pulsing between the pulse of the refractory metal-containing precursor and the pulse of the reducing gas and the time interval (T 4 ) of non-pulsing between the pulse of the reducing gas and the pulse of the refractory metal-containing precursor may have different values for one or more subsequent deposition cycles of the cyclical deposition process.
  • the time interval (T 1 ) for the pulse of the refractory metal-containing precursor may be longer or shorter than the time interval (T 1 ) for the pulse of the refractory metal-containing precursor in subsequent deposition cycles (C 2 . . . C N ).
  • the duration of each pulse of the reducing gas and the periods of non-pulsing between the pulse of the refractory metal-containing precursor and the reducing gas in deposition cycle (C 1 ) may be the same or different than the duration of corresponding pulses of the reducing gas and the periods of non-pulsing between the pulse of the refractory metal-containing precursor and the reducing gas in subsequent deposition cycles (C 2 . . . C N ), respectively.
  • step 210 after each deposition cycle (steps 204 through 208 ) a total thickness of the second refractory metal will be formed on the substrate. Depending on specific device requirements, subsequent deposition cycles may be needed to achieve a desired thickness. As such, steps 204 through 208 are repeated until the desired thickness for the second refractory metal layer is achieved. Thereafter, when the desired thickness for the second refractory metal layer is achieved the process is stopped as indicated by step 212 .
  • a refractory metal layer deposition sequence 300 includes adjusting the process chamber conditions (step 302 ), providing a first pulse of a purge gas to the process chamber (step 304 ), providing a pulse of a refractory metal-containing precursor to the process chamber (step 306 ), providing a second pulse of a purge gas to the process chamber (step 308 ), providing a pulse of the reducing gas to the process chamber (step 310 ), and then repeating steps 304 through 308 , or stopping the deposition process (step 314 ) depending on whether a desired thickness for the refractory metal layer has been achieved (step 312 ).
  • the time intervals for each of the pulses of the refractory metal-containing precursor, the reducing gas and the purge gas may have the same or different durations as discussed above with respect to FIG. 5 .
  • corresponding time intervals for one or more pulses of the refractory metal-containing precursor, the reducing gas and the purge gas in one or more of the deposition cycles of the refractory metal layer deposition process may have different durations.
  • the refractory metal layer deposition cycle is depicted as beginning with a pulse of the refractory metal-containing precursor followed by a pulse of the reducing gas.
  • the refractory metal layer deposition cycle may start with a pulse of the reducing gas followed by a pulse of the refractory metal-containing precursor.
  • One exemplary process of depositing a tungsten layer comprises sequentially providing pulses of tungsten hexafluoride (WF 6 ) and pulses of diborane (B 2 H 6 ).
  • the tungsten hexafluoride (WF 6 ) may be provided to an appropriate flow control valve, for example, an electronic control valve, at a flow rate of between about 10 sccm (standard cubic centimeters per minute) and about 400 sccm, preferably between about 20 sccm and about 100 sccm, and thereafter pulsed for about 1 second or less, preferably about 0.2 seconds or less.
  • a carrier gas comprising argon (Ar) is provided along with the tungsten hexafluoride (WF 6 ) at a flow rate between about 250 sccm to about 1000 sccm, preferably between about 500 sccm to about 750 sccm.
  • the diborane (B 2 H 6 ) may be provided to an appropriate flow control valve, for example, an electronic control valve, at a flow rate of between about 5 sccm and about 150 sccm, preferably between about 5 sccm and about 25 sccm, and thereafter pulsed for about 1 second or less, preferably about 0.2 seconds or less.
  • a carrier gas comprising argon (Ar) is provided along with the diborane (B 2 H 6 ) at a flow rate between about 250 sccm to about 1000 sccm, preferably between about 500 sccm to about 750 sccm.
  • the substrate may be maintained at a temperature between about 250° C. and about 350° C., preferably about 300° C. at a chamber pressure between about 1 torr to about 10 torr, preferably about 5 torr.
  • Another exemplary process of depositing a tungsten layer comprises sequentially providing pulses of tungsten hexafluoride (WF 6 ) and pulses of silane (SiH 4 ).
  • the tungsten hexafluoride (WF 6 ) may be provided to an appropriate flow control valve, for example, an electronic control valve, at a flow rate of between about 10 sccm (standard cubic centimeters per minute) and about 400 sccm, preferably between about 20 sccm and about 100 sccm, and thereafter pulsed for about 1 second or less, preferably about 0.2 seconds or less.
  • a carrier gas comprising argon (Ar) is provided to along with the tungsten hexafluoride (WF 6 ) at a flow rate between about 250 sccm to about 1000 sccm, preferably between about 300 sccm to about 500 sccm.
  • the silane (SiH 4 ) may be provided to an appropriate flow control valve, for example, an electronic control valve, at a flow rate between about 10 sccm to about 500 sccm, preferably between about 50 sccm to about 200 sccm, and thereafter pulsed for about 1 second or less, preferably about 0.2 seconds or less.
  • a carrier gas comprising argon (Ar) is provided along with the silane (SiH 4 ) at a flow rate between about 250 sccm to about 1000 sccm, preferably between about 300 sccm to about 500 sccm.
  • a pulse of a purge gas comprising agron (Ar) at a flow rate between about 300 sccm to about 1000 sccm, preferably between about 500 sccm to about 750 sccm, in pulses of about 1 second or less, preferably about 0.3 seconds or less is provided between the pulses of the tungsten hexafluoride (WF 6 ) and the pulses of silane (SiH 4 ).
  • the substrate may be maintained at a temperature between about 300° C. to about 400° C., preferably about 350° C., at a chamber pressure between about 1 torr to about 10 torr.
  • the dual-mode deposition process is depicted as forming the first refractory metal layer using a chemical vapor deposition (CVD) process followed by formation of the second refractory metal layer using a cyclical deposition process.
  • the dual-mode deposition process may start with a first refractory metal layer deposited using a cyclical deposition process followed by a second refractory metal layer deposited using a chemical vapor deposition (CVD) process.
  • FIGS. 7A-7C illustrate cross-sectional views of a substrate at different stages of a copper interconnect fabrication sequence incorporating the integrated barrier layer of the present invention.
  • FIG. 7A illustrates a cross-sectional view of a substrate 400 having metal contacts 404 and a dielectric layer 402 formed thereon.
  • the substrate 400 may comprise a semiconductor material such as, for example, silicon (Si), germanium (Ge), or gallium arsenide (GaAs).
  • the dielectric layer 402 may comprise an insulating material such as, for example, silicon oxide or silicon nitride.
  • the metal contacts 404 may comprise for example, copper (Cu).
  • Apertures 404 H may be defined in the dielectric layer 402 to provide openings over the metal contacts 404 .
  • the apertures 404 H may be defined in the dielectric layer 402 using conventional lithography and etching techniques.
  • an integrated barrier layer 406 is formed in the apertures 404 H defined in the dielectric layer 402 .
  • the integrated barrier layer 406 comprises a titanium nitride (TiN) layer formed with a chemical vapor deposition (CVD) process and a tungsten (W) layer formed with a cyclical deposition process.
  • TiN titanium nitride
  • CVD chemical vapor deposition
  • W tungsten
  • the integrated barrier layer 406 is formed using the deposition techniques described above with respect to FIGS. 4-6 .
  • the thickness of the integrated barrier layer 406 is typically about 20 ⁇ to about 500 ⁇ .
  • the apertures 404 H are filled with copper (Cu) metallization 408 using a suitable deposition process as shown in FIG. 7C .
  • copper (Cu) may be deposited with a chemical vapor deposition (CVD) process using copper-containing precursors such as Cu +2 (hfac) 2 (copper hexafluoro acetylacetonate), Cu +2 (fod) 2 (copper heptafluoro dimethyl octanediene), Cu +1 hfac TMVS (copper hexafluoro acetylacetonate trimethylvinylsilane), among others.
  • CVD chemical vapor deposition
  • FIGS. 8A-8C illustrate cross-sectional views of a substrate at different stages of a gate electrode fabrication sequence incorporating the integrated barrier layer of the present invention.
  • FIG. 8A illustrates a cross-sectional view of a substrate 500 having gate regions 504 formed on the surface thereof. The gate regions 504 are surrounded by a dielectric material 502 .
  • the substrate 500 may comprise a semiconductor material such as, for example, silicon (Si), germanium (Ge), or gallium arsenide (GaAs).
  • the dielectric material 502 may comprise an insulating material such as, for example, silicon oxide or silicon nitride.
  • an integrated barrier layer 506 is formed on the gate regions 504 .
  • the integrated barrier layer 506 comprises a titanium nitride (TiN) layer formed with a chemical vapor deposition (CVD) process and a tungsten (W) layer formed with a cyclical deposition process.
  • TiN titanium nitride
  • CVD chemical vapor deposition
  • W tungsten
  • the integrated barrier layer 506 is formed using the deposition techniques described above with respect to FIGS. 4-6 .
  • the thickness of the integrated barrier layer 506 is typically about 20 ⁇ to about 500 ⁇ .
  • the gate metallization may comprise tungsten (W), aluminum (Al) or copper (Cu), among others.
  • tungsten (W) may be deposited with a chemical vapor deposition (CVD) process from the thermal decomposition of tungsten hexafluoride (WF 6 ) or tungsten carbonyl (W(CO) 6 ); aluminum may be deposited with a chemical vapor deposition (CVD) process using dimethyl aluminum hydride (DMAH); or copper (Cu) may be deposited with a chemical vapor deposition (CVD) process using copper-containing precursors such as Cu +2 (hfac) 2 (copper hexafluoro acetylacetonate), Cu +2 (fod) 2 (copper heptafluoro dimethyl octanediene), or Cu +1 hfac TMVS (
  • FIGS. 9A-9D are illustrative of a metal-insulator-metal (MIM) trench capacitor fabrication sequence incorporating the integrated barrier layer of the present invention.
  • FIG. 9A illustrates a cross-sectional view of a substrate 655 having a dielectric material layer 657 formed thereon.
  • the substrate 655 may comprise a semiconductor material such as, for example, silicon (Si), germanium (Ge), or gallium arsenide (GaAs).
  • the dielectric material layer 657 may comprise an insulator such as, for example, silicon oxide or silicon nitride.
  • At least one trench 659 is defined in the dielectric material layer 657 .
  • the trench may be formed using conventional lithography and etching techniques.
  • a first integrated barrier layer 660 is formed on the trench 659 .
  • the first integrated barrier layer 660 comprises a titanium nitride (TiN) layer formed with a chemical vapor deposition (CVD) process and a tungsten (W) layer formed with a cyclical deposition process.
  • TiN titanium nitride
  • CVD chemical vapor deposition
  • W tungsten
  • the first integrated barrier layer 660 is formed using the deposition techniques described above with respect to FIGS. 4-6 .
  • the thickness of the integrated barrier layer 660 is typically about 20 ⁇ to about 500 ⁇ .
  • a first metal layer 661 is formed over the first integrated barrier layer 660 .
  • the first metal layer 661 comprises the first electrode of the metal-insulator-metal (MIM) trench capacitor.
  • a suitable metal for the first metal layer 661 includes, for example, tungsten (W).
  • the thickness of the first metal layer 661 is typically about 100 ⁇ to about 1000 ⁇ .
  • the trench capacitor further includes an insulating layer 663 formed over the metal layer 661 , as shown in FIG. 9C .
  • the insulating layer 663 preferably comprises a high dielectric constant material (dielectric constant greater then about 10).
  • High dielectric constant materials advantageously permit higher charge storage capacities for the capacitor structures.
  • Suitable dielectric materials may include for example, tantalum pentoxide (Ta 2 O 5 ), silicon oxide/silicon nitride/oxynitride (ONO), aluminum oxide (Al 2 O 3 ), barium strontium titanate (BST), barium titanate, lead zirconate titanate (PZT), lead lanthanium titanate, strontium titanate and strontium bismuth titanate, among others.
  • the thickness of the insulating layer 663 is variable depending on the dielectric constant of the material used and the geometry of the device being fabricated. Typically, the insulating layer 663 has a thickness of about 100 ⁇ to about 1000 ⁇ .
  • a second integrated barrier layer 664 is formed on the insulating layer 663 .
  • the second integrated barrier layer 664 comprises a titanium nitride (TiN) layer formed with a chemical vapor deposition (CVD) process and a tungsten (W) layer formed with a cyclical deposition process.
  • TiN titanium nitride
  • CVD chemical vapor deposition
  • W tungsten
  • the second integrated barrier layer 664 is formed using the deposition techniques described above with respect to FIGS. 4-6 .
  • the thickness of the integrated barrier layer 664 is typically about 20 ⁇ to about 500 ⁇ .
  • a second metal layer 665 is formed over the second integrated barrier layer 664 .
  • the second metal layer 665 comprises the second electrode of the metal-insulator-metal (MIM) trench capacitor.
  • a suitable metal for the second metal layer 665 includes, for example, tungsten (W).
  • the thickness of the second metal layer 665 is typically about 100 ⁇ to about 1000 ⁇ .
  • the metal-insulator-metal (MIM) trench capacitor is completed by filling the trench 659 with, for example, a polysilicon layer 667 , as shown in FIG. 9D .
  • the polysilicon layer 667 may be formed using conventional deposition techniques.
  • the polysilicon layer 667 may be deposited using a chemical vapor deposition (CVD) process in which silane (SiH 4 ) is thermally decomposed to form polysilicon at a temperature between about 550° C. and 700° C.
  • CVD chemical vapor deposition
  • FIGS. 10A-10B illustrate cross-sectional views of a substrate at different stages of a crown capacitor fabrication sequence incorporating the integrated barrier layer of the present invention.
  • the term crown capacitor as used herein refers to a capacitor structure having a three-dimensional shape formed above the surface of the substrate. The three-dimensional shape increases the capacitance of the device by increasing the surface area thereof.
  • FIG. 10A illustrates a cross-sectional view of a substrate 712 having a dielectric layer 714 formed thereon.
  • the substrate 712 may comprise a semiconductor material such as, for example, silicon (Si), germanium (Ge), or gallium arsenide (GaAs).
  • the dielectric 714 may comprise an oxide such as, for example, a silicon oxide.
  • the dielectric layer 714 has at least one aperture 716 formed therein.
  • a first polysilicon layer 718 is formed over the dielectric layer 714 and the at least one aperture 716 .
  • the first polysilicon layer 718 may be doped with a suitable dopant such as, for example, arsenic (As), antimony (Sb), phosphorous (P) and boron (B), among others.
  • a hemispherical silicon grain layer (HSG) 720 or a rough polysilicon layer may optionally be formed over the first polysilicon layer 718 to increase the surface area thereof.
  • the hemispherical silicon grain layer 720 may be formed, for example, by depositing an amorphous silicon layer and than annealing it to form a rough surface thereon.
  • the hemispherical silicon grain layer 720 may optionally by doped.
  • the first polysilicon layer 718 and the hemispherical silicon grain layer (HSG) 720 are patterned and etched to form a crown structure 730 . Both the first polysilicon layer 718 and the hemispherical silicon grain layer (HSG) act as a first electrode for the crown capacitor.
  • the crown capacitor further includes an insulating layer 732 formed over the hemispherical silicon grain layer 718 of the crown structure 730 .
  • the insulating layer 732 preferably comprises a high dielectric constant material (dielectric constant greater then about 10).
  • High dielectric constant materials advantageously permit higher charge storage capacities for the capacitor structures.
  • Suitable dielectric materials may include for example, tantalum pentoxide (Ta 2 O 5 ), silicon oxide/silicon nitride/oxynitride (ONO), aluminum oxide (Al 2 O 3 ), barium strontium titanate (BST), barium titanate, lead zirconate titanate (PZT), lead lanthanium titanate, strontium titanate and strontium bismuth titanate, among others.
  • an integrated barrier layer 734 is formed on the insulating layer 732 .
  • the integrated barrier layer 734 comprises a titanium nitride (TiN) layer formed with a chemical vapor deposition (CVD) process and a tungsten (W) layer formed with a cyclical deposition process.
  • TiN titanium nitride
  • CVD chemical vapor deposition
  • W tungsten
  • the integrated barrier layer 734 is formed using the deposition techniques described above with respect to FIGS. 4-6 .
  • the thickness of the integrated barrier layer 734 is typically about 20 ⁇ to about 500 ⁇ .
  • a metal layer 742 is formed over the integrated barrier layer 734 .
  • the metal layer 742 comprises the second electrode of the crown capacitor.
  • a suitable metal for the metal layer 742 includes, for example, tungsten (W).
  • the thickness of the metal layer 742 is typically about 100 ⁇ to about 1000 ⁇ .
  • the crown capacitor is completed by depositing, for example, a second polysilicon layer 752 thereover, as shown in FIG. 10B .
  • the second polysilicon layer 752 may be formed using conventional deposition techniques.
  • the second polysilicon layer 752 may be deposited using a chemical vapor deposition (CVD) process in which silane (SiH 4 ) is thermally decomposed to form polysilicon at a temperature between about 550° C. and 700° C.
  • CVD chemical vapor deposition

Abstract

An apparatus for processing a substrate is provided. The apparatus includes a process chamber, and a dual-mode gas distribution plate disposed within the process chamber. The dual-mode gas distribution plate comprises a first gas distribution zone disposed in a center of the gas distribution plate, and a second gas distribution zone surrounding the first gas distribution zone, the second gas distribution zone being fluidly isolated from the first gas distribution zone, wherein the first gas distribution zone is coupled to a valve system to deliver sequential pulses of a first gas to the first gas distribution zone to perform a cyclical deposition process, and the second gas distribution zone is in communication with a flow controller to deliver a second gas to perform a chemical vapor deposition process.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This application is a divisional of U.S. patent application Ser. No. 11/770,735, filed Jun. 29, 2007, which is a continuation of U.S. patent application Ser. No. 10/414,271, filed Apr. 15, 2003 and issued as U.S. Pat. No. 7,279,432 on Oct. 9, 2007. U.S. patent application Ser. No. 10/414,271 claims the benefit of U.S. Provisional Patent Application No. 60/386,221, filed Apr. 16, 2002. All of the aforementioned patent applications are incorporated by reference herein.
  • BACKGROUND OF THE DISCLOSURE
  • 1. Field of the Invention
  • Embodiments of the present invention generally relate to a method of barrier layer formation and, more particularly to a method of integrated barrier layer formation using both cyclical deposition techniques and chemical vapor deposition techniques.
  • 2. Description of the Background Art
  • In the manufacture of integrated circuits, contact level metallization schemes are often used to provide low resistance contacts to an underlying semiconductor material. Typically, contact level metallization schemes combine an integrated barrier layer with a contact level metal layer.
  • For example, when a gate electrode of a transistor is fabricated, an integrated barrier layer (e.g., titanium nitride/tungsten (TiN/W)) is formed between the gate material (e.g., polysilicon) and the contact level metal layer (e.g., aluminum (Al) or copper (Cu)) of the gate electrode. The integrated barrier layer inhibits the diffusion of the aluminum (Al) or copper (Cu) into the polysilicon gate material. Such aluminum (Al) or copper (Cu) diffusion is undesirable because it potentially changes the characteristics of the transistor, rendering the transistor inoperable.
  • The integrated barrier layer typically comprises two different material layers. Each of the material layers is typically formed using a separate process chamber. For example, separate deposition chambers may be used for depositing the titanium nitride (TiN) layer and the tungsten (W) layer comprising a titanium nitride/tungsten (TiN/W) integrated barrier layer. The separate deposition chambers may include, for example, physical vapor deposition (PVD) chambers and/or chemical vapor deposition (CVD) chambers. However, the use of separate deposition chambers to form each material layer comprising the integrated barrier layer is costly.
  • Additionally, as circuit densities increase, the widths of integrated circuit features such as, for example, gate electrodes, may decrease to sub-micron dimensions (e.g., less than 0.25 micrometers), whereas the thickness of material layers between such features typically remains substantially constant, increasing the aspect ratios therefor. The term aspect ratio as used herein refers to the ratio of the feature height divided by the feature width. Many traditional deposition processes have difficulty filling sub-micron features where the aspect ratio exceeds 8:1, and especially where the aspect ratio exceeds 10:1.
  • FIG. 1 illustrates the possible consequences of material layer deposition in a high aspect ratio feature 6 formed on a substrate 1. The high aspect ratio feature 6 may be any opening such as a space formed between adjacent features 2, a contact, a via, or a trench defined in a material layer. As shown in FIG. 1, a material layer 11 that is formed using conventional deposition techniques (e.g., chemical vapor deposition (CVD) and/or physical vapor deposition (PVD)) tends to be deposited on the top edges 6T of the feature 6 at a higher rate than at the bottom 6B or sides 6S thereof creating an overhang. This overhang or excess deposition of material is sometimes referred to as crowning. Such excess material continues to build up on the top edges 6T of the feature 6, until the opening is closed off by the deposited material 11, forming a void 4 therein. The presence of voids may result in unreliable integrated circuit performance.
  • Therefore, a need exists for a system and method for forming integrated barrier layer structures.
  • SUMMARY OF THE INVENTION
  • An apparatus for processing a substrate is provided. In one embodiment, the apparatus includes a process chamber, and a dual-mode gas distribution plate disposed within the process chamber. The dual-mode gas distribution plate comprises a first gas distribution zone disposed in a center of the gas distribution plate, and a second gas distribution zone surrounding the first gas distribution zone, the second gas distribution zone being fluidly isolated from the first gas distribution zone, wherein the first gas distribution zone is coupled to a valve system to deliver sequential pulses of a first gas to the first gas distribution zone to perform a cyclical deposition process, and the second gas distribution zone is in communication with a flow controller to deliver a second gas to perform a chemical vapor deposition process.
  • In another embodiment, an apparatus for processing a substrate is provided. The apparatus includes a process chamber, and a dual-mode gas distribution plate disposed within the process chamber. The dual-mode gas distribution plate comprises a first gas distribution zone having an opening in a center of the gas distribution plate and a second gas distribution zone having a plurality of openings surrounding the opening in the center of the gas distribution plate, the second gas distribution zone being fluidly isolated from the first gas distribution zone. The first gas distribution zone is coupled to an electronic valve to deliver sequential pulses of a first gas to the first gas distribution zone to perform a cyclical deposition process, and the second gas distribution zone is in communication with a flow controller to deliver a second gas to perform a chemical vapor deposition process.
  • In another embodiment, an apparatus for processing a substrate is described. The apparatus includes a process chamber, and a dual-mode gas distribution plate disposed within the process chamber. The dual-mode gas distribution plate comprises a first gas distribution zone having an opening in a center of the gas distribution plate, and a second gas distribution zone having a plurality of openings radially dispersed around the opening in the center of the gas distribution plate, the second gas distribution zone being fluidly isolated from the first gas distribution zone. The first gas distribution zone is coupled to an electronic valve to deliver sequential pulses of a tungsten containing gas and a reducing gas to the first gas distribution zone to perform a cyclical deposition process, and the second gas distribution zone is in communication with a flow controller to deliver a second gas comprising a titanium containing precursor gas to perform a chemical vapor deposition process.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present invention are attained and can readily be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings.
  • It is to be noted, however, that the appended drawings illustrate only typical embodiments of the invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1 is a cross-sectional view of one possible deposition result for high aspect ratio features filled using conventional prior art deposition techniques;
  • FIGS. 2A-2B depicts a schematic cross-sectional view of a process chamber and a top view of a gas distribution plate that can be used for the practice of embodiments described herein;
  • FIG. 3 is a block diagram of a gas delivery system for the gas distribution plate shown in FIG. 2B;
  • FIG. 4 illustrates a process sequence for integrated barrier layer formation;
  • FIG. 5 illustrates a process sequence for material layer formation using cyclical deposition techniques according to one embodiment described herein;
  • FIG. 6 illustrates a process sequence for material layer formation using cyclical deposition techniques according to an alternate embodiment described herein;
  • FIGS. 7A-7C illustrate schematic cross-sectional views of a substrate at different stages of an interconnect fabrication sequence;
  • FIGS. 8A-8C illustrate schematic cross-sectional views of a substrate at different stages of a gate electrode fabrication sequence;
  • FIGS. 9A-9D illustrate schematic cross-sectional views of a substrate at different stages of a trench capacitor fabrication sequence; and
  • FIGS. 10A-10B depict cross-sectional views of a substrate at different stages of a crown capacitor fabrication sequence.
  • DETAILED DESCRIPTION
  • FIG. 2A depicts a schematic cross-sectional view of a process chamber 10 that can be used to perform deposition processes in accordance with embodiments described herein. The process chamber 10 generally houses a wafer support pedestal 48, which is used to support a substrate (not shown). The wafer support pedestal 48 is movable in a vertical direction inside the process chamber 10 using a displacement mechanism 48 a.
  • Depending on the specific deposition process, the substrate can be heated to some desired temperature prior to or during deposition. For example, the wafer support pedestal 48 may be heated using an embedded heater element 52 a. The wafer support pedestal 48 may be resistively heated by applying an electric current from an AC power supply 52 to the heater element 52 a. The substrate (not shown) is, in turn, heated by the pedestal 48. Alternatively, the wafer support pedestal 48 may be heated using radiant heaters such as, for example, lamps (not shown).
  • A temperature sensor 50 a, such as a thermocouple, is also embedded in the wafer support pedestal 48 to monitor the temperature of the pedestal 48 in a conventional manner. The measured temperature is used in a feedback loop to control the AC power supply 52 for the heating element 52 a, such that the substrate temperature can be maintained or controlled at a desired temperature which is suitable for the particular process application.
  • A vacuum pump 18 is used to evacuate the process chamber 10 and to maintain the pressure inside the process chamber 10. A gas manifold 34, through which process gases are introduced into the process chamber 10, is located above the wafer support pedestal 48. The gas manifold 34 is coupled to a gas panel 51, which controls and supplies various process gases to the process chamber 10.
  • Proper control and regulation of the gas flows to the gas manifold 34 are performed by mass flow controllers (not shown) and a microprocessor controller 70. Additionally, the gas manifold 34 may optionally be heated to prevent condensation of the reactive gases within the manifold.
  • The gas manifold 34 includes a gas distribution plate 35. Referring to FIG. 2B, the gas distribution plate 35 is configured to include two gas distribution zones 42, 45 for providing process gases to the process chamber for either a chemical vapor deposition (CVD) process or a cyclical deposition process. A first gas distribution zone 45 comprises a center opening 36 through which process gases for the cyclical deposition process are provided to the process chamber. A second gas distribution zone 42 comprises a plurality of openings 37 radially dispersed around the center opening 36.
  • The first gas distribution zone 45 and the second gas distribution zone 42 are isolated from one another using one or more seals 38, 47 which inhibit mixing of the process gases provided thereto. The one or more seals 38, 47 may comprise any suitable material that is non-reactive with the process gases provided to the process chamber, such as, for example, an o-ring.
  • FIG. 3 depicts a gas distribution system 50 that may be used to provide process gases to the gas distribution plate 35 (FIG. 2A). The gas distribution system 50 includes process gas supplies 53, 55, 57, 59, purge gas supplies 85, 86, electronic control valves 60, 61, mass flow controllers (MFC) 64, 65, gas splitters 81, 82, a three-position valve 75 and a premix chamber 80.
  • For the cyclical deposition mode, a process gas from supplies 57, 59 is provided to electronic control valves 60, 61, respectively. A purge gas from the purge gas supply 85 may be mixed with the process gases through gas splitters 81, 82. The electronic control valves 60, 61 as used herein refer to any control valve capable of providing rapid and precise gas flow to the process chamber 10 with valve open and close cycles of less than about 1-2 seconds, and more preferably less than about 0.1 second. The electronic control valves 60, 61 are coupled to the center opening 36 in the first gas distribution zone 45, via three-position valve 75 and gas line 88.
  • For the chemical vapor deposition (CVD) mode, process gases from gas supplies 53, 55 are coupled through mass flow controllers (MFC) 64, 65, respectively, to premix chamber 80. A purge gas from purge gas supply 86 may also be provided to the premix chamber 80. In the premix chamber 80, the process gases are caused to mix. Generally, these gases are reactants that will react when they are exposed to a heated substrate. The mixed gases are provided from the premix chamber 80 to the plurality of openings 37 in the second gas distribution zone 42 via gas line 87.
  • The microprocessor controller 70 may be one of any form of general purpose computer processor (CPU) 71 that can be used in an industrial setting for controlling various chambers and sub-processors. The computer may use any suitable memory 72, such as random access memory, read only memory, floppy disk drive, hard disk, or any other form of digital storage, local or remote. Various support circuits 73 may be coupled to the CPU for supporting the processor in a conventional manner. Software routines as required may be stored in the memory or executed by a second CPU that is remotely located.
  • The software routines are executed to initiate process recipes or sequences. The software routines, when executed, transform the general purpose computer into a specific process computer that controls the chamber operation so that a chamber process is performed. For example, software routines may be used to precisely control the activation of the electronic control valves for the execution of process sequences according to embodiments described herein. Alternatively, the software routines may be performed in hardware, as an application specific integrated circuit or other type of hardware implementation, or a combination of software and hardware.
  • Integrated Barrier Layer Formation
  • A dual-mode deposition process for forming an integrated barrier layer structure on a substrate is described. The dual-mode deposition process is performed in a single deposition chamber and comprises a chemical vapor deposition (CVD) step as well as a cyclical deposition step.
  • FIG. 4 illustrates an embodiment of the dual-mode deposition process sequence 100 detailing the various steps used for the formation of the integrated barrier layer structure. The integrated barrier layer comprises at least a first refractory metal layer and a second refractory metal layer. The dual-mode deposition process may be performed in a process chamber similar to that described above with respect to FIGS. 2-3.
  • As indicated in step 102, a substrate is provided to the process chamber. The substrate may be for example, a silicon substrate having gate regions formed thereon. Referring to step 104, a first refractory metal layer is formed on the substrate using a first deposition mode. The first deposition mode may comprise, for example, a chemical vapor deposition process wherein a refractory metal-containing precursor is thermally decomposed.
  • The first refractory metal layer may comprise for example titanium (Ti), titanium nitride (TiN), tantalum (Ta), or tantalum nitride (TaN), among others. Suitable titanium-containing precursors for a CVD process may include, for example, titanium tetrachloride (TiCl4), tetrakis(dimethylamido)titanium (TDMAT) and tetrakis(diethylamido)titanium (TDEAT), among others. Suitable tantalum-containing precursors for a CVD process may include, for example, pentakis(dimethylamido) tantalum (PDMAT), pentakis (ethylmethylamido) tantalum (PEMAT), tertbutylimidotris(diethylamido)tantalum (TBTDET), and pentakis(diethylamido)tantalum (PDEAT), among others.
  • One exemplary process of depositing a titanium nitride (TiN) layer using a chemical vapor deposition (CVD) process comprises thermally decomposing a titanium-containing precursor such as, for example, tetrakis (dimethylamido)titanium (TDMAT). The tetrakis(dimethylamido)titanium (TDMAT) may be provided to radially dispersed openings 37 (FIG. 2B) of the distribution plate 35 (FIG. 2B) in the process chamber at a flow rate between about 20 sccm to about 200 sccm, preferably between about 50 sccm to about 100 sccm. A carrier gas comprising helium (He) may be provided along with the tetrakis(dimethylamido)titanium (TDMAT) at a flow rate between about 500 sccm to about 2000 sccm, preferably between about 1000 sccm to about 1500 sccm. The substrate may be maintained at a temperature between about 200° C. to about 400° C., preferably between about 300° C. to about 350° C., at a chamber pressure between about 5 torr to about 15 torr, preferably about 10 torr.
  • Referring to step 106, after the first refractory metal layer is formed on the substrate using a first deposition mode, the process chamber is purged to remove any process gases remaining therein. Suitable purge gases may include argon (Ar), helium (He) and nitrogen (N2). Thereafter, a second refractory metal layer is formed on the first refractory metal layer using a second deposition mode, as indicated in step 108. The second refractory metal layer may be formed using a cyclical deposition process by alternately adsorbing a refractory metal-containing precursor and a reducing gas on the substrate.
  • FIG. 5 illustrates an embodiment of a cyclical deposition process sequence 200 according to the present invention detailing the various steps used for the deposition of the second refractory metal layer. As shown in step 202, the process chamber conditions such as, for example, the temperature and pressure are adjusted to enhance the adsorption of the process gases on the substrate.
  • In one embodiment where a constant carrier gas flow is desired, a carrier gas stream is established within the process chamber through the center opening 36 (FIG. 2B) in the gas distribution plate 35 (FIG. 2B), as indicated in step 204. Carrier gases may be selected so as to also act as a purge gas for removal of volatile reactants and/or by-products from the process chamber. Carrier gases such as, for example, helium (He), argon (Ar), nitrogen (N2) and hydrogen (H2), and combinations thereof, among others may be used.
  • Referring to step 206, after the carrier gas stream is established within the process chamber, a pulse of a refractory metal-containing precursor is added to the carrier gas stream. The term pulse as used herein refers to a dose of material injected into the process chamber or into the carrier gas stream. The pulse of the refractory metal-containing precursor lasts for a predetermined time interval.
  • The second refractory metal layer may comprise for example, tungsten (W), tungsten nitride (WN), or tungsten boride (WB), among others. Suitable tungsten-containing precursors may include, for example, tungsten hexafluoride (WF6) and tungsten carbonyl (W(CO)6), among others.
  • The time interval for the pulse of the refractory metal-containing precursor is variable depending on a number of factors such as, for example, the volume capacity of the process chamber employed, the vacuum system coupled thereto and the volatility/reactivity of the reactants used. For example, (1) a large-volume process chamber may lead to a longer time to stabilize the process conditions such as, for example, carrier purge gas flow and temperature, requiring a longer pulse time; and (2) a lower flow rate for the process gas may also lead to a longer time to stabilize the process conditions requiring a longer pulse time. In general, the process conditions are advantageously selected so that a pulse of the refractory metal-containing precursor provides a sufficient amount of precursor, such that at least a monolayer of the refractory metal-containing precursor is adsorbed on the substrate. Thereafter, excess refractory metal-containing precursor remaining in the chamber may be removed from the process chamber by the carrier gas stream in combination with the vacuum system.
  • In step 208, after the excess refractory metal-containing precursor has been sufficiently removed from the process chamber by the carrier gas stream to prevent co-reaction or particle formation with a subsequently provided process gas, a pulse of a reducing gas is added to the carrier gas stream. Suitable reducing gases may include for example, silane (SiH4), disilane (Si2H6), dichlorosilane (SiC12H2), ammonia (NH3), hydrazine (N2H4), monomethyl hydrazine (CH3N2H3), dimethyl hydrazine (C2H6N2H2), t-butyl hydrazine (C4H9N2H3), phenyl hydrazine (C6H6N2H3), 2,2′-azoisobutane ((CH3)6C2N2), ethylazide (C2H5N3), borane (BH3), diborane (B2H6), triborane (B3H9), tetraborane (B4H12), pentaborane (B6H15), hexaborane (B6H18), heptaborane (B7H21), octaborane (B8H24), nanoborane (B9H27) and decaborane (B10H30), among others.
  • The pulse of the reducing gas also lasts for a predetermined time interval. In general, the time interval for the pulse of the reducing gas should be long enough to provide a sufficient amount of the reducing gas for reaction with the refractory metal-containing precursor that is already adsorbed on the substrate. Thereafter, excess reducing gas is flushed from the process chamber by the carrier gas stream in combination with the vacuum system.
  • Steps 204 through 208 comprise one embodiment of a deposition cycle for the second refractory metal-containing layer. For such an embodiment, a constant flow of the carrier gas is provided to the process chamber modulated by alternating periods of pulsing and non-pulsing where the periods of pulsing alternate between the refractory metal-containing precursor and the reducing gas along with the carrier gas stream, while the periods of non-pulsing include only the carrier gas stream.
  • The time interval for each of the pulses of the refractory metal-containing precursor and the reducing gas may have the same duration. That is the duration of the pulse of the refractory metal-containing precursor may be identical to the duration of the pulse of the reducing gas. For such an embodiment, a time interval (T1) for the pulse of the refractory metal-containing precursor equals a time interval (T2) for the pulse of the reducing gas.
  • Alternatively, the time interval for each of the pulses of the refractory metal-containing precursor and the reducing gas may have different durations. That is the duration of the pulse of the refractory metal-containing precursor may be shorter or longer than the duration of the pulse of the reducing gas. For such an embodiment, a time interval (T1) for the pulse of the refractory metal-containing precursor is different than a time interval (T2) for the pulse of the reducing gas.
  • In addition, the periods of non-pulsing between each of the pulses of the refractory metal-containing precursor and the reducing gas may have the same duration. That is, the duration of the period of non-pulsing between each pulse of the refractory metal-containing precursor and each pulse of the reducing gas is identical. For such an embodiment, a time interval (T3) of non-pulsing between the pulse of the refractory metal-containing precursor and the pulse of the reducing gas equals a time interval (T4) of non-pulsing between the pulse of the reducing gas and the pulse of the refractory metal-containing precursor. During the time periods of non-pulsing only the constant carrier gas stream is provided to the process chamber.
  • Alternatively, the periods of non-pulsing between each of the pulses of the refractory metal-containing precursor and the reducing gas may have different durations. That is, the duration of the period of non-pulsing between each pulse of the refractory metal-containing precursor and each pulse of the reducing gas may be shorter or longer than the duration of the period of non-pulsing between each pulse of the reducing gas and the pulse of the refractory metal-containing precursor. For such an embodiment, a time interval (T3) of non-pulsing between the pulse of the refractory metal-containing precursor and the pulse of the reducing gas is different from a time interval (T4) of non-pulsing between the pulse of the reducing gas and the pulse of the refractory metal-containing precursor. During the time periods of non-pulsing only the constant carrier gas stream is provided to the process chamber.
  • Additionally, the time intervals for each pulse of the refractory metal-containing precursor, the reducing gas and the periods of non-pulsing therebetween for each deposition cycle may have the same duration. For such an embodiment, a time interval (T1) for the pulse of the refractory metal-containing precursor, a time interval (T2) for the pulse of the reducing gas, a time interval (T3) of non-pulsing between the pulse of the refractory metal-containing precursor and the pulse of the reducing gas and a time interval (T4) of non-pulsing between the pulse of the reducing gas and the pulse of the refractory metal-containing precursor, each have the same value for each subsequent deposition cycle. For example, in a first deposition cycle (C1), a time interval (T1) for the pulse of the refractory metal-containing precursor has the same duration as the time interval (T1) for the pulse of the refractory metal-containing precursor in subsequent deposition cycles (C2 . . . CN). Similarly, the duration of each pulse of the reducing gas as well as the periods of non-pulsing between the pulse of the refractory metal-containing precursor and the reducing gas in the first deposition cycle (C1) is the same as the duration of each pulse of the reducing gas and the periods of non-pulsing between the pulse of the refractory metal-containing precursor and the reducing gas in subsequent deposition cycles (C2 . . . CN), respectively.
  • Alternatively, the time intervals for at least one pulse of the refractory metal-containing precursor, the reducing gas and the periods of non-pulsing therebetween for one or more of the deposition cycles of the second refractory metal layer may have different durations. For such an embodiment, one or more of the time intervals (T1) for the refractory metal-containing precursor, the time intervals (T2) for the reducing gas, the time intervals (T3) of non-pulsing between the pulse of the refractory metal-containing precursor and the pulse of the reducing gas and the time interval (T4) of non-pulsing between the pulse of the reducing gas and the pulse of the refractory metal-containing precursor may have different values for one or more subsequent deposition cycles of the cyclical deposition process. For example, in a first deposition cycle (C1), the time interval (T1) for the pulse of the refractory metal-containing precursor may be longer or shorter than the time interval (T1) for the pulse of the refractory metal-containing precursor in subsequent deposition cycles (C2 . . . CN). Similarly, the duration of each pulse of the reducing gas and the periods of non-pulsing between the pulse of the refractory metal-containing precursor and the reducing gas in deposition cycle (C1) may be the same or different than the duration of corresponding pulses of the reducing gas and the periods of non-pulsing between the pulse of the refractory metal-containing precursor and the reducing gas in subsequent deposition cycles (C2 . . . CN), respectively.
  • Referring to step 210, after each deposition cycle (steps 204 through 208) a total thickness of the second refractory metal will be formed on the substrate. Depending on specific device requirements, subsequent deposition cycles may be needed to achieve a desired thickness. As such, steps 204 through 208 are repeated until the desired thickness for the second refractory metal layer is achieved. Thereafter, when the desired thickness for the second refractory metal layer is achieved the process is stopped as indicated by step 212.
  • In an alternate process sequence described with respect to FIG. 6, the second refractory metal layer deposition cycle comprises separate pulses for each of the refractory metal-containing precursor, the reducing gas and a purge gas. For such an embodiment, a refractory metal layer deposition sequence 300 includes adjusting the process chamber conditions (step 302), providing a first pulse of a purge gas to the process chamber (step 304), providing a pulse of a refractory metal-containing precursor to the process chamber (step 306), providing a second pulse of a purge gas to the process chamber (step 308), providing a pulse of the reducing gas to the process chamber (step 310), and then repeating steps 304 through 308, or stopping the deposition process (step 314) depending on whether a desired thickness for the refractory metal layer has been achieved (step 312).
  • The time intervals for each of the pulses of the refractory metal-containing precursor, the reducing gas and the purge gas may have the same or different durations as discussed above with respect to FIG. 5. Alternatively, corresponding time intervals for one or more pulses of the refractory metal-containing precursor, the reducing gas and the purge gas in one or more of the deposition cycles of the refractory metal layer deposition process may have different durations.
  • In FIGS. 5-6, the refractory metal layer deposition cycle is depicted as beginning with a pulse of the refractory metal-containing precursor followed by a pulse of the reducing gas. Alternatively, the refractory metal layer deposition cycle may start with a pulse of the reducing gas followed by a pulse of the refractory metal-containing precursor.
  • One exemplary process of depositing a tungsten layer comprises sequentially providing pulses of tungsten hexafluoride (WF6) and pulses of diborane (B2H6). The tungsten hexafluoride (WF6) may be provided to an appropriate flow control valve, for example, an electronic control valve, at a flow rate of between about 10 sccm (standard cubic centimeters per minute) and about 400 sccm, preferably between about 20 sccm and about 100 sccm, and thereafter pulsed for about 1 second or less, preferably about 0.2 seconds or less. A carrier gas comprising argon (Ar) is provided along with the tungsten hexafluoride (WF6) at a flow rate between about 250 sccm to about 1000 sccm, preferably between about 500 sccm to about 750 sccm. The diborane (B2H6) may be provided to an appropriate flow control valve, for example, an electronic control valve, at a flow rate of between about 5 sccm and about 150 sccm, preferably between about 5 sccm and about 25 sccm, and thereafter pulsed for about 1 second or less, preferably about 0.2 seconds or less. A carrier gas comprising argon (Ar) is provided along with the diborane (B2H6) at a flow rate between about 250 sccm to about 1000 sccm, preferably between about 500 sccm to about 750 sccm. The substrate may be maintained at a temperature between about 250° C. and about 350° C., preferably about 300° C. at a chamber pressure between about 1 torr to about 10 torr, preferably about 5 torr.
  • Another exemplary process of depositing a tungsten layer comprises sequentially providing pulses of tungsten hexafluoride (WF6) and pulses of silane (SiH4). The tungsten hexafluoride (WF6) may be provided to an appropriate flow control valve, for example, an electronic control valve, at a flow rate of between about 10 sccm (standard cubic centimeters per minute) and about 400 sccm, preferably between about 20 sccm and about 100 sccm, and thereafter pulsed for about 1 second or less, preferably about 0.2 seconds or less. A carrier gas comprising argon (Ar) is provided to along with the tungsten hexafluoride (WF6) at a flow rate between about 250 sccm to about 1000 sccm, preferably between about 300 sccm to about 500 sccm. The silane (SiH4) may be provided to an appropriate flow control valve, for example, an electronic control valve, at a flow rate between about 10 sccm to about 500 sccm, preferably between about 50 sccm to about 200 sccm, and thereafter pulsed for about 1 second or less, preferably about 0.2 seconds or less. A carrier gas comprising argon (Ar) is provided along with the silane (SiH4) at a flow rate between about 250 sccm to about 1000 sccm, preferably between about 300 sccm to about 500 sccm. A pulse of a purge gas comprising agron (Ar) at a flow rate between about 300 sccm to about 1000 sccm, preferably between about 500 sccm to about 750 sccm, in pulses of about 1 second or less, preferably about 0.3 seconds or less is provided between the pulses of the tungsten hexafluoride (WF6) and the pulses of silane (SiH4). The substrate may be maintained at a temperature between about 300° C. to about 400° C., preferably about 350° C., at a chamber pressure between about 1 torr to about 10 torr.
  • Referring to FIG. 4, the dual-mode deposition process is depicted as forming the first refractory metal layer using a chemical vapor deposition (CVD) process followed by formation of the second refractory metal layer using a cyclical deposition process. Alternatively, the dual-mode deposition process may start with a first refractory metal layer deposited using a cyclical deposition process followed by a second refractory metal layer deposited using a chemical vapor deposition (CVD) process.
  • Integrated Circuit Fabrication Processes 1. Copper Interconnects
  • FIGS. 7A-7C illustrate cross-sectional views of a substrate at different stages of a copper interconnect fabrication sequence incorporating the integrated barrier layer of the present invention. FIG. 7A, for example, illustrates a cross-sectional view of a substrate 400 having metal contacts 404 and a dielectric layer 402 formed thereon. The substrate 400 may comprise a semiconductor material such as, for example, silicon (Si), germanium (Ge), or gallium arsenide (GaAs). The dielectric layer 402 may comprise an insulating material such as, for example, silicon oxide or silicon nitride. The metal contacts 404 may comprise for example, copper (Cu). Apertures 404H may be defined in the dielectric layer 402 to provide openings over the metal contacts 404. The apertures 404H may be defined in the dielectric layer 402 using conventional lithography and etching techniques.
  • Referring to FIG. 7B, an integrated barrier layer 406 is formed in the apertures 404H defined in the dielectric layer 402. The integrated barrier layer 406 comprises a titanium nitride (TiN) layer formed with a chemical vapor deposition (CVD) process and a tungsten (W) layer formed with a cyclical deposition process. The integrated barrier layer 406 is formed using the deposition techniques described above with respect to FIGS. 4-6. The thickness of the integrated barrier layer 406 is typically about 20 Å to about 500 Å.
  • Thereafter, the apertures 404H are filled with copper (Cu) metallization 408 using a suitable deposition process as shown in FIG. 7C. For example, copper (Cu) may be deposited with a chemical vapor deposition (CVD) process using copper-containing precursors such as Cu+2(hfac)2 (copper hexafluoro acetylacetonate), Cu+2(fod)2 (copper heptafluoro dimethyl octanediene), Cu+1hfac TMVS (copper hexafluoro acetylacetonate trimethylvinylsilane), among others.
  • 2. Gate Electrodes
  • FIGS. 8A-8C illustrate cross-sectional views of a substrate at different stages of a gate electrode fabrication sequence incorporating the integrated barrier layer of the present invention. FIG. 8A, for example, illustrates a cross-sectional view of a substrate 500 having gate regions 504 formed on the surface thereof. The gate regions 504 are surrounded by a dielectric material 502. The substrate 500 may comprise a semiconductor material such as, for example, silicon (Si), germanium (Ge), or gallium arsenide (GaAs). The dielectric material 502 may comprise an insulating material such as, for example, silicon oxide or silicon nitride.
  • Referring to FIG. 8B, an integrated barrier layer 506 is formed on the gate regions 504. The integrated barrier layer 506 comprises a titanium nitride (TiN) layer formed with a chemical vapor deposition (CVD) process and a tungsten (W) layer formed with a cyclical deposition process. The integrated barrier layer 506 is formed using the deposition techniques described above with respect to FIGS. 4-6. The thickness of the integrated barrier layer 506 is typically about 20 Å to about 500 Å.
  • Thereafter, the gate electrodes are completed by depositing gate metallization 508 on the integrated barrier layer 506 as shown in FIG. 8C. The gate metallization may comprise tungsten (W), aluminum (Al) or copper (Cu), among others. For example, tungsten (W) may be deposited with a chemical vapor deposition (CVD) process from the thermal decomposition of tungsten hexafluoride (WF6) or tungsten carbonyl (W(CO)6); aluminum may be deposited with a chemical vapor deposition (CVD) process using dimethyl aluminum hydride (DMAH); or copper (Cu) may be deposited with a chemical vapor deposition (CVD) process using copper-containing precursors such as Cu+2(hfac)2 (copper hexafluoro acetylacetonate), Cu+2(fod)2 (copper heptafluoro dimethyl octanediene), or Cu+1hfac TMVS (copper hexafluoro acetylacetonate trimethylvinylsilane), among others.
  • 3. Trench Capacitors
  • FIGS. 9A-9D are illustrative of a metal-insulator-metal (MIM) trench capacitor fabrication sequence incorporating the integrated barrier layer of the present invention. FIG. 9A, for example, illustrates a cross-sectional view of a substrate 655 having a dielectric material layer 657 formed thereon. The substrate 655 may comprise a semiconductor material such as, for example, silicon (Si), germanium (Ge), or gallium arsenide (GaAs). The dielectric material layer 657 may comprise an insulator such as, for example, silicon oxide or silicon nitride. At least one trench 659 is defined in the dielectric material layer 657. The trench may be formed using conventional lithography and etching techniques.
  • Referring to FIG. 9B, a first integrated barrier layer 660 is formed on the trench 659. The first integrated barrier layer 660 comprises a titanium nitride (TiN) layer formed with a chemical vapor deposition (CVD) process and a tungsten (W) layer formed with a cyclical deposition process. The first integrated barrier layer 660 is formed using the deposition techniques described above with respect to FIGS. 4-6. The thickness of the integrated barrier layer 660 is typically about 20 Å to about 500 Å.
  • A first metal layer 661 is formed over the first integrated barrier layer 660. The first metal layer 661 comprises the first electrode of the metal-insulator-metal (MIM) trench capacitor. A suitable metal for the first metal layer 661 includes, for example, tungsten (W). The thickness of the first metal layer 661 is typically about 100 Å to about 1000 Å.
  • The trench capacitor further includes an insulating layer 663 formed over the metal layer 661, as shown in FIG. 9C. The insulating layer 663 preferably comprises a high dielectric constant material (dielectric constant greater then about 10). High dielectric constant materials advantageously permit higher charge storage capacities for the capacitor structures. Suitable dielectric materials may include for example, tantalum pentoxide (Ta2O5), silicon oxide/silicon nitride/oxynitride (ONO), aluminum oxide (Al2O3), barium strontium titanate (BST), barium titanate, lead zirconate titanate (PZT), lead lanthanium titanate, strontium titanate and strontium bismuth titanate, among others.
  • The thickness of the insulating layer 663 is variable depending on the dielectric constant of the material used and the geometry of the device being fabricated. Typically, the insulating layer 663 has a thickness of about 100 Å to about 1000 Å.
  • A second integrated barrier layer 664 is formed on the insulating layer 663. The second integrated barrier layer 664 comprises a titanium nitride (TiN) layer formed with a chemical vapor deposition (CVD) process and a tungsten (W) layer formed with a cyclical deposition process. The second integrated barrier layer 664 is formed using the deposition techniques described above with respect to FIGS. 4-6. The thickness of the integrated barrier layer 664 is typically about 20 Å to about 500 Å.
  • A second metal layer 665 is formed over the second integrated barrier layer 664. The second metal layer 665 comprises the second electrode of the metal-insulator-metal (MIM) trench capacitor. A suitable metal for the second metal layer 665 includes, for example, tungsten (W). The thickness of the second metal layer 665 is typically about 100 Å to about 1000 Å.
  • After the second metal layer 665 is formed, the metal-insulator-metal (MIM) trench capacitor is completed by filling the trench 659 with, for example, a polysilicon layer 667, as shown in FIG. 9D. The polysilicon layer 667 may be formed using conventional deposition techniques. For example, the polysilicon layer 667 may be deposited using a chemical vapor deposition (CVD) process in which silane (SiH4) is thermally decomposed to form polysilicon at a temperature between about 550° C. and 700° C.
  • 4. Crown Capacitors
  • FIGS. 10A-10B illustrate cross-sectional views of a substrate at different stages of a crown capacitor fabrication sequence incorporating the integrated barrier layer of the present invention. The term crown capacitor as used herein refers to a capacitor structure having a three-dimensional shape formed above the surface of the substrate. The three-dimensional shape increases the capacitance of the device by increasing the surface area thereof.
  • FIG. 10A, for example, illustrates a cross-sectional view of a substrate 712 having a dielectric layer 714 formed thereon. The substrate 712 may comprise a semiconductor material such as, for example, silicon (Si), germanium (Ge), or gallium arsenide (GaAs). The dielectric 714 may comprise an oxide such as, for example, a silicon oxide. The dielectric layer 714 has at least one aperture 716 formed therein.
  • A first polysilicon layer 718 is formed over the dielectric layer 714 and the at least one aperture 716. The first polysilicon layer 718 may be doped with a suitable dopant such as, for example, arsenic (As), antimony (Sb), phosphorous (P) and boron (B), among others.
  • A hemispherical silicon grain layer (HSG) 720 or a rough polysilicon layer may optionally be formed over the first polysilicon layer 718 to increase the surface area thereof. The hemispherical silicon grain layer 720 may be formed, for example, by depositing an amorphous silicon layer and than annealing it to form a rough surface thereon. The hemispherical silicon grain layer 720 may optionally by doped.
  • The first polysilicon layer 718 and the hemispherical silicon grain layer (HSG) 720 are patterned and etched to form a crown structure 730. Both the first polysilicon layer 718 and the hemispherical silicon grain layer (HSG) act as a first electrode for the crown capacitor.
  • The crown capacitor further includes an insulating layer 732 formed over the hemispherical silicon grain layer 718 of the crown structure 730. The insulating layer 732 preferably comprises a high dielectric constant material (dielectric constant greater then about 10). High dielectric constant materials advantageously permit higher charge storage capacities for the capacitor structures. Suitable dielectric materials may include for example, tantalum pentoxide (Ta2O5), silicon oxide/silicon nitride/oxynitride (ONO), aluminum oxide (Al2O3), barium strontium titanate (BST), barium titanate, lead zirconate titanate (PZT), lead lanthanium titanate, strontium titanate and strontium bismuth titanate, among others.
  • Referring to FIG. 10B, an integrated barrier layer 734 is formed on the insulating layer 732. The integrated barrier layer 734 comprises a titanium nitride (TiN) layer formed with a chemical vapor deposition (CVD) process and a tungsten (W) layer formed with a cyclical deposition process. The integrated barrier layer 734 is formed using the deposition techniques described above with respect to FIGS. 4-6. The thickness of the integrated barrier layer 734 is typically about 20 Å to about 500 Å.
  • A metal layer 742 is formed over the integrated barrier layer 734. The metal layer 742 comprises the second electrode of the crown capacitor. A suitable metal for the metal layer 742 includes, for example, tungsten (W). The thickness of the metal layer 742 is typically about 100 Å to about 1000 Å.
  • After the metal layer 742 is formed, the crown capacitor is completed by depositing, for example, a second polysilicon layer 752 thereover, as shown in FIG. 10B. The second polysilicon layer 752 may be formed using conventional deposition techniques. For example, the second polysilicon layer 752 may be deposited using a chemical vapor deposition (CVD) process in which silane (SiH4) is thermally decomposed to form polysilicon at a temperature between about 550° C. and 700° C.
  • While the foregoing is directed to the preferred embodiment of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (20)

1. An apparatus for processing a substrate, comprising:
a process chamber; and
a dual-mode gas distribution plate disposed within the process chamber, the dual-mode gas distribution plate having:
a first gas distribution zone disposed in a center of the gas distribution plate; and
a second gas distribution zone surrounding the first gas distribution zone, the second gas distribution zone being fluidly isolated from the first gas distribution zone, wherein:
the first gas distribution zone is coupled to a valve system to deliver sequential pulses of a first gas to the first gas distribution zone to perform a cyclical deposition process; and
the second gas distribution zone is in communication with a flow controller to deliver a second gas to perform a chemical vapor deposition process.
2. The apparatus of claim 1, wherein the valve system comprises one or more electronic control valves.
3. The apparatus of claim 2, wherein each of the one or more electronic control valves deliver pulses of the first gas in intervals of less than about 1 second to about 2 seconds.
4. The apparatus of claim 1, wherein the first gas distribution zone has a center opening and the second distribution zone has a plurality of openings radially dispersed around the center opening.
5. The apparatus of claim 1, further comprising:
a seal disposed between the first gas distribution zone and the second gas distribution zone.
6. The apparatus of claim 1, wherein the second gas distribution zone is in fluid communication with at least two gas sources.
7. The apparatus of claim 6, wherein the at least two gas sources are coupled to a mixing chamber disposed between the flow controller and the second gas distribution zone.
8. The apparatus of claim 6, wherein one of the at least two gas sources comprises a titanium containing precursor.
9. The apparatus of claim 6, wherein the valve system is coupled to a tungsten containing gas source and a reducing gas source.
10. An apparatus for processing a substrate, comprising:
a process chamber; and
a dual-mode gas distribution plate disposed within the process chamber, the dual-mode gas distribution plate comprising:
a first gas distribution zone having an opening in a center of the gas distribution plate; and
a second gas distribution zone having a plurality of openings surrounding the opening in the center of the gas distribution plate, the second gas distribution zone being fluidly isolated from the first gas distribution zone, wherein:
the first gas distribution zone is coupled to an electronic valve to deliver sequential pulses of a first gas to the first gas distribution zone to perform a cyclical deposition process; and
the second gas distribution zone is in communication with a flow controller to deliver a second gas to perform a chemical vapor deposition process.
11. The apparatus of claim 10, wherein the electronic valve delivers pulses of the first gas in intervals of less than about 1 second to about 2 seconds.
12. The apparatus of claim 10, further comprising:
a seal disposed between the first gas distribution zone and the second gas distribution zone.
13. The apparatus of claim 10, wherein the second gas distribution zone is in fluid communication with at least two gas sources.
14. The apparatus of claim 13, wherein the at least two gas sources are coupled to a mixing chamber disposed between the flow controller and the second gas distribution zone.
15. The apparatus of claim 13, wherein one of the at least two gas sources comprises a titanium containing precursor.
16. The apparatus of claim 13, wherein the electronic valve is coupled to a tungsten containing gas source and a reducing gas source.
17. An apparatus for processing a substrate, comprising:
a process chamber; and
a dual-mode gas distribution plate disposed within the process chamber, the dual-mode gas distribution plate comprising:
a first gas distribution zone having an opening in a center of the gas distribution plate; and
a second gas distribution zone having a plurality of openings radially dispersed around the opening in the center of the gas distribution plate, the second gas distribution zone being fluidly isolated from the first gas distribution zone, wherein:
the first gas distribution zone is coupled to an electronic valve to deliver sequential pulses of a tungsten containing gas and a reducing gas to the first gas distribution zone to perform a cyclical deposition process; and
the second gas distribution zone is in communication with a flow controller to deliver a second gas comprising a titanium containing precursor gas to perform a chemical vapor deposition process.
18. The apparatus of claim 17, wherein the electronic valve delivers pulses of the tungsten containing gas and the reducing gas in intervals of less than about 1 second to about 2 seconds.
19. The apparatus of claim 17, further comprising:
a seal disposed between the first gas distribution zone and the second gas distribution zone.
20. The apparatus of claim 17, further comprising:
a mixing chamber disposed between the flow controller and the second gas distribution zone.
US12/987,962 2002-04-16 2011-01-10 System and method for forming an integrated barrier layer Abandoned US20110100295A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/987,962 US20110100295A1 (en) 2002-04-16 2011-01-10 System and method for forming an integrated barrier layer

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US38622102P 2002-04-16 2002-04-16
US10/414,271 US7279432B2 (en) 2002-04-16 2003-04-15 System and method for forming an integrated barrier layer
US11/770,735 US7867914B2 (en) 2002-04-16 2007-06-29 System and method for forming an integrated barrier layer
US12/987,962 US20110100295A1 (en) 2002-04-16 2011-01-10 System and method for forming an integrated barrier layer

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/770,735 Division US7867914B2 (en) 2002-04-16 2007-06-29 System and method for forming an integrated barrier layer

Publications (1)

Publication Number Publication Date
US20110100295A1 true US20110100295A1 (en) 2011-05-05

Family

ID=29739896

Family Applications (3)

Application Number Title Priority Date Filing Date
US10/414,271 Expired - Lifetime US7279432B2 (en) 2002-04-16 2003-04-15 System and method for forming an integrated barrier layer
US11/770,735 Active 2024-12-25 US7867914B2 (en) 2002-04-16 2007-06-29 System and method for forming an integrated barrier layer
US12/987,962 Abandoned US20110100295A1 (en) 2002-04-16 2011-01-10 System and method for forming an integrated barrier layer

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US10/414,271 Expired - Lifetime US7279432B2 (en) 2002-04-16 2003-04-15 System and method for forming an integrated barrier layer
US11/770,735 Active 2024-12-25 US7867914B2 (en) 2002-04-16 2007-06-29 System and method for forming an integrated barrier layer

Country Status (1)

Country Link
US (3) US7279432B2 (en)

Families Citing this family (232)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7164165B2 (en) * 2002-05-16 2007-01-16 Micron Technology, Inc. MIS capacitor
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
KR20060079144A (en) * 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 Atomic layer deposition of barrier materials
US6921711B2 (en) * 2003-09-09 2005-07-26 International Business Machines Corporation Method for forming metal replacement gate of high performance
DE10345824A1 (en) * 2003-09-30 2005-05-04 Infineon Technologies Ag Arrangement for depositing atomic layers onto substrates used in the production of semiconductors comprises a source for trimethylaluminum vapor and a source for water connected together
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
KR100680504B1 (en) * 2004-06-30 2007-02-08 동부일렉트로닉스 주식회사 Method of manufacturing capacitor of semiconudctor device
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
KR20060074574A (en) * 2004-12-27 2006-07-03 동부일렉트로닉스 주식회사 Apparatus for supplying tdmat to a pvd system
US7273814B2 (en) * 2005-03-16 2007-09-25 Tokyo Electron Limited Method for forming a ruthenium metal layer on a patterned substrate
KR100642763B1 (en) * 2005-09-06 2006-11-10 삼성전자주식회사 Semiconductor device tin layer structure, fabrication method the same, semiconductor device having the same, and semiconductor device fabrication method
US7850779B2 (en) 2005-11-04 2010-12-14 Applied Materisals, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US20070227659A1 (en) * 2006-03-31 2007-10-04 Tokyo Electron Limited Plasma etching apparatus
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
TWI312578B (en) * 2006-09-29 2009-07-21 Innolux Display Corp Thin film transistor substrate
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US7808106B1 (en) * 2008-05-09 2010-10-05 Eric Eisenbraun Nano-laminate difussion barrier for direct electrochemical deposition copper
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
JP2010093116A (en) * 2008-10-09 2010-04-22 Panasonic Corp Semiconductor device and method for manufacturing the same
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US8927066B2 (en) * 2011-04-29 2015-01-06 Applied Materials, Inc. Method and apparatus for gas delivery
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US20140117550A1 (en) * 2012-10-29 2014-05-01 International Business Machines Corporation Semiconductor device including an insulating layer, and method of forming the semiconductor device
US8859417B2 (en) 2013-01-03 2014-10-14 Globalfoundries Inc. Gate electrode(s) and contact structure(s), and methods of fabrication thereof
US9412602B2 (en) * 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
KR102271202B1 (en) * 2013-09-27 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 Method of enabling seamless cobalt gap-fill
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
JP6359913B2 (en) * 2014-08-12 2018-07-18 東京エレクトロン株式会社 Processing equipment
JP6222880B2 (en) * 2014-09-24 2017-11-01 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, semiconductor device, and program
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
WO2018191183A1 (en) 2017-04-10 2018-10-18 Lam Research Corporation Low resistivity films containing molybdenum
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
CN111095513B (en) 2017-08-18 2023-10-31 应用材料公司 High-pressure high-temperature annealing chamber
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
DE102017216937A1 (en) * 2017-09-25 2019-03-28 Robert Bosch Gmbh Method for producing at least one via in a wafer
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
KR102585074B1 (en) 2017-11-11 2023-10-04 마이크로머티어리얼즈 엘엘씨 Gas delivery system for high pressure processing chamber
KR20200075892A (en) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 Condenser system for high pressure treatment systems
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
CN111344522B (en) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 Including clean mini-environment device
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102536820B1 (en) 2018-03-09 2023-05-24 어플라이드 머티어리얼스, 인코포레이티드 High pressure annealing process for metal containing materials
SG11202008268RA (en) 2018-03-19 2020-10-29 Applied Materials Inc Methods for depositing coatings on aerospace components
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
KR20200140391A (en) 2018-05-03 2020-12-15 램 리써치 코포레이션 Method of depositing tungsten and other metals on 3D NAND structures
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
CN112292477A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
JP2021529254A (en) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
KR20210028273A (en) * 2018-07-31 2021-03-11 램 리써치 코포레이션 Filling of multi-layered features
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10636705B1 (en) 2018-11-29 2020-04-28 Applied Materials, Inc. High pressure annealing of metal gate structures
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
US11821071B2 (en) 2019-03-11 2023-11-21 Lam Research Corporation Precursors for deposition of molybdenum-containing films
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11251261B2 (en) * 2019-05-17 2022-02-15 Micron Technology, Inc. Forming a barrier material on an electrode
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
JP2021172884A (en) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride-containing layer and structure comprising vanadium nitride-containing layer
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
US11739429B2 (en) 2020-07-03 2023-08-29 Applied Materials, Inc. Methods for refurbishing aerospace components
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4508054A (en) * 1981-03-06 1985-04-02 Battelle Memorial Institute Device for depositing a mineral oxide coating on a substrate
US5624498A (en) * 1993-12-22 1997-04-29 Samsung Electronics Co., Ltd. Showerhead for a gas supplying apparatus
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US6136685A (en) * 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6291028B1 (en) * 1996-02-09 2001-09-18 Applied Materials, Inc. Method and apparatus for improving the film quality of plasma enhanced CVD films at the interface
US6294026B1 (en) * 1996-11-26 2001-09-25 Siemens Aktiengesellschaft Distribution plate for a reaction chamber with multiple gas inlets and separate mass flow control loops
US20020000196A1 (en) * 2000-06-24 2002-01-03 Park Young-Hoon Reactor for depositing thin film on wafer
US20020094689A1 (en) * 2000-06-24 2002-07-18 Park Young-Hoon Apparatus and method for depositing thin film on wafer using atomic layer deposition
US20030003635A1 (en) * 2001-05-23 2003-01-02 Paranjpe Ajit P. Atomic layer deposition for fabricating thin films

Family Cites Families (389)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) * 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
SE393967B (en) 1974-11-29 1977-05-31 Sateko Oy PROCEDURE AND PERFORMANCE OF LAYING BETWEEN THE STORAGE IN A LABOR PACKAGE
FI57975C (en) 1979-02-28 1980-11-10 Lohja Ab Oy OVER ANCHORING VIDEO UPDATE FOR AVAILABILITY
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4413973A (en) * 1981-06-24 1983-11-08 Automation International Corp. Extrusion die
FI64878C (en) * 1982-05-10 1984-01-10 Lohja Ab Oy KOMBINATIONSFILM FOER ISYNNERHET TUNNFILMELEKTROLUMINENSSTRUKTURER
US5259881A (en) 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
JPH0766910B2 (en) 1984-07-26 1995-07-19 新技術事業団 Semiconductor single crystal growth equipment
GB2162207B (en) 1984-07-26 1989-05-10 Japan Res Dev Corp Semiconductor crystal growth apparatus
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
US5250148A (en) 1985-05-15 1993-10-05 Research Development Corporation Process for growing GaAs monocrystal film
US4829022A (en) 1985-12-09 1989-05-09 Nippon Telegraph And Telephone Corporation Method for forming thin films of compound semiconductors by flow rate modulation epitaxy
US4917556A (en) 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4838983A (en) 1986-07-03 1989-06-13 Emcore, Inc. Gas treatment apparatus and method
US4767494A (en) 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
JPH0834180B2 (en) 1986-08-26 1996-03-29 セイコー電子工業株式会社 Method for growing compound semiconductor thin film
JPH0810211B2 (en) 1986-09-05 1996-01-31 日本碍子株式会社 Gas sensor and manufacturing method thereof
JPH0639357B2 (en) 1986-09-08 1994-05-25 新技術開発事業団 Method for growing element semiconductor single crystal thin film
US5246536A (en) 1986-09-08 1993-09-21 Research Development Corporation Of Japan Method for growing single crystal thin films of element semiconductor
US5227335A (en) 1986-11-10 1993-07-13 At&T Bell Laboratories Tungsten metallization
JP2929291B2 (en) 1986-12-04 1999-08-03 セイコーインスツルメンツ株式会社 Method of manufacturing insulated gate field effect transistor
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5882165A (en) 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US5923985A (en) 1987-01-05 1999-07-13 Seiko Instruments Inc. MOS field effect transistor and its manufacturing method
JP2555045B2 (en) 1987-01-19 1996-11-20 株式会社日立製作所 Thin film forming method and apparatus
DE3704505A1 (en) 1987-02-13 1988-08-25 Leybold Ag INSERT UNIT FOR VACUUM SYSTEMS
US4976996A (en) * 1987-02-17 1990-12-11 Lam Research Corporation Chemical vapor deposition reactor and method of use thereof
JPH0812844B2 (en) 1987-03-27 1996-02-07 日本電気株式会社 (III) -Group V compound semiconductor and method for forming the same
JPH0727861B2 (en) 1987-03-27 1995-03-29 富士通株式会社 Method for growing group III compound semiconductor crystal
DE3721637A1 (en) 1987-06-30 1989-01-12 Aixtron Gmbh GAS INLET FOR A MULTIPLE DIFFERENT REACTION GAS IN REACTION VESSELS
US5348911A (en) 1987-06-30 1994-09-20 Aixtron Gmbh Material-saving process for fabricating mixed crystals
US4840921A (en) 1987-07-01 1989-06-20 Nec Corporation Process for the growth of III-V group compound semiconductor crystal on a Si substrate
JPH0666274B2 (en) 1987-07-01 1994-08-24 日本電気株式会社 (III) -Method for forming group V compound semiconductor
FI81926C (en) 1987-09-29 1990-12-10 Nokia Oy Ab FOERFARANDE FOER UPPBYGGNING AV GAAS-FILMER PAO SI- OCH GAAS-SUBSTRATER.
DE3743938C2 (en) 1987-12-23 1995-08-31 Cs Halbleiter Solartech Process for atomic layer epitaxy growth of a III / V compound semiconductor thin film
FR2626110A1 (en) 1988-01-19 1989-07-21 Thomson Csf Process for producing a layer of a superconductive material by epitaxy
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US5130269A (en) 1988-04-27 1992-07-14 Fujitsu Limited Hetero-epitaxially grown compound semiconductor substrate and a method of growing the same
DE3851701T2 (en) 1988-06-03 1995-03-30 Ibm Process for the production of artificial high-temperature superconductors with a multilayer structure.
US4927670A (en) 1988-06-22 1990-05-22 Georgia Tech Research Corporation Chemical vapor deposition of mixed metal oxide coatings
JPH0666287B2 (en) 1988-07-25 1994-08-24 富士通株式会社 Method for manufacturing semiconductor device
US5234561A (en) 1988-08-25 1993-08-10 Hauzer Industries Bv Physical vapor deposition dual coating process
US4931132A (en) 1988-10-07 1990-06-05 Bell Communications Research, Inc. Optical control of deposition of crystal monolayers
US5013683A (en) 1989-01-23 1991-05-07 The Regents Of The University Of California Method for growing tilted superlattices
JPH0824191B2 (en) * 1989-03-17 1996-03-06 富士通株式会社 Thin film transistor
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
EP0413982B1 (en) 1989-07-27 1997-05-14 Junichi Nishizawa Impurity doping method with adsorbed diffusion source
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
JP2926798B2 (en) 1989-11-20 1999-07-28 国際電気株式会社 Continuous processing etching method and apparatus
EP0430274A3 (en) 1989-12-01 1993-03-24 Seiko Instruments Inc. Method of producing bipolar transistor
US5290748A (en) 1990-01-16 1994-03-01 Neste Oy Polymerization catalyst for olefines
US5338389A (en) 1990-01-19 1994-08-16 Research Development Corporation Of Japan Method of epitaxially growing compound crystal and doping method therein
JPH07105497B2 (en) 1990-01-31 1995-11-13 新技術事業団 Semiconductor device and manufacturing method thereof
DE4004276A1 (en) 1990-02-13 1991-08-14 Amazonen Werke Dreyer H SUPPORT ROLLER
US5316615A (en) 1990-03-23 1994-05-31 International Business Machines Corporation Surfactant-enhanced epitaxy
JPH042699A (en) 1990-04-18 1992-01-07 Mitsubishi Electric Corp Growing of crystal
US5173474A (en) 1990-04-18 1992-12-22 Xerox Corporation Silicon substrate having an epitaxial superconducting layer thereon and method of making same
EP0455444B1 (en) 1990-04-29 1997-10-08 Canon Kabushiki Kaisha Movement detection device and focus detection apparatus using such device
US5091320A (en) 1990-06-15 1992-02-25 Bell Communications Research, Inc. Ellipsometric control of material growth
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5483919A (en) 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
DE4027628A1 (en) 1990-08-31 1992-03-05 Wolters Peter Fa DEVICE FOR CONTROLLING OR CONTROLLING LAEPP, HONING OR POLISHING MACHINES
US5085885A (en) 1990-09-10 1992-02-04 University Of Delaware Plasma-induced, in-situ generation, transport and use or collection of reactive precursors
US5286296A (en) 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
US5705224A (en) 1991-03-20 1998-01-06 Kokusai Electric Co., Ltd. Vapor depositing method
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US6001669A (en) 1991-09-09 1999-12-14 Philips Electronics North America Corporation Method for producing II-VI compound semiconductor epitaxial layers having low defects
US5311055A (en) 1991-11-22 1994-05-10 The United States Of America As Represented By The Secretary Of The Navy Trenched bipolar transistor structures
JP2987379B2 (en) 1991-11-30 1999-12-06 科学技術振興事業団 Method for epitaxial growth of semiconductor crystal
US5336324A (en) 1991-12-04 1994-08-09 Emcore Corporation Apparatus for depositing a coating on a substrate
US5397428A (en) 1991-12-20 1995-03-14 The University Of North Carolina At Chapel Hill Nucleation enhancement for chemical vapor deposition of diamond
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5256244A (en) 1992-02-10 1993-10-26 General Electric Company Production of diffuse reflective coatings by atomic layer epitaxy
US5458084A (en) 1992-04-16 1995-10-17 Moxtek, Inc. X-ray wave diffraction optics constructed by atomic layer epitaxy
RU94046132A (en) 1992-05-22 1996-09-27 Миннесота Майнинг энд Мануфакчуринг Компани (US) Method for controlling molecular beam epitaxial system in manufacturing electric fluorescent devices around semiconductor compound ii-vi and laser diodes ii-vi
US5278435A (en) 1992-06-08 1994-01-11 Apa Optics, Inc. High responsivity ultraviolet gallium nitride detector
FI91422C (en) 1992-06-18 1994-06-27 Mikrokemia Oy Process and apparatus for supplying liquid reagents to a chemical reactor
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
JPH0750690B2 (en) 1992-08-21 1995-05-31 日本電気株式会社 Method and apparatus for epitaxial growth of semiconductor crystal using halide
JP3405466B2 (en) 1992-09-17 2003-05-12 富士通株式会社 Fluid switching valve and semiconductor device manufacturing apparatus
US5532511A (en) 1992-10-23 1996-07-02 Research Development Corp. Of Japan Semiconductor device comprising a highspeed static induction transistor
US5455072A (en) 1992-11-18 1995-10-03 Bension; Rouvain M. Initiation and bonding of diamond and other thin films
JPH06177349A (en) 1992-12-02 1994-06-24 Matsushita Electric Ind Co Ltd High density dram and manufacture thereof
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP3265042B2 (en) 1993-03-18 2002-03-11 東京エレクトロン株式会社 Film formation method
JP3124861B2 (en) 1993-03-24 2001-01-15 富士通株式会社 Thin film growth method and semiconductor device manufacturing method
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5526244A (en) * 1993-05-24 1996-06-11 Bishop; Vernon R. Overhead luminaire
US5330610A (en) 1993-05-28 1994-07-19 Martin Marietta Energy Systems, Inc. Method of digital epilaxy by externally controlled closed-loop feedback
JPH0729897A (en) 1993-06-25 1995-01-31 Nec Corp Manufacture of semiconductor device
US6130147A (en) 1994-04-07 2000-10-10 Sdl, Inc. Methods for forming group III-V arsenide-nitride semiconductor materials
JP3181171B2 (en) 1994-05-20 2001-07-03 シャープ株式会社 Vapor phase growth apparatus and vapor phase growth method
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JP3008782B2 (en) 1994-07-15 2000-02-14 信越半導体株式会社 Vapor phase growth method and apparatus
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
TW295677B (en) 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
US5641984A (en) 1994-08-19 1997-06-24 General Electric Company Hermetically sealed radiation imager
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US5644128A (en) 1994-08-25 1997-07-01 Ionwerks Fast timing position sensitive detector
US6158446A (en) 1994-11-14 2000-12-12 Fsi International Ultra-low particle semiconductor cleaner
JPH08148431A (en) 1994-11-24 1996-06-07 Mitsubishi Electric Corp Mbe apparatus and gas branch-piping apparatus
FI100409B (en) * 1994-11-28 1997-11-28 Asm Int Method and apparatus for making thin films
FI97731C (en) * 1994-11-28 1997-02-10 Mikrokemia Oy Method and apparatus for making thin films
FI97730C (en) 1994-11-28 1997-02-10 Mikrokemia Oy Equipment for the production of thin films
JP3288200B2 (en) 1995-06-09 2002-06-04 東京エレクトロン株式会社 Vacuum processing equipment
JPH0922896A (en) 1995-07-07 1997-01-21 Toshiba Corp Method of selective forming of metal film
KR0167248B1 (en) 1995-07-24 1999-02-01 문정환 Heat treatment of substrate
KR100244041B1 (en) 1995-08-05 2000-02-01 엔도 마코토 Substrate processing apparatus
US5804488A (en) 1995-08-24 1998-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a tungsten silicide capacitor having a high breakdown voltage
US5672054A (en) 1995-12-07 1997-09-30 Carrier Corporation Rotary compressor with reduced lubrication sensitivity
US5733816A (en) 1995-12-13 1998-03-31 Micron Technology, Inc. Method for depositing a tungsten layer on silicon
US6084302A (en) * 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
FI107533B (en) 1996-04-03 2001-08-31 Fortum Oil & Gas Oy Functional surfaces for conducting chemical reactions and processes for their preparation
US5667592A (en) 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US5788799A (en) 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US6062798A (en) 1996-06-13 2000-05-16 Brooks Automation, Inc. Multi-level substrate processing apparatus
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5747113A (en) 1996-07-29 1998-05-05 Tsai; Charles Su-Chang Method of chemical vapor deposition for producing layer variation by planetary susceptor rotation
US5830270A (en) 1996-08-05 1998-11-03 Lockheed Martin Energy Systems, Inc. CaTiO3 Interfacial template structure on semiconductor-based material and the growth of electroceramic thin-films in the perovskite class
US6447119B1 (en) * 1996-08-12 2002-09-10 Visionrx, Inc. Apparatus for visualizing the eye's tear film
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JP2923753B2 (en) 1996-08-21 1999-07-26 工業技術院長 Method for forming group III atomic layer
KR100216542B1 (en) 1996-08-27 1999-08-16 정선종 Multi-target driving apparatus for pulse laser depositing system
FI100758B (en) 1996-09-11 1998-02-13 Planar Internat Oy Ltd Methods to Form a Luminescence Layer of ZnS: Mn for Thin Film Electroluminescence Components
US5835677A (en) 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US5923056A (en) * 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US6335280B1 (en) 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US6043177A (en) 1997-01-21 2000-03-28 University Technology Corporation Modification of zeolite or molecular sieve membranes using atomic layer controlled chemical vapor deposition
US6051286A (en) 1997-02-12 2000-04-18 Applied Materials, Inc. High temperature, high deposition rate process and apparatus for depositing titanium layers
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US5855675A (en) 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
JPH10308283A (en) * 1997-03-04 1998-11-17 Denso Corp El element and its manufacture
US5866795A (en) 1997-03-17 1999-02-02 Applied Materials, Inc. Liquid flow rate estimation and verification by direct liquid measurement
US6026762A (en) 1997-04-23 2000-02-22 Applied Materials, Inc. Apparatus for improved remote microwave plasma source for use with substrate processing systems
JPH10306377A (en) 1997-05-02 1998-11-17 Tokyo Electron Ltd Method for supplying minute amount of gas and device therefor
TW417249B (en) 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US5851849A (en) 1997-05-22 1998-12-22 Lucent Technologies Inc. Process for passivating semiconductor laser structures with severe steps in surface topography
US6140237A (en) 1997-06-16 2000-10-31 Chartered Semiconductor Manufacturing Ltd. Damascene process for forming coplanar top surface of copper connector isolated by barrier layers in an insulating layer
US6309713B1 (en) 1997-06-30 2001-10-30 Applied Materials, Inc. Deposition of tungsten nitride by plasma enhanced chemical vapor deposition
US6162715A (en) * 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
US5882413A (en) 1997-07-11 1999-03-16 Brooks Automation, Inc. Substrate processing apparatus having a substrate transport with a front end extension and an internal substrate buffer
US5904565A (en) 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
KR100385946B1 (en) * 1999-12-08 2003-06-02 삼성전자주식회사 Method for forming a metal layer by an atomic layer deposition and a semiconductor device with the metal layer as a barrier metal layer, an upper electrode, or a lower electrode of capacitor
US6287965B1 (en) * 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (en) * 1997-07-31 2000-10-16 윤종용 Integrate circuit device having buffer layer containing metal oxide stabilized by low temperature treatment and fabricating method thereof
KR100261017B1 (en) * 1997-08-19 2000-08-01 윤종용 Method for forming metal wiring of semiconductor device
US6099604A (en) 1997-08-21 2000-08-08 Micron Technology, Inc. Slurry with chelating agent for chemical-mechanical polishing of a semiconductor wafer and methods related thereto
US5904569A (en) 1997-09-03 1999-05-18 National Semiconductor Corporation Method for forming self-aligned vias in multi-metal integrated circuits
US5801634A (en) 1997-09-08 1998-09-01 Sony Corporation Signal tower controller
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6348376B2 (en) * 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100274603B1 (en) * 1997-10-01 2001-01-15 윤종용 Method and apparatus for fabricating semiconductor device
US6110556A (en) 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
JPH11195621A (en) 1997-11-05 1999-07-21 Tokyo Electron Ltd Barrier metal, its formation, gate electrode, and its formation
US7829144B2 (en) 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US5940726A (en) * 1997-11-06 1999-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming an electrical contact for embedded memory
KR100252049B1 (en) 1997-11-18 2000-04-15 윤종용 The atomic layer deposition method for fabricating aluminum layer
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
FI104383B (en) * 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Procedure for coating the inside of a plant
TW439151B (en) 1997-12-31 2001-06-07 Samsung Electronics Co Ltd Method for forming conductive layer using atomic layer deposition process
KR100269328B1 (en) * 1997-12-31 2000-10-16 윤종용 Method for forming conductive layer using atomic layer deposition process
US6015917A (en) * 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6451677B1 (en) * 1998-02-23 2002-09-17 Texas Instruments Incorporated Plasma-enhanced chemical vapor deposition of a nucleation layer in a tungsten metallization process
US6117244A (en) 1998-03-24 2000-09-12 Applied Materials, Inc. Deposition resistant lining for CVD chamber
US6316098B1 (en) 1998-03-27 2001-11-13 Yissum Research Development Company Of The Hebrew University Of Jerusalem Molecular layer epitaxy method and compositions
US6025627A (en) 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
FI105313B (en) 1998-06-03 2000-07-14 Planar Systems Oy Process for the preparation of thin film electroluminescence structures
KR100319888B1 (en) * 1998-06-16 2002-01-10 윤종용 Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
KR100278657B1 (en) * 1998-06-24 2001-02-01 윤종용 Metal line structure for semiconductor device & manufacturing method thereof
KR100275738B1 (en) * 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
KR100327105B1 (en) 1998-08-14 2002-03-09 오길록 High luminance-phosphor and method for fabricating the same
US6291876B1 (en) 1998-08-20 2001-09-18 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with composite atomic barrier film and process for making same
FI105643B (en) 1998-08-21 2000-09-15 Planar Systems Oy Thin-film electroluminescent device and method for its manufacture
KR100287180B1 (en) * 1998-09-17 2001-04-16 윤종용 Method for manufacturing semiconductor device including metal interconnection formed using interface control layer
KR100327328B1 (en) * 1998-10-13 2002-05-09 윤종용 Method for forming dielectric layer of capacitor having partially different thickness in the layer
KR100297719B1 (en) 1998-10-16 2001-08-07 윤종용 Method for manufacturing thin film
JP3580159B2 (en) 1998-12-18 2004-10-20 東京エレクトロン株式会社 Method of forming tungsten film
US6294836B1 (en) 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
KR100331544B1 (en) 1999-01-18 2002-04-06 윤종용 Method for introducing gases into a reactor chamber and a shower head used therein
JP2995300B1 (en) 1999-02-03 1999-12-27 工業技術院長 Surface improvement method for machine element parts
US6374831B1 (en) 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6540838B2 (en) * 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR100347379B1 (en) * 1999-05-01 2002-08-07 주식회사 피케이엘 Atomic layer deposition apparatus for depositing multi substrate
FI118342B (en) * 1999-05-10 2007-10-15 Asm Int Apparatus for making thin films
US6218298B1 (en) 1999-05-19 2001-04-17 Infineon Technologies North America Corp. Tungsten-filled deep trenches
JP2000340883A (en) 1999-05-27 2000-12-08 Fujitsu Ltd Multiwavelength oscillating optical semiconductor device
US6124158A (en) * 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
JP2000353666A (en) 1999-06-11 2000-12-19 Matsushita Electric Ind Co Ltd Semiconductor thin film and manufacture thereof
US6071808A (en) 1999-06-23 2000-06-06 Lucent Technologies Inc. Method of passivating copper interconnects in a semiconductor
US6524952B1 (en) 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
KR100319494B1 (en) 1999-07-15 2002-01-09 김용일 Apparatus for Deposition of thin films on wafers through atomic layer epitaxial process
FI110311B (en) 1999-07-20 2002-12-31 Asm Microchemistry Oy Method and apparatus for eliminating substances from gases
KR20010017820A (en) 1999-08-14 2001-03-05 윤종용 Semiconductor device and manufacturing method thereof
US6984415B2 (en) 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6309966B1 (en) 1999-09-03 2001-10-30 Motorola, Inc. Apparatus and method of a low pressure, two-step nucleation tungsten deposition
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6326297B1 (en) 1999-09-30 2001-12-04 Novellus Systems, Inc. Method of making a tungsten nitride barrier layer with improved adhesion and stability using a silicon layer
DE10049257B4 (en) 1999-10-06 2015-05-13 Samsung Electronics Co., Ltd. Process for thin film production by means of atomic layer deposition
FI117942B (en) 1999-10-14 2007-04-30 Asm Int Process for making oxide thin films
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR100304714B1 (en) * 1999-10-20 2001-11-02 윤종용 Method for fabricating metal layer of semiconductor device using metal-halide gas
SG99871A1 (en) 1999-10-25 2003-11-27 Motorola Inc Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6534404B1 (en) 1999-11-24 2003-03-18 Novellus Systems, Inc. Method of depositing diffusion barrier for copper interconnect in integrated circuit
US6780704B1 (en) * 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
KR100624903B1 (en) * 1999-12-22 2006-09-19 주식회사 하이닉스반도체 Method of manufacturing a capacitor in a semiconductor device
KR100705926B1 (en) 1999-12-22 2007-04-11 주식회사 하이닉스반도체 Method of manufacturing a capacitor in a semiconductor device
JP2001185503A (en) * 1999-12-24 2001-07-06 Nec Corp Semiconductor thin film modifying device
JP4817210B2 (en) 2000-01-06 2011-11-16 東京エレクトロン株式会社 Film forming apparatus and film forming method
FI20000099A0 (en) * 2000-01-18 2000-01-18 Asm Microchemistry Ltd A method for growing thin metal films
JP4362919B2 (en) 2000-02-04 2009-11-11 株式会社デンソー Deposition method by atomic layer epitaxial growth method
JP4776054B2 (en) 2000-02-04 2011-09-21 株式会社デンソー Thin film formation method by atomic layer growth
KR100378871B1 (en) 2000-02-16 2003-04-07 주식회사 아펙스 showerhead apparatus for radical assisted deposition
US6492283B2 (en) 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
JP4211185B2 (en) 2000-02-29 2009-01-21 株式会社デンソー Glass substrate storage jig for CVD and ALE equipment
US6627995B2 (en) 2000-03-03 2003-09-30 Cvc Products, Inc. Microelectronic interconnect material with adhesion promotion layer and fabrication method
AU2001245388A1 (en) * 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
US6274484B1 (en) 2000-03-17 2001-08-14 Taiwan Semiconductor Manufacturing Company Fabrication process for low resistivity tungsten layer with good adhesion to insulator layers
JP4556282B2 (en) 2000-03-31 2010-10-06 株式会社デンソー Organic EL device and method for manufacturing the same
FI117979B (en) * 2000-04-14 2007-05-15 Asm Int Process for making oxide thin films
KR100363088B1 (en) 2000-04-20 2002-12-02 삼성전자 주식회사 Method of manufacturing barrier metal layer using atomic layer deposition method
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
JP2001328900A (en) 2000-05-15 2001-11-27 Denso Corp Method for forming thin film
EP1282911B1 (en) * 2000-05-15 2018-09-05 Asm International N.V. Process for producing integrated circuits
KR100403611B1 (en) * 2000-06-07 2003-11-01 삼성전자주식회사 Metal-insulator-metal capacitor and manufacturing method thereof
KR100647442B1 (en) * 2000-06-07 2006-11-17 주성엔지니어링(주) Method of forming a thin film using atomic layer deposition
US7253076B1 (en) 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
WO2001099166A1 (en) 2000-06-08 2001-12-27 Genitech Inc. Thin film forming method
KR100387255B1 (en) 2000-06-20 2003-06-11 주식회사 하이닉스반도체 Method of forming a metal wiring in a semiconductor device
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6818250B2 (en) 2000-06-29 2004-11-16 The Regents Of The University Of Colorado Method for forming SIO2 by chemical vapor deposition at room temperature
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
KR100444149B1 (en) * 2000-07-22 2004-08-09 주식회사 아이피에스 ALD thin film depositin equipment cleaning method
US6368954B1 (en) * 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
KR100630666B1 (en) 2000-08-09 2006-10-02 삼성전자주식회사 Method of manufacturing semiconductor device including metal contact and capacitor
KR100396879B1 (en) 2000-08-11 2003-09-02 삼성전자주식회사 Semiconductor memory device having capacitor encapsulated by multi-layer which includes double layeres being made of same material and method of manufacturing thereof
US6903005B1 (en) * 2000-08-30 2005-06-07 Micron Technology, Inc. Method for the formation of RuSixOy-containing barrier layers for high-k dielectrics
KR100378186B1 (en) 2000-10-19 2003-03-29 삼성전자주식회사 Semiconductor device adopting thin film formed by atomic layer deposition and fabrication method thereof
US6355561B1 (en) * 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
EP1340269B1 (en) 2000-11-30 2009-02-25 Asm International N.V. Thin films for magnetic devices
US20020197402A1 (en) 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (en) * 2000-12-06 2003-06-02 삼성전자주식회사 Method of forming thin film by atomic layer deposition
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) * 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) * 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
KR100386034B1 (en) 2000-12-06 2003-06-02 에이에스엠 마이크로케미스트리 리미티드 Method of Fabricating Semiconductor Device Employing Copper Interconnect Structure Having Diffusion Barrier Stuffed with Metal Oxide
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020073924A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US20020076481A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
KR20020049875A (en) 2000-12-20 2002-06-26 윤종용 Ferroelectric capacitor in semiconductor memory device and method for manufacturing the same
JP3963078B2 (en) 2000-12-25 2007-08-22 株式会社高純度化学研究所 Tertiary amylimidotris (dimethylamido) tantalum, method for producing the same, raw material solution for MOCVD using the same, and method for forming a tantalum nitride film using the same
KR20020056260A (en) 2000-12-29 2002-07-10 박종섭 Method for forming metal gate of semiconductor devoie
US20020086111A1 (en) 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
KR100400031B1 (en) 2001-01-17 2003-09-29 삼성전자주식회사 Contact plug of semiconductor device and method of forming the same
JP2002222934A (en) 2001-01-29 2002-08-09 Nec Corp Semiconductor device and manufacturing method thereof
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100400033B1 (en) 2001-02-08 2003-09-29 삼성전자주식회사 Semiconductor device having multi-interconnection structure and manufacturing method thereof
KR100395766B1 (en) * 2001-02-12 2003-08-25 삼성전자주식회사 Ferroelectric memory device and method of forming the same
WO2002080244A2 (en) * 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
US20020117399A1 (en) * 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20020121241A1 (en) 2001-03-02 2002-09-05 Nguyen Anh N. Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films
FI109770B (en) 2001-03-16 2002-10-15 Asm Microchemistry Oy Growing transition metal nitride thin films by using compound having hydrocarbon, amino or silyl group bound to nitrogen as nitrogen source material
US7348042B2 (en) * 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6369430B1 (en) * 2001-04-02 2002-04-09 Motorola, Inc. Method of preventing two neighboring contacts from a short-circuit caused by a void between them and device having the same
EP1677361A2 (en) 2001-04-02 2006-07-05 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for manufacture thereof
US20020144657A1 (en) * 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
US20020144655A1 (en) * 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
US6420189B1 (en) * 2001-04-27 2002-07-16 Advanced Micro Devices, Inc. Superconducting damascene interconnected for integrated circuit
US6447933B1 (en) * 2001-04-30 2002-09-10 Advanced Micro Devices, Inc. Formation of alloy material using alternating depositions of alloy doping element and bulk material
US7262125B2 (en) * 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US7005372B2 (en) * 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US6635965B1 (en) * 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
KR100363332B1 (en) * 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6849545B2 (en) 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US20070009658A1 (en) 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
WO2003029515A2 (en) 2001-07-16 2003-04-10 Applied Materials, Inc. Formation of composite tungsten films
US7105444B2 (en) 2001-07-19 2006-09-12 Samsung Electronics Co., Ltd. Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
US7098131B2 (en) 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
US20030017697A1 (en) 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
JP2005504885A (en) 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド Barrier formation using a novel sputter deposition method
US6548906B2 (en) 2001-08-22 2003-04-15 Agere Systems Inc. Method for reducing a metal seam in an interconnect structure and a device manufactured thereby
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
EP1425435A2 (en) * 2001-09-14 2004-06-09 Asm International N.V. Metal nitride deposition by ald using gettering reactant
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
KR20030025494A (en) 2001-09-21 2003-03-29 삼성전자주식회사 Semiconductor device having contact between ruthenium layer and metal layer and method for manufacturing the same
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US20030059538A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030057526A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6936906B2 (en) 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US20030072884A1 (en) 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
JP3653073B2 (en) 2001-10-22 2005-05-25 株式会社リコー Image forming apparatus, user restriction method, and program causing computer to execute the method
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6590344B2 (en) * 2001-11-20 2003-07-08 Taiwan Semiconductor Manufacturing Co., Ltd. Selectively controllable gas feed zones for a plasma reactor
US6423619B1 (en) * 2001-11-30 2002-07-23 Motorola, Inc. Transistor metal gate structure that minimizes non-planarity effects and method of formation
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US6674138B1 (en) 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6824816B2 (en) 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
US6777352B2 (en) 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US6827978B2 (en) * 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6753618B2 (en) 2002-03-11 2004-06-22 Micron Technology, Inc. MIM capacitor with metal nitride electrode materials and method of formation
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US7164165B2 (en) 2002-05-16 2007-01-16 Micron Technology, Inc. MIS capacitor
KR100505043B1 (en) 2002-05-25 2005-07-29 삼성전자주식회사 Method for forming a capacitor
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100476926B1 (en) 2002-07-02 2005-03-17 삼성전자주식회사 Method for forming dual gate of semiconductor device
US6838125B2 (en) 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040013803A1 (en) 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US7081409B2 (en) 2002-07-17 2006-07-25 Samsung Electronics Co., Ltd. Methods of producing integrated circuit devices utilizing tantalum amine derivatives
WO2004106584A1 (en) 2003-05-27 2004-12-09 Applied Materials, Inc. Method and apparatus for generating a precursor for a semiconductor processing system
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7524374B2 (en) 2002-07-17 2009-04-28 Applied Materials, Inc. Method and apparatus for generating a precursor for a semiconductor processing system
KR100468852B1 (en) 2002-07-20 2005-01-29 삼성전자주식회사 Manufacturing method of Capacitor Structure
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US7300038B2 (en) 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
KR100542736B1 (en) 2002-08-17 2006-01-11 삼성전자주식회사 Method of forming oxide layer using atomic layer deposition method and method of forming capacitor of semiconductor device using the same
US6958300B2 (en) 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US6790773B1 (en) 2002-08-28 2004-09-14 Novellus Systems, Inc. Process for forming barrier/seed structures for integrated circuits
JP4188033B2 (en) 2002-08-30 2008-11-26 本田技研工業株式会社 Hydraulic shock absorber mounting structure
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US20040065255A1 (en) 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US7262133B2 (en) 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
WO2004064147A2 (en) 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
KR20060079144A (en) 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 Atomic layer deposition of barrier materials
US7408225B2 (en) 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US20050076481A1 (en) * 2003-10-10 2005-04-14 Lin Yu Pau Zipper with a smooth pull function
US20050104142A1 (en) 2003-11-13 2005-05-19 Vijav Narayanan CVD tantalum compounds for FET get electrodes
CN1989597A (en) 2004-07-30 2007-06-27 应用材料股份有限公司 Thin tungsten silicide layer deposition and gate metal integration
JP2008521261A (en) 2004-11-22 2008-06-19 アプライド マテリアルズ インコーポレイテッド Substrate processing apparatus using batch processing chamber
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7265048B2 (en) 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
US20070020890A1 (en) 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7850779B2 (en) 2005-11-04 2010-12-14 Applied Materisals, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US20080206987A1 (en) 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4508054A (en) * 1981-03-06 1985-04-02 Battelle Memorial Institute Device for depositing a mineral oxide coating on a substrate
US5624498A (en) * 1993-12-22 1997-04-29 Samsung Electronics Co., Ltd. Showerhead for a gas supplying apparatus
US6291028B1 (en) * 1996-02-09 2001-09-18 Applied Materials, Inc. Method and apparatus for improving the film quality of plasma enhanced CVD films at the interface
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US6294026B1 (en) * 1996-11-26 2001-09-25 Siemens Aktiengesellschaft Distribution plate for a reaction chamber with multiple gas inlets and separate mass flow control loops
US6136685A (en) * 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US20020000196A1 (en) * 2000-06-24 2002-01-03 Park Young-Hoon Reactor for depositing thin film on wafer
US20020094689A1 (en) * 2000-06-24 2002-07-18 Park Young-Hoon Apparatus and method for depositing thin film on wafer using atomic layer deposition
US20030003635A1 (en) * 2001-05-23 2003-01-02 Paranjpe Ajit P. Atomic layer deposition for fabricating thin films

Also Published As

Publication number Publication date
US20080014352A1 (en) 2008-01-17
US20030232497A1 (en) 2003-12-18
US7279432B2 (en) 2007-10-09
US7867914B2 (en) 2011-01-11

Similar Documents

Publication Publication Date Title
US7867914B2 (en) System and method for forming an integrated barrier layer
US7041335B2 (en) Titanium tantalum nitride silicide layer
US6797340B2 (en) Method for depositing refractory metal layers employing sequential deposition techniques
US7115499B2 (en) Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6809026B2 (en) Selective deposition of a barrier layer on a metal film
US7745333B2 (en) Methods for depositing tungsten layers employing atomic layer deposition techniques
US11587829B2 (en) Doping control of metal nitride films
US6939804B2 (en) Formation of composite tungsten films
US6939801B2 (en) Selective deposition of a barrier layer on a dielectric material
US20040009336A1 (en) Titanium silicon nitride (TISIN) barrier layer for copper diffusion
US20030123216A1 (en) Deposition of tungsten for the formation of conformal tungsten silicide
US20040009665A1 (en) Deposition of copper films
CN113862635A (en) Method of forming material layer, semiconductor device, and method of manufacturing semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:XI, MING;YANG, MICHAEL;ZHANG, HUI;REEL/FRAME:026366/0446

Effective date: 20030711

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION