US20110045610A1 - Uv treatment for carbon-containing low-k dielectric repair in semiconductor processing - Google Patents

Uv treatment for carbon-containing low-k dielectric repair in semiconductor processing Download PDF

Info

Publication number
US20110045610A1
US20110045610A1 US12/940,324 US94032410A US2011045610A1 US 20110045610 A1 US20110045610 A1 US 20110045610A1 US 94032410 A US94032410 A US 94032410A US 2011045610 A1 US2011045610 A1 US 2011045610A1
Authority
US
United States
Prior art keywords
dielectric
carbon
low
groups
gas phase
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/940,324
Inventor
Bart van Schravendijk
William Crew
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US12/940,324 priority Critical patent/US20110045610A1/en
Priority to US12/973,549 priority patent/US8465991B2/en
Publication of US20110045610A1 publication Critical patent/US20110045610A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.

Definitions

  • the invention relates to low dielectric constant layers in semiconductor processing for use in various applications. More specifically, the invention relates to the UV treatment for repair of process-induced damage of low dielectric constant dielectric materials in, for example, damascene processing.
  • low-k dielectric constant (low-k) insulating materials that are mechanically weaker than previous generation materials, into semiconductor devices.
  • the inherently weak nature of the low-k dielectric material can pose significant challenges for downstream electronic-packaging processes and material compatibility.
  • Low-k materials are, by definition, those semiconductor-grade insulating materials that have a dielectric constant (“k”) lower than that of SiO 2 , i.e., 3.9.
  • Various types of low-k materials can have dielectric constants ranging from about 3.8-3.6 (e.g., fluorosilicate glass (FSG)), to less than about 3.2 (e.g., (carbon doped oxide (CDO)), to as low as 2.2 (e.g., spin-on glass (SOG)) or even lower, and encompass low-k dielectrics referred to as “ultra low-k” (ULK) and “extreme ultra low-k” (ELK).
  • FSG fluorosilicate glass
  • CDO carbon doped oxide
  • SOG spin-on glass
  • ULK ultra low-k
  • ELK extreme ultra low-k
  • suitable carbon-containing low-k materials have a dielectric constant of about 2.7 or lower.
  • conductive materials having low resistivity and insulators having low dielectric constants are being integrated into the devices to improve device performance and allow for device scaling.
  • Low-k materials are less dense than standard insulating materials such as SiO 2 . This introduces a host of process integration and material compatibility difficulties. The balance between maintaining the film's integrity and integrating it properly and performing the necessary stripping, cleaning, and conditioning gets increasingly precarious. Patterning processes (etching, stripping, deposition, and cleaning) can also have a drastic impact on the integrity of carbon-containing low-k materials, in particular SiOC-based low-k materials.
  • Carbon-containing low-k dielectric materials achieve lower dielectric constants through the incorporation of non-polar covalent bonds (e.g., from the addition of carbon) and the introduction of porosity to decrease film density. Introducing porosity or the incorporation of terminal bonds, such as Si—CH 3 , breaks the continuity of the rigid Si—O—Si lattice of traditional oxides, yielding a lower dielectric constant film that is both mechanically and chemically weaker. Because of the mechanical weakness, carbon-containing low-k films are susceptible to kinetic plasma damage that can undesirably densify the film and thus increase the film's effective k value.
  • Damage to the carbon-containing low-k dielectric material on the sidewalls of the via trenches or in the inter-layer dielectric (ILD) regions during copper (Cu) damascene processing can compromise the integrity of dielectric spacing, leading to increased leakage, higher capacitance, and reduced performance and reliability.
  • the damaged low-k layers can absorb moisture which remains trapped in the dielectric. This can oxidize the barrier material leading to Cu diffusion. Damage of the low-k dielectric material is linked to the loss of methyl groups (CH 3 ) in the film during processing. Thus, dielectric repair to prevent the unwanted absorption of moisture is important.
  • Carbon depletion occurs when, for example, a Si—CH 3 bond is broken leaving a silicon dangling bond.
  • Reaction with absorbed water results in the formation of silanol (Si—OH) groups.
  • Slurry from chemical mechanical polishing (CMP) can also chemically remove carbon groups on the surface of the low-k dielectric film. Again, a reaction with the water present in the CMP slurry results in the formation of highly polarizable silanol (Si—OH) groups, which leads to an increase in k value for the damaged portion of the film, increasing the effective k value of the dielectric significantly.
  • the present invention provides a method for the ultraviolet (UV) treatment of carbon-containing low-k dielectric, for example, but not limited to carbon-doped oxide (CDO), for the repair of process-induced damage.
  • UV ultraviolet
  • Inventive methods provide for treatment of a damaged low-k dielectric on a semiconductor substrate with UV radiation to repair processing induced damage.
  • the method is particularly applicable in the context of damascene processing.
  • the invention relates to a method of forming a semiconductor device by depositing a carbon-containing low-k dielectric (e.g., CDO) layer on a substrate and forming a via and trench in the low-k dielectric layer, the trench having sidewalls ending at a bottom.
  • the trench is then exposed to UV radiation to repair damage (e.g., dangling bonds or highly strained bonds, e.g., Si—O—Si or Si—CH 2 —Si, caused by removal of organic (generally —CH 3 ) groups) to the low-k material of the trench sidewalls and bottom caused by the trench formation process (generally etching, ashing, and wet or dry cleaning)).
  • repair damage e.g., dangling bonds or highly strained bonds, e.g., Si—O—Si or Si—CH 2 —Si, caused by removal of organic (generally —CH 3 ) groups
  • the repaired damascene trench can then be filled with a conductive material, particularly a diffusion barrier layer followed by copper.
  • the top surface of the semiconductor device can then be planarized, generally by chemical mechanical polishing (CMP).
  • CMP chemical mechanical polishing
  • Post-planarization UV repair of planaraization-induced dielectric damage may also be conducted.
  • the UV exposure may be conducted in vacuum. While the invention is not limited to this theory of operation, it is believed that the UV exposure of the damaged dielectric surface according to this aspect of the invention cross-links the surface Si groups to fill gaps from the departed methyl (—CH 3 ) groups.
  • the UV exposure may be conducted in a partial pressure of a reactive gas that participates in dielectric repair.
  • a reactive gas that participates in dielectric repair.
  • An appropriate gas will include a gas phase source of methyl (—CH 3 ) groups during the UV exposure.
  • Exposure time should be limited in order to prevent oxidation of or the stripping of methyl groups from the dielectric. In general, the dose time should be for no more than 10 seconds and/or result in a penetration of no more than four to five monolayers of the dielectric. A preferred dose time is about one to two seconds.
  • Suitable gas phase reactants include, preferably, organo-silanes, -silazanes, and -siloxanes, for example, dichlorodimethylsilane (DCDMS), chlorotrimethylsilane (CTMS), hexamethyldisilazane (HMDS), hexamethyldisiloxane (HMDSO), tetravinyltetramethylcyclotetrasiloxane (TVTMCTS)).
  • Other suitable gas phase reactants include acetaldehyde; alkanes, for example methane and ethane; alkenes, for example ethylene; and alkynes, for example acetylene, may also be used.
  • —H and —O groups may also participate in suitable repair reactions.
  • —H and —O may be provided in one or more gas phase reactants or may evolve from the film.
  • the gas phase may also include inert carriers such as He, Ar, Ne, N 2 , etc.
  • damage sites including dangling Si bonds and/or highly strained bonds (e.g., Si—O—Si or Si—CH 2 —Si) in the carbon-containing dielectric film are satisfied with a methyl group from methyl-containing molecules of the gas phase source of methyl (—CH 3 ) groups in a reaction induced by the activation provided by UV radiation, thereby accomplishing low-k dielectric repair without substantial alteration of dielectric properties.
  • active methyl (—CH 3 ) groups may be generated by dissociation of methyl-containing molecules of the gas phase source of —CH 3 groups by the UV radiation.
  • methyl groups in methyl-containing molecules in the gas phase source of —CH 3 groups can react with damage sites in the film.
  • the reaction of the activated methyl groups with the damaged area of the film when the activation energy is lowered by the UV radiation reduces the energy of film, rendering it more stable.
  • Another aspect of the invention relates to post-planarization (e.g., CMP) carbon-containing low-k dielectric damage repair arising in damascene processing.
  • CMP post-planarization
  • Si—OH silanol bonds
  • Si—OH silanol bonds
  • cross-links the film Si groups to fill gaps from the departed methyl (—CH 3 ) groups.
  • This UV exposure may optionally also be conducted in the presence of a gas phase source of methyl (—CH 3 ) groups such that the surface low-k dielectric repair is achieved without substantial alteration of dielectric properties, as described above.
  • a method of forming a semiconductor device may involve depositing a carbon-containing low-k dielectric layer on a substrate, conducting a semiconductor processing operation that damages the low-k dielectric layer, and exposing the low-k dielectric layer to UV radiation such that processing-induced low-k dielectric damage to the dielectric is repaired.
  • FIG. 1 is a process flow chart depicting a method in accordance with an embodiment of the invention.
  • FIGS. 2A-2D are cross sectional diagrams illustrating the formation of a semiconductor device by a dual damascene process in accordance with an embodiment of the invention.
  • FIG. 3 is a schematic diagram of an example chamber suitable for implementing the present invention.
  • Embodiments of the present invention are described herein in the context of a UV treatment for carbon-containing low-k dielectric repair in damascene processing.
  • Those of ordinary skill in the art will realize that the following detailed description of the present invention is illustrative only and is not intended to be in any way limiting. Other embodiments of the present invention will readily suggest themselves to such skilled persons having the benefit of this disclosure.
  • semiconductor device refers to any device formed on a semiconductor substrate or any device possessing a semiconductor material. In many cases, a semiconductor device participates in electronic logic or memory, or in energy conversion. The term “semiconductor device” subsumes partially fabricated devices (such as partially fabricated integrated circuits) as well as completed devices available for sale or installed in particular apparatus. In short, a semiconductor device may exist at any state of manufacture that employs a method of this invention or possesses a structure of this invention.
  • the present invention provides a method for the ultraviolet (UV) treatment of carbon-containing low-k dielectric for the repair of process-induced damage.
  • Applicable carbon containing dielectrics typically have SiO-based backbones doped with carbon, in particular CDO (for example, those formed from octamethyl cyclotetrasiloxane (OMCTS), tetramethylcyclotetrasiloxane (TMCTS), dimethyldimethoxysilane (DMDMOS), and diethoxymethylsilane (DEMS) and other known CDO precursors), but may also include hybrid polymers incorporating both C, Si and O in the backbone.
  • Inventive methods provide for treatment of a damaged carbon-containing low-k dielectric on a semiconductor substrate with UV radiation to repair processing induced damage. The method is particularly applicable in the context of damascene processing.
  • the invention relates to a method of forming a semiconductor device by depositing a carbon-containing low-k dielectric layer on a substrate and forming a via and trench in the low-k dielectric layer, the trench having sidewalls ending at a bottom.
  • the trench is then exposed to UV radiation to repair process induced low-k dielectric damage (e.g., dangling bonds or highly strained bonds, e.g., Si—O—Si or Si—CH 2 —Si, caused by removal of organic (generally —CH 3 ) groups) to the low-k material of the trench sidewalls and bottom caused by etch, dry resist strip, wet cleaning and dry cleaning, for example, involved in the trench formation process.
  • repair process induced low-k dielectric damage e.g., dangling bonds or highly strained bonds, e.g., Si—O—Si or Si—CH 2 —Si, caused by removal of organic (generally —CH 3 ) groups
  • the repaired damascene trench can then be filled with a conductive material, particularly a metal diffusion barrier followed by copper.
  • the top surface of the semiconductor device can then be planarized, generally by chemical mechanical polishing (CMP).
  • CMP chemical mechanical polishing
  • Post-planarization UV repair of planaraization-induced dielectric damage may also be conducted.
  • FIG. 1 is a process flow chart depicting operations in a method in accordance with an embodiment of this invention.
  • a generalized version of a dual damascene technique will be described below with reference to FIGS. 2A through 2D , which depict a partially formed semiconductor device during various stages of this process.
  • the invention is not intended to be limited to the use of a dual damascene technique as the invention may be used with other techniques.
  • a carbon containing low-k dielectric layer is deposited on a substrate at 100 .
  • Patterns of conductive features are formed in the dielectric layer, generally by etching, at 102 . Etching generally results in damage to the pattern edges, generally trench sidewalls and bottoms, as described above. Other process operations, such as dry resist strip, wet cleaning and dry cleaning, can also cause or contribute to low-k dielectric damage.
  • the conductive features are typically, though not necessarily, metal lines and vias. In one example, they are the interconnects of a metallization layer that is formed from copper. As is known to those of skill in the art, various techniques may be employed to form such layers.
  • the etched trenches are then exposed to ultraviolet (UV) radiation at 104 .
  • UV exposure may be conducted in vacuum. While the invention is not limited to any particular theory of operation, it is believed that the UV exposure of the damaged dielectric surface according to this aspect of the invention cross-links the surface Si groups to fill gaps from the departed methyl (—CH 3 ) groups.
  • the UV exposure may be conducted in a partial pressure of a reactive gas that participates in dielectric repair.
  • a reactive gas that participates in dielectric repair.
  • An appropriate gas will have a gas phase source of methyl (—CH 3 ) groups during the UV exposure.
  • Exposure time should be limited in order to prevent oxidation of or the stripping of methyl groups from the dielectric. In general, the dose time should be for no more than 10 seconds and/or result in a penetration of no more than four to five monolayers of the dielectric. A preferred dose time is about one to two seconds.
  • Suitable gas phase reactants include, preferably, organo-silanes, -silazanes, and -siloxanes, for example, dichlorodimethylsilane (DCDMS), chlorotrimethylsilane (CTMS), hexamethyldisilazane (HMDS), hexamethyldisiloxane (HMDSO), tetravinyltetramethylcyclotetrasiloxane (TVTMCTS)).
  • Other suitable gas phase reactants include acetaldehyde; alkanes, for example methane and ethane; alkenes, for example ethylene; and alkynes, for example acetylene, may also be used.
  • —H and —O groups may also participate in suitable repair reactions.
  • —H and —O may be provided in one or more gas phase reactants or may evolve from the film.
  • the gas phase may also include inert carriers such as He, Ar, Ne, N 2 , etc.
  • damage sites including dangling Si bonds and/or highly strained bonds (e.g., Si—O—Si or Si—CH 2 —Si) in the carbon-containing low-k dielectric film are satisfied with a methyl group from the gas phase source of —CH 3 groups in a reaction induced by the activation provided by UV radiation, thereby accomplishing low-k dielectric repair without substantial alteration of dielectric properties.
  • active methyl (—CH 3 ) groups may be generated by dissociation of methyl-containing molecules in the gas phase source of —CH 3 groups by the UV radiation.
  • methyl groups in methyl-containing molecules in the gas phase source of —CH 3 groups can react with damage sites in the film.
  • the reaction of activated methyl with the damage surface site can occur when UV radiation excites electrons into anti-bonding states, lowering the thermal activation energy of the reaction. This renders the film more stable.
  • the UV light may be irradiated on the sidewalls and bottom of the trench to repair the damage from the etching of the trenches.
  • the photon energy supplied by the UV treatment effectively lowers the activation barrier for reaction, and depleted methyl sites within the films are filled by a reaction with the active methyl groups derived from the gas phase source of methyl (—CH 3 ) groups. Satisfying the Si dangling bonds in the damaged film repairs the damage done to the low-k dielectric during the trench formation process (e.g., etching, ashing, and wet or dry cleaning) without substantially altering the dielectric properties.
  • silanol groups there may be silanol groups (—OH) in the damaged areas that are formed from Si dangling bonds that are exposed to moisture, either in subsequent processing or from moisture present in the fab ambient atmosphere. These silanol bonds are cleaved during the UV treatment, and the —OH groups leave the film, effectively lowering the dielectric constant and “repairing” the film. Interconnect reliability is thereby improved.
  • the dielectric film may be exposed to a UV source that produces UV radiation.
  • Suitable UV treatment parameters are in the power intensity range of about 1 mw-20 W/cm 2 , preferably about 500 mW-5 W/cm 2 ; at a wavelength of about 150-500 nm, preferably about 200-400 nm; for up to about 20 minutes, for example less than 10 minutes, or less than 5 minutes, and preferably less than about 2 minutes; at a wafer temperature of between room temperature up to about 450° C., preferably about 200-400° C.
  • a typical UV exposure in accordance with this aspect of the invention has a power density of about 1-3 W/cm 2 at a wafer temperature of about 350° C. in either inert (e.g., He, Ar, forming gas, or N 2 ) or oxidizing environments (e.g., in an anneal environment that comprises one or more of oxygen, ozone, peroxide or nitrous oxide). In oxidizing environments, oxygen can promote bond breaking thereby facilitating hydrogen removal for film repair.
  • the UV source can be a single wavelength excimer lamp or broad spectrum source with arc or microwave excitations.
  • the process pressure can range from about 1 mTorr to 760 Torr, preferably from about 1 Torr to 200 Torr.
  • the UV exposure can also be achieved through direct exposure to a plasma of He, Ar, N 2 , NH 3 , N 2 O, O 2 , or a mixture of them.
  • Exposure time should be limited in order to prevent oxidation of or the stripping of methyl groups from the dielectric, or dielectric shrinking (which causes stress and strain in the film.
  • the dose time should be for no more than 10 seconds and/or result in a penetration of no more than four to five monolayers of the dielectric.
  • a preferred dose time is about one to two seconds.
  • the apparatus employed to implement the invention can have one or more UV sources. In some embodiments described herein, the apparatus will have a single UV source. Suitable apparatus are described in more detail below.
  • the trenches may then be filled at 106 with a conductive material such as Cu.
  • a conductive material such as Cu.
  • excess material will have to be removed from the top (exposed surface) of the dielectric layer.
  • the excess material may be removed by a planarization process to form an exposed pattern of conductive features in the dielectric at 108 .
  • CMP chemical mechanical polishing
  • the conductive layer includes copper
  • this step is typically accomplished by exposing the copper surfaces to a hydrogen or ammonia plasma.
  • slurry from CMP can chemically remove carbon groups.
  • the hydrogen/plasma used to remove the oxides can also strip carbon or hydroxyl groups from the film, leaving silicon dangling bonds on the surface of carbon-containing low-k dielectric film.
  • the dielectric surface can be exposed to a second UV radiation treatment at 112 .
  • the treatment may be conducted under the same conditions as described above with reference to the post-trench etch treatment.
  • the presence of the gas phase source of —CH 3 groups is optional. While the invention is not limited to this theory of operation, it is believed that the UV exposure of the surface removes the dangling bonds by cross-linking the surface Si groups to fill gaps from the departed methyl (—CH 3 ) groups and produces a densified surface layer. In some instances, the alteration of the surface dielectric properties of the carbon-containing low-k dielectric arising from the UV-induced surface cross-linking is acceptable.
  • this surface UV exposure may optionally also be conducted in the presence of a gas phase source of —CH 3 groups such that the surface low-k dielectric repair is achieved without substantial alteration of dielectric properties, as described above.
  • a diffusion barrier film such as a copper diffusion barrier film, may be deposited on the planarized surface of the partially-formed semiconductor device. This layer may serve other purposes aside from that of a diffusion barrier.
  • the diffusion barrier film may also act as an etch stop layer.
  • FIGS. 2A-2D a typical dual damascene process incorporating the carbon-containing low-k dielectric repair processes of the present invention are illustrated.
  • First and second layers of dielectric are deposited in succession, possibly separated by deposition of an etch stop layer, such as a silicon nitride layer. These layers are depicted in FIG. 2A as first dielectric layer 203 , second dielectric layer 205 , and etch stop layer 207 .
  • etch stop layer such as a silicon nitride layer.
  • a via mask 211 is formed having openings where vias will be subsequently etched.
  • the vias are partially etched down through the level of etch stop 207 .
  • via mask 211 is stripped off and replaced with a line mask 213 as depicted in FIG. 2B .
  • a second etch operation is performed to remove sufficient amounts of dielectric to define line paths 215 in second dielectric layer 205 .
  • the etch operation also extends via holes 217 through first dielectric layer 203 , down to contact the underlying substrate 209 .
  • a via first process may involve complete etching of the vias prior to etching of the line trenches.
  • a trench first process in which the etching of the line trenches precedes the via etching, may be used.
  • the term “trench” in the context of damascene processing is commonly understood to describe a feature formed in dielectric and subsequently filled to form a conductive line in a dielectric layer.
  • the term is also understood to describe a feature formed in dielectric and subsequently filled to form an element of a semiconductor device (e.g., via, line, STI, etc.), and may include a damascene trench, via or combined damascene structure.
  • the term should be understood to have its broader meaning
  • the photoresist is removed in another plasma process, followed by a wet or dry clean and then damage on the low-k dielectric surface is repaired, as discussed above.
  • Conductive barrier layer material 219 is formed, for example, of tantalum or tantalum nitride.
  • a CVD or PVD operation is typically employed to deposit conductive barrier layer material 219 .
  • a plasma process is typically used to clean the bottoms of the vias to remove oxidation and contaminants from the exposed copper surface on the underlying layer.
  • this barrier “preclean” plasma process can be simply an inert plasma or a reactive plasma of a gas such as hydrogen.
  • the preclean plasma process can also damage the low k dielectric film.
  • An in-situ UV treatment to repair the damaged low k film may employed prior to the PVD operation—for Ta or TaN.
  • a conductive metal typically copper
  • this deposition is performed in two steps: an initial deposition of a conductive seed layer followed by bulk deposition of copper by electroplating.
  • the seed layer may be deposited by physical vapor deposition, chemical vapor deposition, electroless plating, etc. Note that the bulk deposition of copper not only fills line paths 215 but, to ensure complete filling, also covers all the exposed regions on top of second dielectric layer 205 .
  • Planarization removes material down to the level of the top of dielectric layer 205 . This results in an exposed pattern of conductive lines 221 in dielectric layer 205 and vias in dielectric layer 203 . (See the cross-sectional view of FIG. 2C and the simplified top view of FIG. 2D .)
  • Planarization may be accomplished by various techniques. Typically, the process involves some amount of CMP. It may also involve a combination of electropolishing, to remove most of the excess bulk copper, followed by CMP to remove the remaining copper down to the level of the top surface of dielectric layer 205 . As discussed above, slurry from CMP can chemically remove carbon groups, and the hydrogen used to remove the oxides from the conductive layer after the planarization process can also remove carbon groups leaving silicon dangling bonds on the surface of low-k dielectric films.
  • Another aspect of the invention relates to post-planarization (e.g., CMP) damage repair arising in damascene processing.
  • CMP post-planarization
  • Si—OH (silanol) bonds formed by removal of organic (generally —CH 3 ) groups by CMP slurry and processing and reaction of water in the slurry with resulting dangling Si— bonds
  • other carbon-containing low-k dielectric film damage e.g., highly strained bonds
  • the UV exposure cross-links the film Si groups to fill gaps from the departed methyl (—CH 3 ) groups.
  • This UV exposure may optionally also be conducted in the presence of a gas phase source of -methyl (—CH 3 ) groups such that the surface low-k dielectric repair is achieved without substantial alteration of dielectric properties, as described above.
  • the apparatus will include one or more chambers (sometimes referred to as process vessels) that house one or more wafers and are suitable for wafer processing. At least one chamber will include a UV source. A single chamber may be employed for all operations of the invention or separate chambers may be used. Each chamber may house one or more wafers (substrates) for processing. The one or more chambers maintain the wafer in a defined position or positions (with or without motion within that position, e.g., rotation, vibration, or other agitation) during procedures of the invention. For certain operations in which the wafer temperature is to be controlled, the apparatus may include a heating or cooling platen.
  • FIG. 3 is a schematic diagram of an example chamber 301 in accordance with the invention.
  • Chamber 301 is capable of holding a vacuum and/or containing gases at pressures above atmospheric pressure. For simplicity, only one station of one chamber 301 is shown. It is noted that in preferred embodiments, chamber 301 is one chamber in a multi-chambered apparatus (entire apparatus not shown), although chamber 301 could alternatively be part of a stand-alone single chambered apparatus. In either case, the chamber(s) may have one or more than one station.
  • Suitable single chamber, multi-station apparatus for example, include the Novellus Sequel and Vector systems modified for UV treatments.
  • a substrate holder 303 secures a wafer 305 in a position such that light from a UV light source array 307 can irradiate wafer 305 .
  • Substrate holder 303 can have a heater (not shown) that can heat the substrate to defined temperatures, or could be cooled using a chiller and can be controlled by a temperature controller (not shown).
  • Chamber 301 is configured with a gas inlet 315 , which is connected to a gas source (not shown), and with a vacuum outlet 313 , which is connected to a vacuum pump (not shown). The amount of gas introduced into the chamber 301 can be controlled by valves and mass flow controller (not shown) and pressure is measured by pressure gauge (not shown).
  • UV light source array 307 is mounted outside the chamber 301 . In alternate embodiments, the UV light source array may be housed inside the chamber 301 .
  • UV light source array 307 includes an array of individual UV sources such as mercury vapor or xenon lamps. Note that the invention is not limited to mercury vapor or xenon lamps as UV light sources and other suitable light sources include deuterium lamps, excimer lamps or lasers (e.g., excimer lasers and tunable variations of various lasers). Various optical elements, such as reflectors, may be required to direct the UV light toward portions of the substrate. Methods for directing the light at different portions of the substrate at different times may be required as well. A scanning mechanism may be used for this purpose.
  • a window 311 made of quartz, sapphire or other suitable material is positioned between UV light source array 307 and wafer 305 to provide isolation. Filters can also be used to remove unwanted spectral components from particular sources to “tune” the sources.
  • the UV light source array 307 may be comprised of one or more types of UV sources, for example an array of three types of UV sources, each type providing UV radiation with a different wavelength distribution.
  • the UV sources are electrically connected to each other ( 309 a , 309 b and 309 c ) and controlled by control system 310 , which controls when each of the various UV sources is illuminated.
  • Control system 310 is typically, but not limited to, a computer processing system such as a PC or workstation. Of course, any number and types of individual light sources in any suitable configuration can be used.
  • the light source array and control configuration of FIG. 3 is only an example of a suitable configuration.
  • the lamps are arranged to provide uniform UV radiation to the wafer.
  • other suitable lamp arrangements can include circular lamps concentrically arranged or lamps of smaller length arranged at 90 degree and 180 degree angles with respect to each other may be used.
  • the light source(s) can be fixed or movable so as to provide light in appropriate locations on the wafer.
  • an optical system including for example a series of movable lenses, filters, and/or mirrors, can be controlled to direct light from different sources to the substrate at different times.
  • the UV light intensity can be directly controlled by the type of light source and by the power applied to the light source or array of light sources. Factors influencing the intensity of applied power include, for example, the number or light sources (e.g., in an array of light sources) and the light source types (e.g., lamp type or laser type). Other methods of controlling the UV light intensity on the wafer sample include using filters that can block portions of light from reaching the wafer sample. As with the direction of light, the intensity of light at the wafer can be modulated using various optical components such as mirrors, lenses, diffusers and filters. The spectral distribution of individual sources can be controlled by the choice of sources (e.g., mercury vapor lamp vs. xenon lamp vs. deuterium lamp vs. excimer laser, etc.) as well as the use of filters that tailor the spectral distribution. In addition, the spectral distributions of some lamps can be tuned by doping the gas mixture in the lamp with particular dopants such as iron, gallium, etc.
  • FIG. 3 is only an example of a suitable apparatus and that other designs for other methods involved in previous and/or subsequent processes may be used. Examples of apparatus that may be suitable for implementing the present invention are also described in commonly assigned co-pending application Ser. Nos. 11/115,576 filed Apr. 26, 2005, 10/800,377 filed Mar. 11, 2004 and 10/972,084 filed Oct. 22, 2004, incorporated by reference herein.

Abstract

A method for the ultraviolet (UV) treatment of carbon-containing low-k dielectric enables process-induced damage repair. The method is particularly applicable in the context of damascene processing. A method provides for forming a semiconductor device by depositing a carbon-containing low-k dielectric layer on a substrate and forming a trench in the low-k dielectric layer, the trench having sidewalls ending at a bottom. The trench is then exposed to UV radiation and, optionally a gas phase source of —CH3 groups, to repair damage to the carbon-containing low-k material of the trench sidewalls and bottom caused by the trench formation process (generally etching, ashing, and wet or dry cleaning). A similar treatment, with or without the gas phase source of —CH3 groups, may be applied to repair damage caused in a subsequent planarization operation.

Description

    CROSS REFERENCE TO RELATED APPLICATION
  • This application is a divisional of prior application Ser. No. 11/590,661 filed on Oct. 30, 2006, entitled UV TREATMENT FOR CARBON-CONTAINING LOW-K DIELECTRIC REPAIR IN SEMICONDUCTOR PROCESSING, now pending, the disclosure of which is incorporated by reference herein in its entirety.
  • BACKGROUND OF THE INVENTION
  • The invention relates to low dielectric constant layers in semiconductor processing for use in various applications. More specifically, the invention relates to the UV treatment for repair of process-induced damage of low dielectric constant dielectric materials in, for example, damascene processing.
  • Ultrafine feature sizes and high performance requirements have necessitated the integration of low dielectric constant (low-k) insulating materials, that are mechanically weaker than previous generation materials, into semiconductor devices. The inherently weak nature of the low-k dielectric material can pose significant challenges for downstream electronic-packaging processes and material compatibility.
  • Low-k materials are, by definition, those semiconductor-grade insulating materials that have a dielectric constant (“k”) lower than that of SiO2, i.e., 3.9. Various types of low-k materials can have dielectric constants ranging from about 3.8-3.6 (e.g., fluorosilicate glass (FSG)), to less than about 3.2 (e.g., (carbon doped oxide (CDO)), to as low as 2.2 (e.g., spin-on glass (SOG)) or even lower, and encompass low-k dielectrics referred to as “ultra low-k” (ULK) and “extreme ultra low-k” (ELK). In many CDO carbon-containing low-k implementations, such as are described herein, suitable carbon-containing low-k materials have a dielectric constant of about 2.7 or lower. To further reduce the size of devices on integrated circuits, it has become necessary to use conductive materials having low resistivity and insulators having low dielectric constants to reduce the capacitive coupling between adjacent metal lines. Low-k materials are being integrated into the devices to improve device performance and allow for device scaling.
  • Low-k materials are less dense than standard insulating materials such as SiO2. This introduces a host of process integration and material compatibility difficulties. The balance between maintaining the film's integrity and integrating it properly and performing the necessary stripping, cleaning, and conditioning gets increasingly precarious. Patterning processes (etching, stripping, deposition, and cleaning) can also have a drastic impact on the integrity of carbon-containing low-k materials, in particular SiOC-based low-k materials.
  • The properties that give carbon-containing low-k dielectric materials their desirable low dielectric constants are the very same properties that are leading to significant integration challenges. Carbon-containing low-k materials achieve lower dielectric constants through the incorporation of non-polar covalent bonds (e.g., from the addition of carbon) and the introduction of porosity to decrease film density. Introducing porosity or the incorporation of terminal bonds, such as Si—CH3, breaks the continuity of the rigid Si—O—Si lattice of traditional oxides, yielding a lower dielectric constant film that is both mechanically and chemically weaker. Because of the mechanical weakness, carbon-containing low-k films are susceptible to kinetic plasma damage that can undesirably densify the film and thus increase the film's effective k value.
  • Furthermore, chemical plasmas can modify carbon-containing low-k films where bonds such as Si—CH3 are readily broken. The susceptibility of carbon-containing low-k materials to plasma modification poses a serious integration challenge since plasma processes are routinely used to etch, clean, and deposit films in the manufacturing of a semiconductor device. Moreover, in damascene processing, prior to metal barrier deposition, process induced carbon-containing low-k dielectric damage can be incurred from etch, dry resist strip, wet cleaning and dry cleaning Carbon-containing low-k materials are also susceptible to the intercalation of plasma species, residues, solvents, moisture, and precursor molecules that can either adsorb into, outgas from, or chemically modify the film.
  • Damage to the carbon-containing low-k dielectric material on the sidewalls of the via trenches or in the inter-layer dielectric (ILD) regions during copper (Cu) damascene processing can compromise the integrity of dielectric spacing, leading to increased leakage, higher capacitance, and reduced performance and reliability. The damaged low-k layers can absorb moisture which remains trapped in the dielectric. This can oxidize the barrier material leading to Cu diffusion. Damage of the low-k dielectric material is linked to the loss of methyl groups (CH3) in the film during processing. Thus, dielectric repair to prevent the unwanted absorption of moisture is important.
  • Carbon depletion occurs when, for example, a Si—CH3 bond is broken leaving a silicon dangling bond. Reaction with absorbed water results in the formation of silanol (Si—OH) groups. Slurry from chemical mechanical polishing (CMP) can also chemically remove carbon groups on the surface of the low-k dielectric film. Again, a reaction with the water present in the CMP slurry results in the formation of highly polarizable silanol (Si—OH) groups, which leads to an increase in k value for the damaged portion of the film, increasing the effective k value of the dielectric significantly.
  • Because of this, semiconductor manufacturers have developed methods to eliminate carbon depletion or replenish (repair) the depleted carbon. One method is the use of chemicals called “Toughening Agents” (TA) to repair carbon depletion damage. Another method is to use sacrificial capping layers to protect the low-k films from carbon depletion. However, both methods narrow the required process window and lead to higher manufacturing costs. Furthermore, an increase k effective may still result. Thus, improved methods for low-k dielectric repair in semiconductor processing are needed.
  • SUMMARY OF THE INVENTION
  • The present invention provides a method for the ultraviolet (UV) treatment of carbon-containing low-k dielectric, for example, but not limited to carbon-doped oxide (CDO), for the repair of process-induced damage. Inventive methods provide for treatment of a damaged low-k dielectric on a semiconductor substrate with UV radiation to repair processing induced damage. The method is particularly applicable in the context of damascene processing.
  • In one aspect, the invention relates to a method of forming a semiconductor device by depositing a carbon-containing low-k dielectric (e.g., CDO) layer on a substrate and forming a via and trench in the low-k dielectric layer, the trench having sidewalls ending at a bottom. The trench is then exposed to UV radiation to repair damage (e.g., dangling bonds or highly strained bonds, e.g., Si—O—Si or Si—CH2—Si, caused by removal of organic (generally —CH3) groups) to the low-k material of the trench sidewalls and bottom caused by the trench formation process (generally etching, ashing, and wet or dry cleaning)). The repaired damascene trench can then be filled with a conductive material, particularly a diffusion barrier layer followed by copper. The top surface of the semiconductor device can then be planarized, generally by chemical mechanical polishing (CMP). Post-planarization UV repair of planaraization-induced dielectric damage may also be conducted.
  • The UV exposure may be conducted in vacuum. While the invention is not limited to this theory of operation, it is believed that the UV exposure of the damaged dielectric surface according to this aspect of the invention cross-links the surface Si groups to fill gaps from the departed methyl (—CH3) groups.
  • In other embodiments, the UV exposure may be conducted in a partial pressure of a reactive gas that participates in dielectric repair. An appropriate gas will include a gas phase source of methyl (—CH3) groups during the UV exposure. Exposure time should be limited in order to prevent oxidation of or the stripping of methyl groups from the dielectric. In general, the dose time should be for no more than 10 seconds and/or result in a penetration of no more than four to five monolayers of the dielectric. A preferred dose time is about one to two seconds. Suitable gas phase reactants include, preferably, organo-silanes, -silazanes, and -siloxanes, for example, dichlorodimethylsilane (DCDMS), chlorotrimethylsilane (CTMS), hexamethyldisilazane (HMDS), hexamethyldisiloxane (HMDSO), tetravinyltetramethylcyclotetrasiloxane (TVTMCTS)). Other suitable gas phase reactants include acetaldehyde; alkanes, for example methane and ethane; alkenes, for example ethylene; and alkynes, for example acetylene, may also be used. —H and —O groups may also participate in suitable repair reactions. In that case, —H and —O may be provided in one or more gas phase reactants or may evolve from the film. The gas phase may also include inert carriers such as He, Ar, Ne, N2, etc.
  • While the invention is not limited to this theory of operation, it is believed that damage sites, including dangling Si bonds and/or highly strained bonds (e.g., Si—O—Si or Si—CH2—Si) in the carbon-containing dielectric film are satisfied with a methyl group from methyl-containing molecules of the gas phase source of methyl (—CH3) groups in a reaction induced by the activation provided by UV radiation, thereby accomplishing low-k dielectric repair without substantial alteration of dielectric properties. In some instances, active methyl (—CH3) groups may be generated by dissociation of methyl-containing molecules of the gas phase source of —CH3 groups by the UV radiation. Alternatively, methyl groups in methyl-containing molecules in the gas phase source of —CH3 groups can react with damage sites in the film. The reaction of the activated methyl groups with the damaged area of the film when the activation energy is lowered by the UV radiation reduces the energy of film, rendering it more stable.
  • Anything other than a carbon group reacting with a damage site on the surface of the dielectric will produce a higher k than the original carbon-containing low-k film. However, in instances where a minimal k value of the dielectric is not required, this rise in effective k resulting from non-carbon-containing repair (e.g., UV exposure alone) may be acceptable.
  • Another aspect of the invention relates to post-planarization (e.g., CMP) carbon-containing low-k dielectric damage repair arising in damascene processing. According to this aspect, following planarization by CMP, Si—OH (silanol) bonds (formed by removal of organic (generally —CH3) groups by CMP slurry and processing and reaction of water in the slurry with resulting dangling Si-bonds), or other film damage (e.g., highly strained bonds) are repaired by exposing the surface to UV radiation. As described above, it is believed that the UV exposure cleaves the silanol bonds (Si—OH) and cross-links the film Si groups to fill gaps from the departed methyl (—CH3) groups. The result is a densified surface layer. This UV exposure may optionally also be conducted in the presence of a gas phase source of methyl (—CH3) groups such that the surface low-k dielectric repair is achieved without substantial alteration of dielectric properties, as described above.
  • The invention may also be more generally applicable in other semiconductor processing contexts. For example, a method of forming a semiconductor device, may involve depositing a carbon-containing low-k dielectric layer on a substrate, conducting a semiconductor processing operation that damages the low-k dielectric layer, and exposing the low-k dielectric layer to UV radiation such that processing-induced low-k dielectric damage to the dielectric is repaired.
  • These and other features and advantages of the present invention will be described in more detail below with reference to the associated drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The accompanying drawings, which are incorporated into and constitute a part of this specification, illustrate one or more embodiments of the present invention and, together with the detailed description, serve to explain the principles and implementations of the invention.
  • In the drawings:
  • FIG. 1 is a process flow chart depicting a method in accordance with an embodiment of the invention.
  • FIGS. 2A-2D are cross sectional diagrams illustrating the formation of a semiconductor device by a dual damascene process in accordance with an embodiment of the invention.
  • FIG. 3 is a schematic diagram of an example chamber suitable for implementing the present invention.
  • DETAILED DESCRIPTION
  • Embodiments of the present invention are described herein in the context of a UV treatment for carbon-containing low-k dielectric repair in damascene processing. Those of ordinary skill in the art will realize that the following detailed description of the present invention is illustrative only and is not intended to be in any way limiting. Other embodiments of the present invention will readily suggest themselves to such skilled persons having the benefit of this disclosure. Reference will now be made in detail to implementations of the present invention as illustrated in the accompanying drawings. The same reference indicators will be used throughout the drawings and the following detailed description to refer to the same or like parts.
  • The term “semiconductor device” as used herein refers to any device formed on a semiconductor substrate or any device possessing a semiconductor material. In many cases, a semiconductor device participates in electronic logic or memory, or in energy conversion. The term “semiconductor device” subsumes partially fabricated devices (such as partially fabricated integrated circuits) as well as completed devices available for sale or installed in particular apparatus. In short, a semiconductor device may exist at any state of manufacture that employs a method of this invention or possesses a structure of this invention.
  • As noted above, the present invention provides a method for the ultraviolet (UV) treatment of carbon-containing low-k dielectric for the repair of process-induced damage. Applicable carbon containing dielectrics typically have SiO-based backbones doped with carbon, in particular CDO (for example, those formed from octamethyl cyclotetrasiloxane (OMCTS), tetramethylcyclotetrasiloxane (TMCTS), dimethyldimethoxysilane (DMDMOS), and diethoxymethylsilane (DEMS) and other known CDO precursors), but may also include hybrid polymers incorporating both C, Si and O in the backbone. Inventive methods provide for treatment of a damaged carbon-containing low-k dielectric on a semiconductor substrate with UV radiation to repair processing induced damage. The method is particularly applicable in the context of damascene processing.
  • In one aspect, the invention relates to a method of forming a semiconductor device by depositing a carbon-containing low-k dielectric layer on a substrate and forming a via and trench in the low-k dielectric layer, the trench having sidewalls ending at a bottom. The trench is then exposed to UV radiation to repair process induced low-k dielectric damage (e.g., dangling bonds or highly strained bonds, e.g., Si—O—Si or Si—CH2—Si, caused by removal of organic (generally —CH3) groups) to the low-k material of the trench sidewalls and bottom caused by etch, dry resist strip, wet cleaning and dry cleaning, for example, involved in the trench formation process. The repaired damascene trench can then be filled with a conductive material, particularly a metal diffusion barrier followed by copper. The top surface of the semiconductor device can then be planarized, generally by chemical mechanical polishing (CMP). Post-planarization UV repair of planaraization-induced dielectric damage may also be conducted.
  • FIG. 1 is a process flow chart depicting operations in a method in accordance with an embodiment of this invention. A generalized version of a dual damascene technique will be described below with reference to FIGS. 2A through 2D, which depict a partially formed semiconductor device during various stages of this process. However, the invention is not intended to be limited to the use of a dual damascene technique as the invention may be used with other techniques.
  • Referring now to FIG. 1, a carbon containing low-k dielectric layer is deposited on a substrate at 100. Patterns of conductive features are formed in the dielectric layer, generally by etching, at 102. Etching generally results in damage to the pattern edges, generally trench sidewalls and bottoms, as described above. Other process operations, such as dry resist strip, wet cleaning and dry cleaning, can also cause or contribute to low-k dielectric damage. The conductive features are typically, though not necessarily, metal lines and vias. In one example, they are the interconnects of a metallization layer that is formed from copper. As is known to those of skill in the art, various techniques may be employed to form such layers.
  • The etched trenches are then exposed to ultraviolet (UV) radiation at 104. The UV exposure may be conducted in vacuum. While the invention is not limited to any particular theory of operation, it is believed that the UV exposure of the damaged dielectric surface according to this aspect of the invention cross-links the surface Si groups to fill gaps from the departed methyl (—CH3) groups.
  • In other embodiments, the UV exposure may be conducted in a partial pressure of a reactive gas that participates in dielectric repair. An appropriate gas will have a gas phase source of methyl (—CH3) groups during the UV exposure. Exposure time should be limited in order to prevent oxidation of or the stripping of methyl groups from the dielectric. In general, the dose time should be for no more than 10 seconds and/or result in a penetration of no more than four to five monolayers of the dielectric. A preferred dose time is about one to two seconds. Suitable gas phase reactants include, preferably, organo-silanes, -silazanes, and -siloxanes, for example, dichlorodimethylsilane (DCDMS), chlorotrimethylsilane (CTMS), hexamethyldisilazane (HMDS), hexamethyldisiloxane (HMDSO), tetravinyltetramethylcyclotetrasiloxane (TVTMCTS)). Other suitable gas phase reactants include acetaldehyde; alkanes, for example methane and ethane; alkenes, for example ethylene; and alkynes, for example acetylene, may also be used. —H and —O groups may also participate in suitable repair reactions. In that case, —H and —O may be provided in one or more gas phase reactants or may evolve from the film. The gas phase may also include inert carriers such as He, Ar, Ne, N2, etc.
  • While the invention is not limited to this theory of operation, it is believed that damage sites, including dangling Si bonds and/or highly strained bonds (e.g., Si—O—Si or Si—CH2—Si) in the carbon-containing low-k dielectric film are satisfied with a methyl group from the gas phase source of —CH3 groups in a reaction induced by the activation provided by UV radiation, thereby accomplishing low-k dielectric repair without substantial alteration of dielectric properties. In some instances, active methyl (—CH3) groups may be generated by dissociation of methyl-containing molecules in the gas phase source of —CH3 groups by the UV radiation. Alternatively, methyl groups in methyl-containing molecules in the gas phase source of —CH3 groups can react with damage sites in the film. The reaction of activated methyl with the damage surface site can occur when UV radiation excites electrons into anti-bonding states, lowering the thermal activation energy of the reaction. This renders the film more stable.
  • Anything other than a carbon group reacting with a damage site on the surface of the dielectric will produce a higher k than the original low-k film. However, in instances where a minimal k value of the dielectric is not required, this rise in effective k resulting from non-carbon-containing repair (e.g., UV exposure alone) may be acceptable.
  • The UV light may be irradiated on the sidewalls and bottom of the trench to repair the damage from the etching of the trenches. The photon energy supplied by the UV treatment effectively lowers the activation barrier for reaction, and depleted methyl sites within the films are filled by a reaction with the active methyl groups derived from the gas phase source of methyl (—CH3) groups. Satisfying the Si dangling bonds in the damaged film repairs the damage done to the low-k dielectric during the trench formation process (e.g., etching, ashing, and wet or dry cleaning) without substantially altering the dielectric properties. In addition, there may be silanol groups (—OH) in the damaged areas that are formed from Si dangling bonds that are exposed to moisture, either in subsequent processing or from moisture present in the fab ambient atmosphere. These silanol bonds are cleaved during the UV treatment, and the —OH groups leave the film, effectively lowering the dielectric constant and “repairing” the film. Interconnect reliability is thereby improved.
  • The dielectric film may be exposed to a UV source that produces UV radiation. The choices of UV wavelength(s), total exposure time and intensity, etc., depend on a number of factors, including the thickness of the dielectric film and the composition of the dielectric film. Suitable UV treatment parameters are in the power intensity range of about 1 mw-20 W/cm2, preferably about 500 mW-5 W/cm2; at a wavelength of about 150-500 nm, preferably about 200-400 nm; for up to about 20 minutes, for example less than 10 minutes, or less than 5 minutes, and preferably less than about 2 minutes; at a wafer temperature of between room temperature up to about 450° C., preferably about 200-400° C. A typical UV exposure in accordance with this aspect of the invention has a power density of about 1-3 W/cm2 at a wafer temperature of about 350° C. in either inert (e.g., He, Ar, forming gas, or N2) or oxidizing environments (e.g., in an anneal environment that comprises one or more of oxygen, ozone, peroxide or nitrous oxide). In oxidizing environments, oxygen can promote bond breaking thereby facilitating hydrogen removal for film repair. The UV source can be a single wavelength excimer lamp or broad spectrum source with arc or microwave excitations. The process pressure can range from about 1 mTorr to 760 Torr, preferably from about 1 Torr to 200 Torr. The UV exposure can also be achieved through direct exposure to a plasma of He, Ar, N2, NH3, N2O, O2, or a mixture of them.
  • Exposure time should be limited in order to prevent oxidation of or the stripping of methyl groups from the dielectric, or dielectric shrinking (which causes stress and strain in the film. In general, the dose time should be for no more than 10 seconds and/or result in a penetration of no more than four to five monolayers of the dielectric. A preferred dose time is about one to two seconds.
  • The apparatus employed to implement the invention can have one or more UV sources. In some embodiments described herein, the apparatus will have a single UV source. Suitable apparatus are described in more detail below.
  • The trenches may then be filled at 106 with a conductive material such as Cu. When filling the features, excess material will have to be removed from the top (exposed surface) of the dielectric layer. The excess material may be removed by a planarization process to form an exposed pattern of conductive features in the dielectric at 108. As discussed above, one widely-used planarization process is chemical mechanical polishing (CMP).
  • Particularly if the conductive layer includes copper, it is beneficial to remove oxides from the conductive layer after the planarization process at 110. As known by those of skill in the art, this step is typically accomplished by exposing the copper surfaces to a hydrogen or ammonia plasma. As discussed above, slurry from CMP can chemically remove carbon groups. The hydrogen/plasma used to remove the oxides can also strip carbon or hydroxyl groups from the film, leaving silicon dangling bonds on the surface of carbon-containing low-k dielectric film.
  • To repair the dangling bonds, the dielectric surface can be exposed to a second UV radiation treatment at 112. The treatment may be conducted under the same conditions as described above with reference to the post-trench etch treatment. The presence of the gas phase source of —CH3 groups is optional. While the invention is not limited to this theory of operation, it is believed that the UV exposure of the surface removes the dangling bonds by cross-linking the surface Si groups to fill gaps from the departed methyl (—CH3) groups and produces a densified surface layer. In some instances, the alteration of the surface dielectric properties of the carbon-containing low-k dielectric arising from the UV-induced surface cross-linking is acceptable.
  • In other instances, where the carbon-containing low-k surface properties are more critical, this surface UV exposure may optionally also be conducted in the presence of a gas phase source of —CH3 groups such that the surface low-k dielectric repair is achieved without substantial alteration of dielectric properties, as described above.
  • Following the damascene processing with repair in accordance with the present invention, a diffusion barrier film, such as a copper diffusion barrier film, may be deposited on the planarized surface of the partially-formed semiconductor device. This layer may serve other purposes aside from that of a diffusion barrier. For example, the diffusion barrier film may also act as an etch stop layer.
  • Referring now to FIGS. 2A-2D, a typical dual damascene process incorporating the carbon-containing low-k dielectric repair processes of the present invention are illustrated. First and second layers of dielectric are deposited in succession, possibly separated by deposition of an etch stop layer, such as a silicon nitride layer. These layers are depicted in FIG. 2A as first dielectric layer 203, second dielectric layer 205, and etch stop layer 207. As is well known in the art, according to alternative damascene processing techniques a single thicker dielectric layer can be used instead of discrete first and second layers.
  • After deposition of the second dielectric layer 205, a via mask 211 is formed having openings where vias will be subsequently etched. Next, the vias are partially etched down through the level of etch stop 207. Then via mask 211 is stripped off and replaced with a line mask 213 as depicted in FIG. 2B. A second etch operation is performed to remove sufficient amounts of dielectric to define line paths 215 in second dielectric layer 205. The etch operation also extends via holes 217 through first dielectric layer 203, down to contact the underlying substrate 209.
  • It should be noted that the foregoing description is just an example of one dual damascene process with which the present invention may be implemented. One type of via first dual damascene process has been described. In other embodiments, a via first process may involve complete etching of the vias prior to etching of the line trenches. Or, a trench first process, in which the etching of the line trenches precedes the via etching, may be used. These various damascene processing techniques, and other variations thereon, are well know in the art and represent alternative implementation contexts for embodiments of the present invention. The invention is also applicable is single damascene processing, more conventional metal deposition and etch, and essentially any semiconductor processing context where carbon-containing low-k dielectrics are used.
  • Further in this regard, the term “trench” in the context of damascene processing is commonly understood to describe a feature formed in dielectric and subsequently filled to form a conductive line in a dielectric layer. In a more general semiconductor processing context, the term is also understood to describe a feature formed in dielectric and subsequently filled to form an element of a semiconductor device (e.g., via, line, STI, etc.), and may include a damascene trench, via or combined damascene structure. Unless it is otherwise clear from the context, when used herein, the term should be understood to have its broader meaning
  • After etching the via hole and trenches, the photoresist is removed in another plasma process, followed by a wet or dry clean and then damage on the low-k dielectric surface is repaired, as discussed above.
  • Thereafter a thin layer of conductive barrier layer material 219 is formed on the exposed surfaces (including sidewalls) of dielectric layers 203 and 205. Conductive barrier layer material 219 may be formed, for example, of tantalum or tantalum nitride. A CVD or PVD operation is typically employed to deposit conductive barrier layer material 219. Prior to the deposition of the barrier material, a plasma process is typically used to clean the bottoms of the vias to remove oxidation and contaminants from the exposed copper surface on the underlying layer. As is known to those skilled in the art, this barrier “preclean” plasma process can be simply an inert plasma or a reactive plasma of a gas such as hydrogen. The preclean plasma process can also damage the low k dielectric film. An in-situ UV treatment to repair the damaged low k film may employed prior to the PVD operation—for Ta or TaN.
  • On top of the barrier layer, a conductive metal (typically copper) is deposited in the via holes and line paths 217 and 215. Conventionally this deposition is performed in two steps: an initial deposition of a conductive seed layer followed by bulk deposition of copper by electroplating. The seed layer may be deposited by physical vapor deposition, chemical vapor deposition, electroless plating, etc. Note that the bulk deposition of copper not only fills line paths 215 but, to ensure complete filling, also covers all the exposed regions on top of second dielectric layer 205.
  • Thus, it becomes necessary to planarize the structure and remove the excess copper from the device. Planarization removes material down to the level of the top of dielectric layer 205. This results in an exposed pattern of conductive lines 221 in dielectric layer 205 and vias in dielectric layer 203. (See the cross-sectional view of FIG. 2C and the simplified top view of FIG. 2D.)
  • Planarization may be accomplished by various techniques. Typically, the process involves some amount of CMP. It may also involve a combination of electropolishing, to remove most of the excess bulk copper, followed by CMP to remove the remaining copper down to the level of the top surface of dielectric layer 205. As discussed above, slurry from CMP can chemically remove carbon groups, and the hydrogen used to remove the oxides from the conductive layer after the planarization process can also remove carbon groups leaving silicon dangling bonds on the surface of low-k dielectric films.
  • Another aspect of the invention relates to post-planarization (e.g., CMP) damage repair arising in damascene processing. According to this aspect, following planarization by CMP, Si—OH (silanol) bonds (formed by removal of organic (generally —CH3) groups by CMP slurry and processing and reaction of water in the slurry with resulting dangling Si— bonds), or other carbon-containing low-k dielectric film damage (e.g., highly strained bonds) are repaired by exposing the surface to UV radiation. As described above, it is believed that the UV exposure cross-links the film Si groups to fill gaps from the departed methyl (—CH3) groups. The result is a densified surface layer. This UV exposure may optionally also be conducted in the presence of a gas phase source of -methyl (—CH3) groups such that the surface low-k dielectric repair is achieved without substantial alteration of dielectric properties, as described above.
  • Apparatus
  • The present invention can be implemented in many different types of apparatus. In preferred embodiments, the apparatus will include one or more chambers (sometimes referred to as process vessels) that house one or more wafers and are suitable for wafer processing. At least one chamber will include a UV source. A single chamber may be employed for all operations of the invention or separate chambers may be used. Each chamber may house one or more wafers (substrates) for processing. The one or more chambers maintain the wafer in a defined position or positions (with or without motion within that position, e.g., rotation, vibration, or other agitation) during procedures of the invention. For certain operations in which the wafer temperature is to be controlled, the apparatus may include a heating or cooling platen.
  • FIG. 3 is a schematic diagram of an example chamber 301 in accordance with the invention. Chamber 301 is capable of holding a vacuum and/or containing gases at pressures above atmospheric pressure. For simplicity, only one station of one chamber 301 is shown. It is noted that in preferred embodiments, chamber 301 is one chamber in a multi-chambered apparatus (entire apparatus not shown), although chamber 301 could alternatively be part of a stand-alone single chambered apparatus. In either case, the chamber(s) may have one or more than one station. Suitable single chamber, multi-station apparatus, for example, include the Novellus Sequel and Vector systems modified for UV treatments.
  • A substrate holder 303 secures a wafer 305 in a position such that light from a UV light source array 307 can irradiate wafer 305. Substrate holder 303 can have a heater (not shown) that can heat the substrate to defined temperatures, or could be cooled using a chiller and can be controlled by a temperature controller (not shown). Chamber 301 is configured with a gas inlet 315, which is connected to a gas source (not shown), and with a vacuum outlet 313, which is connected to a vacuum pump (not shown). The amount of gas introduced into the chamber 301 can be controlled by valves and mass flow controller (not shown) and pressure is measured by pressure gauge (not shown).
  • In this example, the UV light source array 307 is mounted outside the chamber 301. In alternate embodiments, the UV light source array may be housed inside the chamber 301. UV light source array 307 includes an array of individual UV sources such as mercury vapor or xenon lamps. Note that the invention is not limited to mercury vapor or xenon lamps as UV light sources and other suitable light sources include deuterium lamps, excimer lamps or lasers (e.g., excimer lasers and tunable variations of various lasers). Various optical elements, such as reflectors, may be required to direct the UV light toward portions of the substrate. Methods for directing the light at different portions of the substrate at different times may be required as well. A scanning mechanism may be used for this purpose. A window 311 made of quartz, sapphire or other suitable material is positioned between UV light source array 307 and wafer 305 to provide isolation. Filters can also be used to remove unwanted spectral components from particular sources to “tune” the sources.
  • The UV light source array 307 may be comprised of one or more types of UV sources, for example an array of three types of UV sources, each type providing UV radiation with a different wavelength distribution. The UV sources are electrically connected to each other (309 a, 309 b and 309 c) and controlled by control system 310, which controls when each of the various UV sources is illuminated. Control system 310 is typically, but not limited to, a computer processing system such as a PC or workstation. Of course, any number and types of individual light sources in any suitable configuration can be used.
  • Note that the light source array and control configuration of FIG. 3 is only an example of a suitable configuration. In general, it is preferable that the lamps are arranged to provide uniform UV radiation to the wafer. For example, other suitable lamp arrangements can include circular lamps concentrically arranged or lamps of smaller length arranged at 90 degree and 180 degree angles with respect to each other may be used. The light source(s) can be fixed or movable so as to provide light in appropriate locations on the wafer. Alternatively, an optical system, including for example a series of movable lenses, filters, and/or mirrors, can be controlled to direct light from different sources to the substrate at different times.
  • The UV light intensity can be directly controlled by the type of light source and by the power applied to the light source or array of light sources. Factors influencing the intensity of applied power include, for example, the number or light sources (e.g., in an array of light sources) and the light source types (e.g., lamp type or laser type). Other methods of controlling the UV light intensity on the wafer sample include using filters that can block portions of light from reaching the wafer sample. As with the direction of light, the intensity of light at the wafer can be modulated using various optical components such as mirrors, lenses, diffusers and filters. The spectral distribution of individual sources can be controlled by the choice of sources (e.g., mercury vapor lamp vs. xenon lamp vs. deuterium lamp vs. excimer laser, etc.) as well as the use of filters that tailor the spectral distribution. In addition, the spectral distributions of some lamps can be tuned by doping the gas mixture in the lamp with particular dopants such as iron, gallium, etc.
  • It should be understood that the apparatus depicted in FIG. 3 is only an example of a suitable apparatus and that other designs for other methods involved in previous and/or subsequent processes may be used. Examples of apparatus that may be suitable for implementing the present invention are also described in commonly assigned co-pending application Ser. Nos. 11/115,576 filed Apr. 26, 2005, 10/800,377 filed Mar. 11, 2004 and 10/972,084 filed Oct. 22, 2004, incorporated by reference herein.
  • While the invention has been described primarily in the context of damascene processing, it may also be applicable in other semiconductor processing contexts.
  • Although the foregoing invention has been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. It should be noted that there are many alternative ways of implementing both the process and compositions of the present invention. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and the invention is not to be limited to the details given herein.

Claims (20)

1. A method of forming a semiconductor device in damascene processing, comprising:
receiving in a processing chamber a semiconductor device substrate comprising a planarized surface having conductive features in a carbon-containing low-k dielectric layer;
exposing the planarized surface to UV radiation;
whereby planarization-induced low-k dielectric damage on the surface is repaired without substantially altering the dielectric properties.
2. The method of claim 1, wherein the conductive features are comprised of metal.
3. The method of claim 2, wherein oxide is removed from the metal surface.
4. The method of claim 1, further comprising exposing the planarized surface to a gas phase source of —CH3 groups.
5. The method of claim 4, wherein the gas phase source of —CH3 groups comprises one or more selected from the group consisting of organo-silanes, -silazanes, and -siloxanes; acetaldehyde; alkanes; alkenes; and alkynes.
6. The method of claim 5, wherein the gas phase source of —CH3 groups comprises one or more selected from the group consisting of dichlorodimethylsilane (DCDMS), chlorotrimethylsilane (CTMS), hexamethyldisilazane (HMDS), hexamethyldisiloxane (HMDSO), tetravinyltetramethylcyclotetrasiloxane (TVTMCTS), acetaldehyde, methane, ethane, ethylene, acetylene, and combinations thereof.
7. The method of claim 6, wherein the gas phase source of —CH3 groups comprises one or more selected from the group consisting of dichlorodimethylsilane (DCDMS), chlorotrimethylsilane (CTMS), hexamethyldisilazane (HMDS), hexamethyldisiloxane (HMDSO), tetravinyltetramethylcyclotetrasiloxane (TVTMCTS), and combinations thereof.
8. The method of claim 2, wherein the metal is copper.
9. The method of claim 1, wherein the UV radiation has a power density of about 500 mW-5 W/cm2 and a wavelength from about 150-500 nm, and the exposure is conducted at a temperature of about room temperature up to 450° C. for less than 20 minutes.
10. The method of claim 1, wherein the UV radiation has a power density of about 1-3 W/cm2 and a wavelength from about 200-400 nm, and the exposure is conducted at a temperature of about 200-400° C. for less than 5 minutes.
11. The method of claim 1, wherein the carbon-containing low-k dielectric comprises CDO.
12. A method of forming a semiconductor device, comprising:
receiving in a processing chamber a semiconductor device substrate comprising a carbon-containing low-k dielectric layer, the semiconductor device substrate having been damaged by a semiconductor processing operation;
exposing the low-k dielectric layer to UV radiation such that processing-induced low-k dielectric damage to the dielectric is repaired without substantially altering the dielectric properties.
13. The method of claim 12, further comprising exposing the low-k dielectric layer to a gas phase source of —CH3 groups.
14. The method of claim 13, wherein the gas phase source of —CH3 groups comprises one or more selected from the group consisting of organo-silanes, -silazanes, and -siloxanes; acetaldehyde; alkanes; alkenes; and alkynes.
15. The method of claim 14, wherein the gas phase source of —CH3 groups comprises one or more selected from the group consisting of dichlorodimethylsilane (DCDMS), chlorotrimethylsilane (CTMS), hexamethyldisilazane (HMDS), hexamethyldisiloxane (HMDSO), tetravinyltetramethylcyclotetrasiloxane (TVTMCTS), acetaldehyde, methane, ethane, ethylene, acetylene, and combinations thereof.
16. The method of claim 15, wherein the gas phase source of —CH3 groups comprises one or more selected from the group consisting of dichlorodimethylsilane (DCDMS), chlorotrimethylsilane (CTMS), hexamethyldisilazane (HMDS), hexamethyldisiloxane (HMDSO), tetravinyltetramethylcyclotetrasiloxane (TVTMCTS), and combinations thereof.
17. The method of claim 12, wherein the UV radiation has a power density of about 500 mW-5 W/cm2 and a wavelength from about 150-500 nm, and the exposure is conducted at a temperature of about room temperature up to 450° C. for less than 20 minutes.
18. The method of claim 12, wherein the UV radiation has a power density of about 1-3 W/cm2 and a wavelength from about 200-400 nm, and the exposure is conducted at a temperature of about 200-400° C. for less than 5 minutes.
19. The method of claim 12, wherein the carbon-containing low-k dielectric comprises CDO.
20. The method of claim 12, where the device substrate further comprises metal features.
US12/940,324 2006-10-30 2010-11-05 Uv treatment for carbon-containing low-k dielectric repair in semiconductor processing Abandoned US20110045610A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US12/940,324 US20110045610A1 (en) 2006-10-30 2010-11-05 Uv treatment for carbon-containing low-k dielectric repair in semiconductor processing
US12/973,549 US8465991B2 (en) 2006-10-30 2010-12-20 Carbon containing low-k dielectric constant recovery using UV treatment

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/590,661 US7851232B2 (en) 2006-10-30 2006-10-30 UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US12/940,324 US20110045610A1 (en) 2006-10-30 2010-11-05 Uv treatment for carbon-containing low-k dielectric repair in semiconductor processing

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/590,661 Division US7851232B2 (en) 2006-10-30 2006-10-30 UV treatment for carbon-containing low-k dielectric repair in semiconductor processing

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/973,549 Continuation-In-Part US8465991B2 (en) 2006-10-30 2010-12-20 Carbon containing low-k dielectric constant recovery using UV treatment

Publications (1)

Publication Number Publication Date
US20110045610A1 true US20110045610A1 (en) 2011-02-24

Family

ID=42934740

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/590,661 Active 2028-05-10 US7851232B2 (en) 2006-10-30 2006-10-30 UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US12/940,324 Abandoned US20110045610A1 (en) 2006-10-30 2010-11-05 Uv treatment for carbon-containing low-k dielectric repair in semiconductor processing

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/590,661 Active 2028-05-10 US7851232B2 (en) 2006-10-30 2006-10-30 UV treatment for carbon-containing low-k dielectric repair in semiconductor processing

Country Status (1)

Country Link
US (2) US7851232B2 (en)

Cited By (297)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100267231A1 (en) * 2006-10-30 2010-10-21 Van Schravendijk Bart Apparatus for uv damage repair of low k films prior to copper barrier deposition
US20110111533A1 (en) * 2009-11-12 2011-05-12 Bhadri Varadarajan Uv and reducing treatment for k recovery and surface clean in semiconductor processing
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
WO2013002899A1 (en) * 2011-06-28 2013-01-03 Applied Materials, Inc. Dielectric recovery of plasma damaged low-k films by uv-assisted photochemical deposition
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US8715788B1 (en) 2004-04-16 2014-05-06 Novellus Systems, Inc. Method to improve mechanical strength of low-K dielectric film using modulated UV exposure
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US9502255B2 (en) 2014-10-17 2016-11-22 Lam Research Corporation Low-k damage repair and pore sealing agents with photosensitive end groups
TWI571934B (en) * 2011-03-25 2017-02-21 東京威力科創股份有限公司 Treatment method and recording medium
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11952658B2 (en) 2022-10-24 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material

Families Citing this family (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
JP4930095B2 (en) * 2007-02-22 2012-05-09 富士通株式会社 Wet etching method and semiconductor device manufacturing method
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US20090061649A1 (en) 2007-08-28 2009-03-05 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
JP5705751B2 (en) 2009-03-10 2015-04-22 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Cyclic amino compounds for low-k silylation
US8035201B2 (en) * 2009-05-27 2011-10-11 Globalfoundries Singapore Pte. Ltd. Reliable interconnection
JP5424848B2 (en) * 2009-12-15 2014-02-26 株式会社東芝 Semiconductor substrate surface treatment apparatus and method
DE102010040071B4 (en) * 2010-08-31 2013-02-07 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG A method for restoring surface properties of sensitive low ε dielectrics in microstructure devices using in-situ surface modification
CN102751233B (en) * 2011-04-18 2015-03-11 中芯国际集成电路制造(上海)有限公司 Interconnection structure forming method
US8492170B2 (en) * 2011-04-25 2013-07-23 Applied Materials, Inc. UV assisted silylation for recovery and pore sealing of damaged low K films
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8535767B1 (en) * 2012-04-18 2013-09-17 Asm Ip Holding B.V. Method for repairing damage of dielectric film by hydrocarbon restoration and hydrocarbon depletion using UV irradiation
US8785215B2 (en) 2012-05-31 2014-07-22 Asm Ip Holding B.V. Method for repairing damage of dielectric film by cyclic processes
US8753449B2 (en) 2012-06-25 2014-06-17 Applied Materials, Inc. Enhancement in UV curing efficiency using oxygen-doped purge for ultra low-K dielectric film
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
CN103871961B (en) 2012-12-17 2017-08-25 中芯国际集成电路制造(上海)有限公司 Interconnection structure and its manufacture method
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9070750B2 (en) 2013-03-06 2015-06-30 Novellus Systems, Inc. Methods for reducing metal oxide surfaces to modified metal surfaces using a gaseous reducing environment
US9865501B2 (en) 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
WO2014158344A2 (en) * 2013-03-14 2014-10-02 Applied Materials, Inc. Uv-assisted removal of metal oxides in an ammonia-containing atmosphere
US20140363903A1 (en) * 2013-06-10 2014-12-11 Tokyo Ohta Kogyo Co., Ltd. Substrate treating apparatus and method of treating substrate
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9190263B2 (en) 2013-08-22 2015-11-17 Asm Ip Holding B.V. Method for forming SiOCH film using organoaminosilane annealing
US9136108B2 (en) * 2013-09-04 2015-09-15 Asm Ip Holding B.V. Method for restoring porous surface of dielectric layer by UV light-assisted ALD
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
CN103531535B (en) * 2013-10-30 2018-10-16 上海集成电路研发中心有限公司 A method of repairing side wall damage of ultralow dielectric constant film
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
CN104752317B (en) * 2013-12-26 2017-11-10 中芯国际集成电路制造(上海)有限公司 A kind of manufacture method of semiconductor devices
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US9469912B2 (en) 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9478414B2 (en) 2014-09-26 2016-10-25 Asm Ip Holding B.V. Method for hydrophobization of surface of silicon-containing film by ALD
US9472377B2 (en) 2014-10-17 2016-10-18 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
KR102491577B1 (en) 2015-09-23 2023-01-25 삼성전자주식회사 Method of forming semiconductor device having dielectric layer and related system
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9887128B2 (en) * 2015-12-29 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for interconnection
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10541159B2 (en) * 2016-05-26 2020-01-21 Applied Materials, Inc. Processing chamber with irradiance curing lens
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
KR20180030280A (en) 2016-09-12 2018-03-22 삼성전자주식회사 Semiconductor device having an interconnection structure
US20180138328A1 (en) 2016-11-11 2018-05-17 Sunpower Corporation Uv-curing of light-receiving surfaces of solar cells
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US11901219B2 (en) * 2021-08-19 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming semiconductor device structures
US20230178361A1 (en) * 2021-12-07 2023-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Devices and Methods of Manufacture

Citations (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4563589A (en) * 1984-01-09 1986-01-07 Scheffer Herbert D Ultraviolet curing lamp device
US5178682A (en) * 1988-06-21 1993-01-12 Mitsubishi Denki Kabushiki Kaisha Method for forming a thin layer on a semiconductor substrate and apparatus therefor
US5282121A (en) * 1991-04-30 1994-01-25 Vari-Lite, Inc. High intensity lighting projectors
US5504042A (en) * 1994-06-23 1996-04-02 Texas Instruments Incorporated Porous dielectric material with improved pore surface properties for electronics applications
US5858457A (en) * 1997-09-25 1999-01-12 Sandia Corporation Process to form mesostructured films
US5877095A (en) * 1994-09-30 1999-03-02 Nippondenso Co., Ltd. Method of fabricating a semiconductor device having a silicon nitride film made of silane, ammonia and nitrogen
US5876798A (en) * 1997-12-29 1999-03-02 Chartered Semiconductor Manufacturing, Ltd. Method of fluorinated silicon oxide film deposition
US6015503A (en) * 1994-06-14 2000-01-18 Fsi International, Inc. Method and apparatus for surface conditioning
US6228563B1 (en) * 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US6232248B1 (en) * 1998-07-03 2001-05-15 Tokyo Electron Limited Single-substrate-heat-processing method for performing reformation and crystallization
US20010001501A1 (en) * 1997-04-22 2001-05-24 Seung-Hwan Lee Methods of forming integrated circuit capacitors having doped HSG electrodes and capacitors formed thereby
US20020001973A1 (en) * 1999-01-26 2002-01-03 Hui-Jung Wu Use of multifunctional si-based oligomer/polymer for the surface modification of nanoporous silica films
US6340628B1 (en) * 2000-12-12 2002-01-22 Novellus Systems, Inc. Method to deposit SiOCH films with dielectric constant below 3.0
US20020016085A1 (en) * 2000-07-14 2002-02-07 Kegang Huang Method and apparatus for treating low k dielectric layers to reduce diffusion
US20020034626A1 (en) * 1998-12-23 2002-03-21 Jun Liu Mesoporous silica film from a solution containing a surfactant and methods of making same
US6365266B1 (en) * 1999-12-07 2002-04-02 Air Products And Chemicals, Inc. Mesoporous films having reduced dielectric constants
US6383466B1 (en) * 1998-12-28 2002-05-07 Battelle Memorial Institute Method of dehydroxylating a hydroxylated material and method of making a mesoporous film
US6383955B1 (en) * 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6386466B1 (en) * 1999-04-19 2002-05-14 Disco Corporation Cleaning apparatus
US6387453B1 (en) * 2000-03-02 2002-05-14 Sandia Corporation Method for making surfactant-templated thin films
US6391932B1 (en) * 2000-08-08 2002-05-21 Shipley Company, L.L.C. Porous materials
US6392017B1 (en) * 1999-07-27 2002-05-21 Heska Corporation Parasitic helminth DiAg2 proteins and uses thereof
US6394797B1 (en) * 1997-04-02 2002-05-28 Hitachi, Ltd. Substrate temperature control system and method for controlling temperature of substrate
US20020064341A1 (en) * 2000-11-27 2002-05-30 Fauver Mark E. Micro-fabricated optical waveguide for use in scanning fiber displays and scanned fiber image acquisition
US6399212B1 (en) * 1999-10-18 2002-06-04 Nippon Sheet Glass Co., Ltd. Silicon dioxide-coated polyolefin resin and process for its production
US20030013280A1 (en) * 2000-12-08 2003-01-16 Hideo Yamanaka Semiconductor thin film forming method, production methods for semiconductor device and electrooptical device, devices used for these methods, and semiconductor device and electrooptical device
US20030015764A1 (en) * 2001-06-21 2003-01-23 Ivo Raaijmakers Trench isolation for integrated circuit
US6518130B1 (en) * 1999-10-01 2003-02-11 Sony Corporation Method for forming a semiconductor device having a DRAM region and a logic region on the substrate
US6531193B2 (en) * 1997-07-07 2003-03-11 The Penn State Research Foundation Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (TMS) for stress control and coverage applications
US6534395B2 (en) * 2000-03-07 2003-03-18 Asm Microchemistry Oy Method of forming graded thin films using alternating pulses of vapor phase reactants
US20030064604A1 (en) * 2001-10-03 2003-04-03 Matsushita Electric Industrial Co., Ltd. Method for manufacturing an electronic device
US20030064607A1 (en) * 2001-09-29 2003-04-03 Jihperng Leu Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US20030068881A1 (en) * 2001-10-09 2003-04-10 Applied Materials, Inc. Method of depositing low k barrier layers
US6558755B2 (en) * 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
US6563092B1 (en) * 2001-11-28 2003-05-13 Novellus Systems, Inc. Measurement of substrate temperature in a process chamber using non-contact filtered infrared pyrometry
US6576300B1 (en) * 2000-03-20 2003-06-10 Dow Corning Corporation High modulus, low dielectric constant coatings
US20040004247A1 (en) * 2002-07-08 2004-01-08 Micron Technology, Inc. Memory utilizing oxide-nitride nanolaminates
US6677251B1 (en) * 2002-07-29 2004-01-13 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming a hydrophilic surface on low-k dielectric insulating layers for improved adhesion
US20040018319A1 (en) * 2001-09-14 2004-01-29 Carlo Waldfried Ultraviolet curing processes for advanced low-k materials
US20040023513A1 (en) * 2000-07-21 2004-02-05 Shintaro Aoyama Method for manufacturing semiconductor device, substrate treater, and substrate treatment system
US20040022960A1 (en) * 2002-04-25 2004-02-05 Shi-Woo Rhee Method for preparing dielectric films at a low temperature
US20040029391A1 (en) * 2002-08-09 2004-02-12 Texas Instruments Incorporated Method for improving a physical property defect value of a gate dielectric
US20040058090A1 (en) * 2001-09-14 2004-03-25 Carlo Waldfried Low temperature UV pretreating of porous low-k materials
US20040069410A1 (en) * 2002-05-08 2004-04-15 Farhad Moghadam Cluster tool for E-beam treated films
US20040082163A1 (en) * 2002-03-14 2004-04-29 Seiko Epson Corporation Film formation method as well as device manufactured by employing the same, and method of manufacturing device
US20040096586A1 (en) * 2002-11-15 2004-05-20 Schulberg Michelle T. System for deposition of mesoporous materials
US20040096593A1 (en) * 2002-11-14 2004-05-20 Lukas Aaron Scott Non-thermal process for forming porous low dielectric constant films
US6740602B1 (en) * 2003-03-17 2004-05-25 Asm Japan K.K. Method of forming low-dielectric constant film on semiconductor substrate by plasma reaction using high-RF power
US20040102031A1 (en) * 2002-11-21 2004-05-27 Kloster Grant M. Low-K dielectric structure and method
US20040101633A1 (en) * 2002-05-08 2004-05-27 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US20040099952A1 (en) * 2002-11-21 2004-05-27 Goodner Michael D. Formation of interconnect structures by removing sacrificial material with supercritical carbon dioxide
US20040115933A1 (en) * 2002-12-14 2004-06-17 Jung Byung Hyun Methods of manufacturing a semiconductor device
US6848458B1 (en) * 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US20050025892A1 (en) * 2002-11-01 2005-02-03 Sumitomo Chemical Company, Limited Composition for porous organic film
US20050064726A1 (en) * 2003-09-23 2005-03-24 Jason Reid Method of forming low-k dielectrics
US6884738B2 (en) * 2002-03-18 2005-04-26 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor device and substrate processing apparatus
US20050101154A1 (en) * 1999-06-18 2005-05-12 Judy Huang Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US20050112282A1 (en) * 2002-03-28 2005-05-26 President And Fellows Of Harvard College Vapor deposition of silicon dioxide nanolaminates
US6902440B2 (en) * 2003-10-21 2005-06-07 Freescale Semiconductor, Inc. Method of forming a low K dielectric in a semiconductor manufacturing process
US20060024976A1 (en) * 2004-06-07 2006-02-02 Carlo Waldfried Ultraviolet assisted porogen removal and/or curing processes for forming porous low k dielectrics
US20060027929A1 (en) * 2004-05-26 2006-02-09 International Business Machines Corporation Exposed pore sealing post patterning
US7005390B2 (en) * 2002-10-09 2006-02-28 Intel Corporation Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
US20060046516A1 (en) * 2004-08-27 2006-03-02 Frank Weber Repair of carbon depletion in low-k dielectric films
US20060063662A1 (en) * 2004-08-31 2006-03-23 Nat. Inst. Of Adv. Industrial Sci. And Tech. Zeolite nano-crystal suspension, zeolite nano-crystal production method, zeolite nano-crystal suspension production method, and zeolite thin film
US7018918B2 (en) * 2002-11-21 2006-03-28 Intel Corporation Method of forming a selectively converted inter-layer dielectric using a porogen material
US7030041B2 (en) * 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US20060105106A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US20060118817A1 (en) * 2002-12-19 2006-06-08 Koninklijke Philips Electronics N.V. Stress-free composite substrate and method of manufacturing such a composite substrate
US20060121208A1 (en) * 2003-01-09 2006-06-08 Siegel Stephen B Multiple wavelength UV curing
US20060142143A1 (en) * 2004-12-15 2006-06-29 Hayim Abrevaya Process for preparing a dielectric interlayer film containing silicon beta zeolite
US7094713B1 (en) * 2004-03-11 2006-08-22 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US20060189133A1 (en) * 2005-02-22 2006-08-24 International Business Machines Corporation Reliable BEOL integration process with direct CMP of porous SiCOH dielectric
US20070015355A1 (en) * 2005-07-12 2007-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for forming interconnect structures
US7166531B1 (en) * 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US20070032024A1 (en) * 2005-08-03 2007-02-08 Advanced Micro Devices, Inc. Methods for fabricating a stressed MOS device
US7176144B1 (en) * 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
US20070042581A1 (en) * 2004-01-21 2007-02-22 Hitachi Kokusal Electric Inc. Manufacturing method of semiconductor device and substrate processing apparatus
US20070054504A1 (en) * 2005-09-07 2007-03-08 Applied Materials, Inc. Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO2
US7208389B1 (en) * 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US20070105292A1 (en) * 2005-11-07 2007-05-10 Neng-Kuo Chen Method for fabricating high tensile stress film and strained-silicon transistors
US20070134821A1 (en) * 2004-11-22 2007-06-14 Randhir Thakur Cluster tool for advanced front-end processing
US20070132054A1 (en) * 2005-12-13 2007-06-14 Applied Materials Memory cell having stressed layers
US20070134907A1 (en) * 2004-06-02 2007-06-14 Tokyo Electron Limited Substrate processing method and fabrication process of a semiconductor device
US7235459B2 (en) * 2004-08-31 2007-06-26 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry, methods of fabricating memory circuitry, integrated circuitry and memory integrated circuitry
US20080009141A1 (en) * 2006-07-05 2008-01-10 International Business Machines Corporation Methods to form SiCOH or SiCNH dielectrics and structures including the same
US20080020591A1 (en) * 2005-05-26 2008-01-24 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ uv cure
US7332445B2 (en) * 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
US20080132055A1 (en) * 2004-11-04 2008-06-05 International Business Machines Corporation Hardmask for improved reliability of silicon based dielectrics
US20090017640A1 (en) * 2007-07-13 2009-01-15 Applied Materials, Inc. Boron derived materials deposition method
US7481882B2 (en) * 1998-07-09 2009-01-27 Samsung Electronics Co., Ltd. Method for forming a thin film
US20090039475A1 (en) * 2005-10-14 2009-02-12 Yoshimi Shioya Apparatus and Method for Manufacturing Semiconductor
US7504663B2 (en) * 2004-05-28 2009-03-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device with a floating gate electrode that includes a plurality of particles
US7510982B1 (en) * 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US7705431B1 (en) * 2003-08-25 2010-04-27 Novellius Systems, Inc. Method of improving adhesion between two dielectric films
US7906174B1 (en) * 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US20110111533A1 (en) * 2009-11-12 2011-05-12 Bhadri Varadarajan Uv and reducing treatment for k recovery and surface clean in semiconductor processing

Family Cites Families (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3983385A (en) 1974-08-23 1976-09-28 Union Carbide Corporation Method and apparatus for operating a mercury vapor lamp
US4357451A (en) 1980-05-21 1982-11-02 Phillips Petroleum Company Chemical dehydroxylation of silica
US4391663A (en) 1980-12-05 1983-07-05 Hutter Iii Charles G Method of curing adhesive
US4885262A (en) 1989-03-08 1989-12-05 Intel Corporation Chemical modification of spin-on glass for improved performance in IC fabrication
US5268320A (en) 1990-12-26 1993-12-07 Intel Corporation Method of increasing the accuracy of an analog circuit employing floating gate memory devices
US5582880A (en) 1992-03-27 1996-12-10 Canon Kabushiki Kaisha Method of manufacturing non-single crystal film and non-single crystal semiconductor device
DE4419234A1 (en) 1994-06-01 1995-12-07 Wacker Chemie Gmbh Process for the silylation of inorganic oxides
US5840600A (en) 1994-08-31 1998-11-24 Semiconductor Energy Laboratory Co., Ltd. Method for producing semiconductor device and apparatus for treating semiconductor device
MY113904A (en) 1995-05-08 2002-06-29 Electron Vision Corp Method for curing spin-on-glass film utilizing electron beam radiation
US5700844A (en) 1996-04-09 1997-12-23 International Business Machines Corporation Process for making a foamed polymer
US5789027A (en) 1996-11-12 1998-08-04 University Of Massachusetts Method of chemically depositing material onto a substrate
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US7829144B2 (en) 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
US6098637A (en) 1998-03-03 2000-08-08 Applied Materials, Inc. In situ cleaning of the surface inside a vacuum processing chamber
US6479374B1 (en) 1998-04-01 2002-11-12 Asahi Kasei Kabushiki Kaisha Method of manufacturing interconnection structural body
US6150272A (en) 1998-11-16 2000-11-21 Taiwan Semiconductor Manufacturing Company Method for making metal plug contacts and metal lines in an insulating layer by chemical/mechanical polishing that reduces polishing-induced damage
KR20000043888A (en) 1998-12-29 2000-07-15 김영환 Method for manufacturing flash memory device
US6254689B1 (en) 1999-03-09 2001-07-03 Lucent Technologies Inc. System and method for flash photolysis cleaning of a semiconductor processing chamber
US6268288B1 (en) 1999-04-27 2001-07-31 Tokyo Electron Limited Plasma treated thermal CVD of TaN films from tantalum halide precursors
KR100613674B1 (en) 1999-05-14 2006-08-21 동경 엘렉트론 주식회사 Method and apparatus for processing wafer
KR20010017820A (en) 1999-08-14 2001-03-05 윤종용 Semiconductor device and manufacturing method thereof
DE69939899D1 (en) 1999-08-17 2008-12-24 Applied Materials Inc Method and apparatus for improving the properties of a low-k Si-O-C film
US6740566B2 (en) 1999-09-17 2004-05-25 Advanced Micro Devices, Inc. Ultra-thin resist shallow trench process using high selectivity nitride etch
US6420441B1 (en) 1999-10-01 2002-07-16 Shipley Company, L.L.C. Porous materials
US6136680A (en) 2000-01-21 2000-10-24 Taiwan Semiconductor Manufacturing Company Methods to improve copper-fluorinated silica glass interconnects
JP3419745B2 (en) 2000-02-28 2003-06-23 キヤノン販売株式会社 Semiconductor device and manufacturing method thereof
US6270846B1 (en) 2000-03-02 2001-08-07 Sandia Corporation Method for making surfactant-templated, high-porosity thin films
US6759098B2 (en) 2000-03-20 2004-07-06 Axcelis Technologies, Inc. Plasma curing of MSQ-based porous low-k film materials
US6913796B2 (en) 2000-03-20 2005-07-05 Axcelis Technologies, Inc. Plasma curing process for porous low-k materials
US20030157267A1 (en) 2000-03-20 2003-08-21 Carlo Waldfried Fluorine-free plasma curing process for porous low-k materials
US6444715B1 (en) 2000-06-06 2002-09-03 Honeywell International Inc. Low dielectric materials and methods of producing same
US6485599B1 (en) 2000-07-11 2002-11-26 International Business Machines Corporation Curing of sealants using multiple frequencies of radiation
US6271273B1 (en) 2000-07-14 2001-08-07 Shipley Company, L.L.C. Porous materials
US20020123240A1 (en) 2000-11-30 2002-09-05 Shipley Company, L.L.C. Electronic device manufacture
JP3516941B2 (en) 2000-11-30 2004-04-05 キヤノン販売株式会社 Semiconductor device and manufacturing method thereof
KR100384850B1 (en) 2000-12-14 2003-05-22 주식회사 하이닉스반도체 Method for forming Ta2O5 dielectric layer
WO2002054837A2 (en) 2001-01-04 2002-07-11 Laser Imaging Systems Gmbh & Co. Kg Direct pattern writer
US20020117109A1 (en) 2001-02-27 2002-08-29 Hazelton Andrew J. Multiple stage, stage assembly having independent reaction force transfer
US20020172766A1 (en) 2001-03-17 2002-11-21 Laxman Ravi K. Low dielectric constant thin films and chemical vapor deposition method of making same
KR20030002993A (en) 2001-06-29 2003-01-09 학교법인 포항공과대학교 Process for the formation of low dielectric thin films
US7183201B2 (en) 2001-07-23 2007-02-27 Applied Materials, Inc. Selective etching of organosilicate films over silicon oxide stop etch layers
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US20030045098A1 (en) 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
CA2467703A1 (en) 2001-11-21 2003-06-05 University Of Massachusetts Mesoporous materials and methods
DE10208450B4 (en) 2002-02-27 2004-09-16 Infineon Technologies Ag Process for the deposition of thin layers by means of ALD / CVD processes in connection with fast thermal processes
US6805801B1 (en) 2002-03-13 2004-10-19 Novellus Systems, Inc. Method and apparatus to remove additives and contaminants from a supercritical processing solution
US6812043B2 (en) 2002-04-25 2004-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a carbon doped oxide low-k insulating layer
KR100469126B1 (en) 2002-06-05 2005-01-29 삼성전자주식회사 Method of forming a thin film with a low hydrogen contents
US6644786B1 (en) 2002-07-08 2003-11-11 Eastman Kodak Company Method of manufacturing a thermally actuated liquid control device
US6797643B2 (en) 2002-10-23 2004-09-28 Applied Materials Inc. Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power
US6831284B2 (en) 2002-11-21 2004-12-14 Applied Materials, Inc. Large area source for uniform electron beam generation
US6939800B1 (en) * 2002-12-16 2005-09-06 Lsi Logic Corporation Dielectric barrier films for use as copper barrier layers in semiconductor trench and via structures
US6921727B2 (en) 2003-03-11 2005-07-26 Applied Materials, Inc. Method for modifying dielectric characteristics of dielectric layers
US7169715B2 (en) 2003-03-21 2007-01-30 Intel Corporation Forming a dielectric layer using porogens
US7241704B1 (en) 2003-03-31 2007-07-10 Novellus Systems, Inc. Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups
US20050260420A1 (en) 2003-04-01 2005-11-24 Collins Martha J Low dielectric materials and methods for making same
US6740605B1 (en) 2003-05-05 2004-05-25 Advanced Micro Devices, Inc. Process for reducing hydrogen contamination in dielectric materials in memory devices
US6693050B1 (en) 2003-05-06 2004-02-17 Applied Materials Inc. Gapfill process using a combination of spin-on-glass deposition and chemical vapor deposition techniques
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
US7132334B2 (en) 2003-09-23 2006-11-07 Macronix International Co., Ltd. Methods of code programming a mask ROM device
US7390537B1 (en) 2003-11-20 2008-06-24 Novellus Systems, Inc. Methods for producing low-k CDO films with low residual stress
US7256111B2 (en) 2004-01-26 2007-08-14 Applied Materials, Inc. Pretreatment for electroless deposition
US20050170104A1 (en) 2004-01-29 2005-08-04 Applied Materials, Inc. Stress-tuned, single-layer silicon nitride film
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20050272220A1 (en) 2004-06-07 2005-12-08 Carlo Waldfried Ultraviolet curing process for spin-on dielectric materials used in pre-metal and/or shallow trench isolation applications
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US20070196011A1 (en) 2004-11-22 2007-08-23 Cox Damon K Integrated vacuum metrology for cluster tool
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7179755B2 (en) 2004-12-30 2007-02-20 Intel Corporation Forming a porous dielectric layer and structures formed thereby
US7892648B2 (en) 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
US7300891B2 (en) 2005-03-29 2007-11-27 Tokyo Electron, Ltd. Method and system for increasing tensile stress in a thin film using multi-frequency electromagnetic radiation
US20060220251A1 (en) 2005-03-31 2006-10-05 Grant Kloster Reducing internal film stress in dielectric film
US7232730B2 (en) 2005-04-29 2007-06-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a locally strained transistor
US7247582B2 (en) 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
US8129290B2 (en) 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7381659B2 (en) 2005-11-22 2008-06-03 International Business Machines Corporation Method for reducing film stress for SiCOH low-k dielectric materials
US7838428B2 (en) * 2006-03-23 2010-11-23 International Business Machines Corporation Method of repairing process induced dielectric damage by the use of GCIB surface treatment using gas clusters of organic molecular species
US7816253B2 (en) * 2006-03-23 2010-10-19 International Business Machines Corporation Surface treatment of inter-layer dielectric
US7851384B2 (en) 2006-06-01 2010-12-14 Applied Materials, Inc. Method to mitigate impact of UV and E-beam exposure on semiconductor device film properties by use of a bilayer film
US7935587B2 (en) 2006-06-09 2011-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Advanced forming method and structure of local mechanical strained transistor
US7500397B2 (en) 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
US7846804B2 (en) 2007-06-05 2010-12-07 United Microelectronics Corp. Method for fabricating high tensile stress film
US7622162B1 (en) 2007-06-07 2009-11-24 Novellus Systems, Inc. UV treatment of STI films for increasing tensile stress

Patent Citations (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4563589A (en) * 1984-01-09 1986-01-07 Scheffer Herbert D Ultraviolet curing lamp device
US5178682A (en) * 1988-06-21 1993-01-12 Mitsubishi Denki Kabushiki Kaisha Method for forming a thin layer on a semiconductor substrate and apparatus therefor
US5282121A (en) * 1991-04-30 1994-01-25 Vari-Lite, Inc. High intensity lighting projectors
US6015503A (en) * 1994-06-14 2000-01-18 Fsi International, Inc. Method and apparatus for surface conditioning
US5504042A (en) * 1994-06-23 1996-04-02 Texas Instruments Incorporated Porous dielectric material with improved pore surface properties for electronics applications
US5877095A (en) * 1994-09-30 1999-03-02 Nippondenso Co., Ltd. Method of fabricating a semiconductor device having a silicon nitride film made of silane, ammonia and nitrogen
US6394797B1 (en) * 1997-04-02 2002-05-28 Hitachi, Ltd. Substrate temperature control system and method for controlling temperature of substrate
US20010001501A1 (en) * 1997-04-22 2001-05-24 Seung-Hwan Lee Methods of forming integrated circuit capacitors having doped HSG electrodes and capacitors formed thereby
US20040033662A1 (en) * 1997-04-22 2004-02-19 Seung-Hwan Lee Integrated circuit capacitors having doped HSG electrodes
US6531193B2 (en) * 1997-07-07 2003-03-11 The Penn State Research Foundation Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (TMS) for stress control and coverage applications
US5858457A (en) * 1997-09-25 1999-01-12 Sandia Corporation Process to form mesostructured films
US5876798A (en) * 1997-12-29 1999-03-02 Chartered Semiconductor Manufacturing, Ltd. Method of fluorinated silicon oxide film deposition
US6383955B1 (en) * 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6232248B1 (en) * 1998-07-03 2001-05-15 Tokyo Electron Limited Single-substrate-heat-processing method for performing reformation and crystallization
US7481882B2 (en) * 1998-07-09 2009-01-27 Samsung Electronics Co., Ltd. Method for forming a thin film
US6548113B1 (en) * 1998-12-23 2003-04-15 Pacific Northwest Division Vacuum/gas phase reactor for dehydroxylation and alkylation of porous silica
US20020034626A1 (en) * 1998-12-23 2002-03-21 Jun Liu Mesoporous silica film from a solution containing a surfactant and methods of making same
US6383466B1 (en) * 1998-12-28 2002-05-07 Battelle Memorial Institute Method of dehydroxylating a hydroxylated material and method of making a mesoporous film
US20020001973A1 (en) * 1999-01-26 2002-01-03 Hui-Jung Wu Use of multifunctional si-based oligomer/polymer for the surface modification of nanoporous silica films
US6386466B1 (en) * 1999-04-19 2002-05-14 Disco Corporation Cleaning apparatus
US20050101154A1 (en) * 1999-06-18 2005-05-12 Judy Huang Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6392017B1 (en) * 1999-07-27 2002-05-21 Heska Corporation Parasitic helminth DiAg2 proteins and uses thereof
US6228563B1 (en) * 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US6518130B1 (en) * 1999-10-01 2003-02-11 Sony Corporation Method for forming a semiconductor device having a DRAM region and a logic region on the substrate
US6399212B1 (en) * 1999-10-18 2002-06-04 Nippon Sheet Glass Co., Ltd. Silicon dioxide-coated polyolefin resin and process for its production
US6365266B1 (en) * 1999-12-07 2002-04-02 Air Products And Chemicals, Inc. Mesoporous films having reduced dielectric constants
US6387453B1 (en) * 2000-03-02 2002-05-14 Sandia Corporation Method for making surfactant-templated thin films
US6534395B2 (en) * 2000-03-07 2003-03-18 Asm Microchemistry Oy Method of forming graded thin films using alternating pulses of vapor phase reactants
US6576300B1 (en) * 2000-03-20 2003-06-10 Dow Corning Corporation High modulus, low dielectric constant coatings
US6558755B2 (en) * 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
US20020016085A1 (en) * 2000-07-14 2002-02-07 Kegang Huang Method and apparatus for treating low k dielectric layers to reduce diffusion
US20040023513A1 (en) * 2000-07-21 2004-02-05 Shintaro Aoyama Method for manufacturing semiconductor device, substrate treater, and substrate treatment system
US6391932B1 (en) * 2000-08-08 2002-05-21 Shipley Company, L.L.C. Porous materials
US6856712B2 (en) * 2000-11-27 2005-02-15 University Of Washington Micro-fabricated optical waveguide for use in scanning fiber displays and scanned fiber image acquisition
US20020064341A1 (en) * 2000-11-27 2002-05-30 Fauver Mark E. Micro-fabricated optical waveguide for use in scanning fiber displays and scanned fiber image acquisition
US20030013280A1 (en) * 2000-12-08 2003-01-16 Hideo Yamanaka Semiconductor thin film forming method, production methods for semiconductor device and electrooptical device, devices used for these methods, and semiconductor device and electrooptical device
US6340628B1 (en) * 2000-12-12 2002-01-22 Novellus Systems, Inc. Method to deposit SiOCH films with dielectric constant below 3.0
US20030015764A1 (en) * 2001-06-21 2003-01-23 Ivo Raaijmakers Trench isolation for integrated circuit
US6756085B2 (en) * 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US20040058090A1 (en) * 2001-09-14 2004-03-25 Carlo Waldfried Low temperature UV pretreating of porous low-k materials
US20040018319A1 (en) * 2001-09-14 2004-01-29 Carlo Waldfried Ultraviolet curing processes for advanced low-k materials
US20030064607A1 (en) * 2001-09-29 2003-04-03 Jihperng Leu Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US20030064604A1 (en) * 2001-10-03 2003-04-03 Matsushita Electric Industrial Co., Ltd. Method for manufacturing an electronic device
US20030068881A1 (en) * 2001-10-09 2003-04-10 Applied Materials, Inc. Method of depositing low k barrier layers
US6563092B1 (en) * 2001-11-28 2003-05-13 Novellus Systems, Inc. Measurement of substrate temperature in a process chamber using non-contact filtered infrared pyrometry
US6848458B1 (en) * 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US20040082163A1 (en) * 2002-03-14 2004-04-29 Seiko Epson Corporation Film formation method as well as device manufactured by employing the same, and method of manufacturing device
US6884738B2 (en) * 2002-03-18 2005-04-26 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor device and substrate processing apparatus
US20050112282A1 (en) * 2002-03-28 2005-05-26 President And Fellows Of Harvard College Vapor deposition of silicon dioxide nanolaminates
US20040022960A1 (en) * 2002-04-25 2004-02-05 Shi-Woo Rhee Method for preparing dielectric films at a low temperature
US20040101633A1 (en) * 2002-05-08 2004-05-27 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US20040069410A1 (en) * 2002-05-08 2004-04-15 Farhad Moghadam Cluster tool for E-beam treated films
US20040004247A1 (en) * 2002-07-08 2004-01-08 Micron Technology, Inc. Memory utilizing oxide-nitride nanolaminates
US6677251B1 (en) * 2002-07-29 2004-01-13 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming a hydrophilic surface on low-k dielectric insulating layers for improved adhesion
US20040029391A1 (en) * 2002-08-09 2004-02-12 Texas Instruments Incorporated Method for improving a physical property defect value of a gate dielectric
US7005390B2 (en) * 2002-10-09 2006-02-28 Intel Corporation Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
US20050025892A1 (en) * 2002-11-01 2005-02-03 Sumitomo Chemical Company, Limited Composition for porous organic film
US20040096672A1 (en) * 2002-11-14 2004-05-20 Lukas Aaron Scott Non-thermal process for forming porous low dielectric constant films
US20040096593A1 (en) * 2002-11-14 2004-05-20 Lukas Aaron Scott Non-thermal process for forming porous low dielectric constant films
US20040096586A1 (en) * 2002-11-15 2004-05-20 Schulberg Michelle T. System for deposition of mesoporous materials
US20040102031A1 (en) * 2002-11-21 2004-05-27 Kloster Grant M. Low-K dielectric structure and method
US20040099952A1 (en) * 2002-11-21 2004-05-27 Goodner Michael D. Formation of interconnect structures by removing sacrificial material with supercritical carbon dioxide
US7018918B2 (en) * 2002-11-21 2006-03-28 Intel Corporation Method of forming a selectively converted inter-layer dielectric using a porogen material
US20040115933A1 (en) * 2002-12-14 2004-06-17 Jung Byung Hyun Methods of manufacturing a semiconductor device
US20060118817A1 (en) * 2002-12-19 2006-06-08 Koninklijke Philips Electronics N.V. Stress-free composite substrate and method of manufacturing such a composite substrate
US20060121208A1 (en) * 2003-01-09 2006-06-08 Siegel Stephen B Multiple wavelength UV curing
US6740602B1 (en) * 2003-03-17 2004-05-25 Asm Japan K.K. Method of forming low-dielectric constant film on semiconductor substrate by plasma reaction using high-RF power
US7176144B1 (en) * 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
US7208389B1 (en) * 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US7705431B1 (en) * 2003-08-25 2010-04-27 Novellius Systems, Inc. Method of improving adhesion between two dielectric films
US20050064726A1 (en) * 2003-09-23 2005-03-24 Jason Reid Method of forming low-k dielectrics
US6902440B2 (en) * 2003-10-21 2005-06-07 Freescale Semiconductor, Inc. Method of forming a low K dielectric in a semiconductor manufacturing process
US20070042581A1 (en) * 2004-01-21 2007-02-22 Hitachi Kokusal Electric Inc. Manufacturing method of semiconductor device and substrate processing apparatus
US7094713B1 (en) * 2004-03-11 2006-08-22 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7030041B2 (en) * 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US20060027929A1 (en) * 2004-05-26 2006-02-09 International Business Machines Corporation Exposed pore sealing post patterning
US7504663B2 (en) * 2004-05-28 2009-03-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device with a floating gate electrode that includes a plurality of particles
US20070134907A1 (en) * 2004-06-02 2007-06-14 Tokyo Electron Limited Substrate processing method and fabrication process of a semiconductor device
US20060024976A1 (en) * 2004-06-07 2006-02-02 Carlo Waldfried Ultraviolet assisted porogen removal and/or curing processes for forming porous low k dielectrics
US20060046516A1 (en) * 2004-08-27 2006-03-02 Frank Weber Repair of carbon depletion in low-k dielectric films
US7235459B2 (en) * 2004-08-31 2007-06-26 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry, methods of fabricating memory circuitry, integrated circuitry and memory integrated circuitry
US20060063662A1 (en) * 2004-08-31 2006-03-23 Nat. Inst. Of Adv. Industrial Sci. And Tech. Zeolite nano-crystal suspension, zeolite nano-crystal production method, zeolite nano-crystal suspension production method, and zeolite thin film
US7332445B2 (en) * 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
US20080132055A1 (en) * 2004-11-04 2008-06-05 International Business Machines Corporation Hardmask for improved reliability of silicon based dielectrics
US20060105106A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US20070134821A1 (en) * 2004-11-22 2007-06-14 Randhir Thakur Cluster tool for advanced front-end processing
US20060142143A1 (en) * 2004-12-15 2006-06-29 Hayim Abrevaya Process for preparing a dielectric interlayer film containing silicon beta zeolite
US7510982B1 (en) * 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US7166531B1 (en) * 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US20060189133A1 (en) * 2005-02-22 2006-08-24 International Business Machines Corporation Reliable BEOL integration process with direct CMP of porous SiCOH dielectric
US20080020591A1 (en) * 2005-05-26 2008-01-24 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ uv cure
US20070015355A1 (en) * 2005-07-12 2007-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for forming interconnect structures
US20070032024A1 (en) * 2005-08-03 2007-02-08 Advanced Micro Devices, Inc. Methods for fabricating a stressed MOS device
US20070054504A1 (en) * 2005-09-07 2007-03-08 Applied Materials, Inc. Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO2
US20090039475A1 (en) * 2005-10-14 2009-02-12 Yoshimi Shioya Apparatus and Method for Manufacturing Semiconductor
US20070105292A1 (en) * 2005-11-07 2007-05-10 Neng-Kuo Chen Method for fabricating high tensile stress film and strained-silicon transistors
US20070132054A1 (en) * 2005-12-13 2007-06-14 Applied Materials Memory cell having stressed layers
US20080009141A1 (en) * 2006-07-05 2008-01-10 International Business Machines Corporation Methods to form SiCOH or SiCNH dielectrics and structures including the same
US7906174B1 (en) * 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US20090017640A1 (en) * 2007-07-13 2009-01-15 Applied Materials, Inc. Boron derived materials deposition method
US20110111533A1 (en) * 2009-11-12 2011-05-12 Bhadri Varadarajan Uv and reducing treatment for k recovery and surface clean in semiconductor processing

Cited By (374)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8715788B1 (en) 2004-04-16 2014-05-06 Novellus Systems, Inc. Method to improve mechanical strength of low-K dielectric film using modulated UV exposure
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US9873946B2 (en) 2005-04-26 2018-01-23 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8629068B1 (en) 2005-04-26 2014-01-14 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US20100267231A1 (en) * 2006-10-30 2010-10-21 Van Schravendijk Bart Apparatus for uv damage repair of low k films prior to copper barrier deposition
US8512818B1 (en) 2007-08-31 2013-08-20 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110111533A1 (en) * 2009-11-12 2011-05-12 Bhadri Varadarajan Uv and reducing treatment for k recovery and surface clean in semiconductor processing
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
TWI571934B (en) * 2011-03-25 2017-02-21 東京威力科創股份有限公司 Treatment method and recording medium
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
WO2013002899A1 (en) * 2011-06-28 2013-01-03 Applied Materials, Inc. Dielectric recovery of plasma damaged low-k films by uv-assisted photochemical deposition
KR101451591B1 (en) * 2011-06-28 2014-10-16 어플라이드 머티어리얼스, 인코포레이티드 Dielectric recovery of plasma damaged low-k films by uv-assisted photochemical deposition
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US9502255B2 (en) 2014-10-17 2016-11-22 Lam Research Corporation Low-k damage repair and pore sealing agents with photosensitive end groups
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11956977B2 (en) 2021-08-31 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11952658B2 (en) 2022-10-24 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material

Also Published As

Publication number Publication date
US7851232B2 (en) 2010-12-14
US20100261349A1 (en) 2010-10-14

Similar Documents

Publication Publication Date Title
US7851232B2 (en) UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US8242028B1 (en) UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US20100267231A1 (en) Apparatus for uv damage repair of low k films prior to copper barrier deposition
US10037905B2 (en) UV and reducing treatment for K recovery and surface clean in semiconductor processing
US8465991B2 (en) Carbon containing low-k dielectric constant recovery using UV treatment
TWI464805B (en) Method for integrating low-k dielectrics
US9873946B2 (en) Multi-station sequential curing of dielectric films
US7541200B1 (en) Treatment of low k films with a silylating agent for damage repair
KR100887225B1 (en) Semiconductor device manufacturing method
JP2004241776A (en) Chemical treatment of low k dielectric film
US20140094038A1 (en) Enhancing adhesion of cap layer films
TW201403711A (en) Low-k dielectric damage repair by vapor-phase chemical exposure
US8288252B2 (en) Method for recovering damaged components in lower region of low dielectric insulating film
JP2005268312A (en) Resist removing method and semiconductor device manufactured using same
US9236294B2 (en) Method for forming semiconductor device structure
TWI581331B (en) Method to reduce dielectric constant of a porous low-k film
US9004914B2 (en) Method of and apparatus for active energy assist baking
US7745335B2 (en) Semiconductor device manufactured by reducing hillock formation in metal interconnects
JP2006073612A (en) Resist removing method

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION