US20100163187A1 - Plasma processing apparatus - Google Patents

Plasma processing apparatus Download PDF

Info

Publication number
US20100163187A1
US20100163187A1 US12/392,237 US39223709A US2010163187A1 US 20100163187 A1 US20100163187 A1 US 20100163187A1 US 39223709 A US39223709 A US 39223709A US 2010163187 A1 US2010163187 A1 US 2010163187A1
Authority
US
United States
Prior art keywords
sample
gas
injection holes
outer diameter
gas injection
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/392,237
Inventor
Kenetsu Yokogawa
Takamasa ICHINO
Kazuyuki Hirozane
Tadamitsu Kanekiyo
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi High Tech Corp
Original Assignee
Hitachi High Technologies Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi High Technologies Corp filed Critical Hitachi High Technologies Corp
Assigned to HITACHI HIGH-TECHNOLOGIES CORPORATION reassignment HITACHI HIGH-TECHNOLOGIES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HIROZANE, KAZUYUKI, ICHINO, TAKAMASA, KANEKIYO, TADAMITSU, YOKOGAWA, KENETSU
Publication of US20100163187A1 publication Critical patent/US20100163187A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Definitions

  • the present invention relates to a plasma processing apparatus to manufacture semiconductor devices, and in particularly to a dry etching technique to etch semiconductor materials, such as a silicon, a silicon dioxide film, etc., along a mask pattern shape formed by a resist material etc.
  • the dry etching is a semiconductor micro-fabrication method in which a processing gas is introduced into a vacuum chamber having a vacuum decompression unit, the processing gas is turned into a plasma by an electromagnetic wave to apply it to a sample to be processed, a surface of the sample other than a mask portion is etched to obtain a desirable shape.
  • a processing uniformity on an in-plane sample is affected by a plasma distribution, a temperature distribution on the in-plane of the sample, a supplied gas composition and flow rate distribution, etc.
  • the processing gas is supplied from a shower plate disposed so as to face the sample, and a gas supply distribution of the gas supplied from the shower plate has an effect on a process speed, a process shape, etc., since a distance between the sample and the shower plate is relatively short.
  • JP-A-2006-41088 (corresponding to U.S. patent publication Nos. 2006/16559 and 2007/186972) has proposed a plasma processing apparatus which controls independently the gas composition and flow rate at a center portion and a periphery portion of the shower plate, enhancing the in-plane uniformity of the sample, such as a process shape.
  • FIG. 7 shows a shower plate as related art.
  • the shower plate has been designed that a plurality of gas injection holes 2 are uniformly disposed on a shower plate gas supply surface 5 , such that the gas composition and flow rate injected from every hole should be uniformed and a gas supply condition applied per unit area of the sample is also uniformed, basically.
  • the gas supply amount is broadly controlled at the center portion and periphery portion of the in-plane sample to cancel an effect caused by a reactive product etc., realizing the uniformity of the processed shape.
  • the gas composition and flow rate injected from every hole are different in the two domains: the center portion and the periphery portion, but the gas having the same gas composition and flow rate is injected from the holes present in the respective domains.
  • FIG. 3 shows a relation of an aspect ratio (D/L) and a relative molecule flux, where a wafer (sample) diameter is D(300 mm), and a distance from the wafer to the shower plate is L.
  • the relative amount of the gas molecules reached to the wafer surface is relatively deficient at the wafer periphery portion when the aspect ratio becomes large. That is, it has become clear that the relative deficiency of the gas supply amount at the edge portion of the wafer occurs from a condition where the distance between the wafer and shower plate is equal to or less than 300 mm, where the aspect ratio is equal to or greater than 1, or the wafer diameter is 300 mm ( ⁇ 300 mm).
  • FIG. 4 shows a relation between a gas injection domain diameter and the relative molecule flux.
  • the gas injection hole domain diameter requires about 1.5 times the wafer diameter D, that is, the gas injection hole domain diameter is set substantially to equal to or greater than 450 mm ( ⁇ 450 mm).
  • An object of the invention is to solve the gas supply deficiency occurred at the periphery portion of the sample when the gas is supplied from the shower plate, and to provide a plasma processing apparatus capable of enhancing the in-plane uniformity of processing accuracy on the sample.
  • the invention is to provide a plasma processing apparatus having both enhancement of the in-plane uniformity of the sample in the processing characteristic and cost reduction of the consumable supply by restraining the expansion of the shower plate diameter in minimum and improving the gas supply uniformity to the in-plane sample.
  • a plasma processing apparatus for applying a surface processing to a sample, includes a vacuum chamber, a sample table to place the sample in the vacuum chamber, and a gas supply unit faced to the sample table and having a gas supply surface with a diameter larger than that of the sample, in which gas injection holes each having identical diameter are provided concentrically on the gas supply surface of the gas supply unit, and a hole number density of the gas injection holes present in an outer diameter position of the sample or in an outside of the outer diameter position is made higher than that of the gas injection holes present inside the outer diameter position of the sample.
  • a plasma processing apparatus for applying a surface processing to a sample, includes a vacuum chamber, a sample table to place the sample in the vacuum chamber, and a gas supply unit faced to the sample table and having a gas supply surface with a diameter larger than that of the sample, in which gas injection holes are provided concentrically on the gas supply surface of the gas supply unit, and a diameter of the gas injection holes present in an outer diameter position of the sample or in an outside from the outer diameter position is larger than that of the gas injection holes present inside from the outer diameter position of the sample.
  • a uniformed gas supply distribution is given to the entire surface of the sample without making the apparatus large and also making the shower plate large as a change part, realizing the uniformity of processing rate and processing shape of the sample.
  • FIG. 1 is a section view of a plasma processing apparatus in the invention
  • FIG. 2 is a schematic view of a shower plate in a first embodiment of the invention
  • FIG. 3 is an explanatory diagram of a relative molecule flux distribution on a wafer surface in a condition obtained from a ratio (D/L) where a wafer diameter D and a distance L between the wafer and the shower plate;
  • FIG. 4 is a diagram showing an effect of a gas injection domain diameter in relation to the wafer diameter
  • FIG. 5 is a diagram for explaining an advantage of the invention.
  • FIG. 6 is a diagram for explaining an advantage of the invention.
  • FIG. 7 is a schematic view of a related shower plate
  • FIG. 8 is a diagram showing the relative molecule flux on the wafer surface when a gas-injection-hole number density is made increased at 280 mm ( ⁇ 280 mm) and its vicinity of the shower plate;
  • FIG. 9 is a diagram showing the relative molecule flux on the wafer surface when the gas-injection-hole number density is made increased at 290 mm ( ⁇ 290 mm) and its vicinity of the shower plate;
  • FIG. 10 is a diagram showing the relative molecule flux on the wafer surface when the gas-injection-hole number density is made increased at 300 mm ( ⁇ 300 mm) and its vicinity of the shower plate;
  • FIG. 11 is a diagram showing the relative molecule flux on the wafer surface when the gas-injection-hole number density is made increased at 320 mm ( ⁇ 320 mm) and its vicinity of the shower plate;
  • FIG. 12 is a diagram showing the relative molecule flux on the wafer surface when the gas-injection-hole number density is made increased at 330 mm ( ⁇ 330 mm) and its vicinity of the shower plate;
  • FIG. 13 is a diagram showing the relative molecule flux on the wafer surface when the gas-injection-hole number density is made increased at 340 mm ( ⁇ 340 mm) and its vicinity of the shower plate;
  • FIG. 14 is a diagram showing the relative molecule flux on the wafer surface when the gas-injection-hole number density is made increased at 360 mm ( ⁇ 360 mm) and its vicinity of the shower plate;
  • FIG. 15 is a schematic view of the shower plate in a second embodiment of the invention.
  • FIG. 1 and FIG. 2 A first embodiment of the invention will be described with use of FIG. 1 and FIG. 2 .
  • FIG. 1 is shows a section view of a plasma processing apparatus in one embodiment of the invention.
  • the plasma processing apparatus includes an electrostatic chucking function built-in electrode (sample table) 15 for placing a sample 7 in a vacuum chamber 24 and a shower plate (gas supply unit) 1 faced to the sample table 15 .
  • a 200 MHz high-frequency power is supplied from a discharge-use high-frequency power source 13 to a conductor-type antenna 12 incorporated with a plate 8 and a dispersion plate 11 to turn a gas supplied from the shower plate 1 into a plasma in a discharge space 14 .
  • a 4 MHz high-frequency voltage is applied to the sample 7 from a high-frequency power source 16 via the electrostatic chucking function built-in electrode 15 to accelerate ions in the plasma and to be incident to the surface of the sample 7 .
  • the 4 MHz high-frequency voltage is also applied independently to the antenna 12 from a high-frequency power source 17 by superimposing with a discharge-use 200 MHz high-frequency power, so that an ion energy in the plasma incident to the surface of shower plate 1 is controlled independently from a plasma generation and a bias condition of the sample.
  • the antenna 12 and electrostatic chucking function built-in electrode 15 are also controlled respectively in temperature by insulation type liquid cooling circulating functions 21 , 22 .
  • the shower plate 1 is formed by silicon.
  • the plate 8 is disposed on an upper stage of the shower plate 1 , and the plate 8 has holes matched with the same position of gas injection holes 2 formed on the shower plate 1 and slightly larger than the gas injection holes 2 in diameter.
  • the dispersion plate 11 is further disposed on the upper stage of the plate 8 , and the dispersion plate 11 forms a gas dispersion layer 10 to disperse the gas supplied from a gas supply portion 9 .
  • the gas supply portion 9 is provided independently for an inside domain and an outside domain of the sample 7 , and a flow rate and a gas composition can be controlled independently at the inside and outside domains of the sample 7 .
  • the inside domain and outside domain are also divided by a barrier in such that a form domain area of the respective gas injection holes 2 in the inside and outside domains is substantially equal.
  • the apparatus will be described with two domains: the inside domain and outside domain, and the domain may not be divided, but also divided into more than three domains.
  • reference numerals 18 , 19 and 20 denote an automatic matching device
  • 6 denotes a shower plate fixing screw hole
  • 23 denotes a silicon-made focus ring
  • 25 denotes an insulation material
  • 27 denotes an earth plate.
  • a silicon wafer of 300 mm in diameter is used for the sample.
  • the gas injection holes 2 formed on the shower plate 1 are formed within a range of 314 mm ( ⁇ 314 mm) in diameter, the inside of which is the inside domain of 200 mm ( ⁇ 200 mm), and the outside of which is the outside domain.
  • the gas dispersion layer 10 is also formed independently for the inside and outside domains such that the gas is dispersed uniformly in the respective inside and outside domains.
  • FIG. 2 shows a layout of the gas injection holes 2 on the surface of shower plate 1 , in which a diameter of the gas injection hole 2 is 0.5 mm, and a thickness of the domain where the gas injection holes 2 are formed on the shower plate 1 is 10 mm.
  • the diameter of the gas injection holes 2 formed on a shower plate gas supply surface 5 is all the same.
  • the gas injection holes 2 are also formed in concentricity and in an equal interval (10 mm pitch) from a shower plate center 3 .
  • the number of gas injection holes formed on the circumferences is substantially proportional to the circumference from the center to the periphery and its vicinity. Therefore, the number of gas injection holes per unit area on the shower plate 1 is substantially the same in the layout, from the center to the periphery and its vicinity.
  • the diameter of shower plate gas supply surface 5 is made larger than that of the sample 7 .
  • the total number of gas injection holes of the outside domain in the periphery domain is about twice that of the inside domain. Therefore, the gas is flown into the outside domain by the flow rate having about twice that of the inside domain, so that the gas flow rate injected from every gas injection hole becomes equal at both the inside and outside domains.
  • the gas injected from the gas injection holes 2 is substantially the same in the flow rate and gas composition at the inside and outside domains of the sample 7 .
  • a gas condition (flow rate and composition) distribution produced by supplying the gas to the surface of the sample 7 depends on a density of number of the gas injection holes 2 .
  • the apparatus will be described with a case where the gas flow rate injected from every gas injection hole 2 is equal.
  • it is not necessarily to make the gas flow rate equal, injected from every gas injection hole 2 since an oxygen flow rate is sometimes changed at the inside and outside domains, for example, for a purpose of correcting a deposition distribution caused by a reactive product.
  • a hole number density per unit length on two outermost circumferences formed with the gas injection holes 2 is set to about twice that of the other circumferences.
  • a pitch between the gas injection holes 2 formed on the other circumferences is 10 mm, while the pitch between the holes 2 formed on the two outermost circumferences is 7 mm.
  • the hole number density of the gas injection holes 2 facing to the edge portion of the sample 7 increases by about 2.85 times (density (twice) of circumferential direction ⁇ density (10 mm/7 mm) of diametrical direction), compared with the other domains.
  • a uniformity gas supply is carried out at the inside domain of the sample 7 since the gas injection holes 2 are disposed on the inside domain with an equal density, however, a large volume gas, much more than the other domains, is supplied to the edge portion of the sample 7 at the outside domain since the density of the gas injection holes 2 formed on the edge portion of the sample 7 is high.
  • FIG. 5 shows a calculation result of the relative molecule flux at the wafer edge portion in the case of the shower plate in the invention and the shower plate as related art.
  • a gas supply amount deficiency is made up for the wafer edge portion and its vicinity to supply uniformly the gas to the entire wafer surface, in the case of the shower plate 1 of the invention.
  • the gas supply amount is relatively short at the wafer edge portion and its vicinity, compared with the center portion of the wafer, in the case of the related shower plate.
  • an exhaust velocity becomes fast at a long circumference wafer edge portion, compared with the center portion of the wafer, when the gas supplied from the shower plate 1 is exhausted from the periphery of the wafer.
  • the gas injected from the periphery portion reaches to a center domain as isotropically diffused, however, it is assumed that there is no gas supply from the outside other than the outermost circumference formed with the gas injection holes 2 .
  • the shower plate 1 in the invention it is possible that the gas is supplied uniformly, therefore, it has become clear that the shower plate 1 is useful to make an etching characteristic uniformed.
  • etching mechanism a silicon dioxide film etching by using a phlorocabon-based gas etc.
  • the etching characteristic depends largely on the supplied gas flow rate rather than a gas pressure
  • a difference of an etching rate and etching shape can be restrained within the in-plane wafer.
  • FIG. 6 shows an etching rate distribution of a TEOS film in the case of the shower plate 1 in the invention and the shower plate as related art.
  • the etching rate at the wafer edge portion is lowered, and an etching rate uniformity is as much as 8%.
  • the shower plate 1 of the invention no effect is given to the etching rate at a wafer center domain, the etching rate at the wafer edge portion is increased, and the etching rate uniformity is improved to as much as 3%.
  • FIG. 8 shows a calculation result of the relative molecule flux on the wafer surface in the case where the gas-injection-hole number density is increased at 280 mm ( ⁇ 280 mm) and its vicinity of the shower plate 1 .
  • FIG. 9 shows a calculation result of the relative molecule flux on the wafer surface in the case where the gas-injection-hole number density is increased at 290 mm ( ⁇ 290 mm) and its vicinity of the shower plate 1 .
  • FIG. 10 shows a calculation result of the relative molecule flux on the wafer surface in the case where the gas-injection-hole number density is increased at 300 mm ( ⁇ 300 mm) and its vicinity of the shower plate 1 .
  • FIG. 11 shows a calculation result of the relative molecule flux on the wafer surface in the case where the gas-injection-hole number density is increased at 320 mm ( ⁇ 320 mm) and its vicinity of the shower plate 1 .
  • FIG. 12 shows a calculation result of the relative molecule flux on the wafer surface in the case where the gas-injection-hole number density is increased at 330 mm ( ⁇ 330 mm) and its vicinity of the shower plate 1 .
  • FIG. 13 shows a calculation result of the relative molecule flux on the wafer surface in the case where the gas-injection-hole number density is increased at 340 mm ( ⁇ 340 mm) and its vicinity of the shower plate 1 .
  • FIG. 14 shows a calculation result of the relative molecule flux on the wafer surface in the case where the gas-injection-hole number density is increased at 360 mm ( ⁇ 360 mm) and its vicinity of the shower plate 1 .
  • the gas-injection-hole number density is increased to thereby increase the gas supply amount at the wafer edge portion in the inside domain inside the wafer diameter or the wafer outer diameter position. This causes the gas supply amount to increase the inside, but it has become clear that the gas supply distribution is slightly improved.
  • the gas-injection-hole number density is increased to increase the gas supply amount at the wafer edge portion in the domain of the wafer diameter, that is, the wafer outer diameter position, or the domain outside the wafer outer diameter position. It has become clear that the uniformity gas supply distribution is obtained in the wafer domain.
  • the increase of the gas-injection-hole number density varies in response to the processing objects and processing conditions.
  • the gas-injection-hole number density increases in the range of 1.5 to 4 times to thereby optimize the uniformity of the etching characteristic, and the gas consumed amount can be restrained.
  • FIG. 15 A second embodiment of the invention will be described with use of FIG. 15 .
  • FIG. 15 is a schematic diagram showing a shower plate 1 in the second embodiment of the invention.
  • each diameter of gas injection holes 27 faced to the wafer edge portion and formed on the periphery portion of the shower plate 1 is 1.3 times that of the other gas injection holes 2 , that is, the hole diameter at the periphery portion is 0.65 mm while the other hole diameter is set to 0.5 mm, and the gas-injection-hole number density is set to uniformity.
  • the gas supply amount to the wafer edge portion is adjusted by the gas-injection-hole number density of the gas injection holes 4 each having the same diameter and formed at the periphery portion of the shower plate 1 .
  • the gas supply amount is adjusted by the hole diameter.
  • a conductance at a time when the gas passes through the gas injection holes 2 of the shower plate 1 increases in proportion to the 3 to 4 power of the hole diameter (3 power in the case of molecule flow, and the 4 power in the case of viscous flow). Practically, the conductance becomes a middle value (the 3.5 power in a middle flow) between the molecule flow and the viscous flow.
  • the gas-injection-hole number density is the same at the periphery portion and the other portion, and the hole diameter of the periphery portion is 1.3 times that of the other portion, so that the gas supply amount at the periphery portion can be enhanced by about 2.85 times.
  • the expansion amount of the hole diameter can be changed by the processing objects and processing conditions.
  • the domain on which the gas injection hole diameter is expanded can be ranged desirably from 1.0 to about 1.1 times, which is similar to the first embodiment.
  • the invention relates to a semiconductor device manufacturing apparatus, and in particularly to a plasma etching apparatus to apply an etching processing to a semiconductor material masked with a pattern drawn by the lithography technique.
  • a plasma etching apparatus to apply an etching processing to a semiconductor material masked with a pattern drawn by the lithography technique.
  • it is possible to enhance the processing characteristic at the silicon wafer edge portion as a sample, particularly, the uniformity of the processing rate and processing shape. From the above-mentioned advantages of the invention, a non-defective product acquired rate is enhanced for the silicon wafer edge portion, and a processing yield of the etching apparatus can be enhanced.

Abstract

A plasma processing apparatus includes a vacuum chamber, a sample table that places the sample in the vacuum chamber, and a gas supply unit faced to the sample table and having a gas supply surface with a diameter larger than that of the sample, wherein gas injection holes each having identical diameter are provided concentrically on the gas supply surface, a hole number density of the gas injection holes present in an outer diameter position of the sample or in an outside of the outer diameter position is made higher than that of the gas injection holes present inside the outer diameter position of the sample, and a diameter of the gas injection holes present in the outer diameter position of the sample or in the outside from the outer diameter position is larger than that of the gas injection holes present inside the diameter of the sample.

Description

    BACKGROUND OF THE INVENTION
  • The present invention relates to a plasma processing apparatus to manufacture semiconductor devices, and in particularly to a dry etching technique to etch semiconductor materials, such as a silicon, a silicon dioxide film, etc., along a mask pattern shape formed by a resist material etc.
  • The dry etching is a semiconductor micro-fabrication method in which a processing gas is introduced into a vacuum chamber having a vacuum decompression unit, the processing gas is turned into a plasma by an electromagnetic wave to apply it to a sample to be processed, a surface of the sample other than a mask portion is etched to obtain a desirable shape. A processing uniformity on an in-plane sample is affected by a plasma distribution, a temperature distribution on the in-plane of the sample, a supplied gas composition and flow rate distribution, etc.
  • Particularly, in the case of a parallel plate type plasma processing apparatus, the processing gas is supplied from a shower plate disposed so as to face the sample, and a gas supply distribution of the gas supplied from the shower plate has an effect on a process speed, a process shape, etc., since a distance between the sample and the shower plate is relatively short.
  • As to using the above-mentioned characteristic, JP-A-2006-41088 (corresponding to U.S. patent publication Nos. 2006/16559 and 2007/186972) has proposed a plasma processing apparatus which controls independently the gas composition and flow rate at a center portion and a periphery portion of the shower plate, enhancing the in-plane uniformity of the sample, such as a process shape.
  • FIG. 7 shows a shower plate as related art.
  • Normally, the shower plate has been designed that a plurality of gas injection holes 2 are uniformly disposed on a shower plate gas supply surface 5, such that the gas composition and flow rate injected from every hole should be uniformed and a gas supply condition applied per unit area of the sample is also uniformed, basically.
  • Further, the gas supply amount is broadly controlled at the center portion and periphery portion of the in-plane sample to cancel an effect caused by a reactive product etc., realizing the uniformity of the processed shape.
  • In the case of a gas supply distribution structure disclosed in the JP-A-2006-41088, the gas composition and flow rate injected from every hole are different in the two domains: the center portion and the periphery portion, but the gas having the same gas composition and flow rate is injected from the holes present in the respective domains.
  • SUMMARY OF THE INVENTION
  • There is a tendency for the gas supply amount at the periphery portion of the sample to relatively go down compared with the center portion and its vicinity thereof, since the gas injection holes to be formed on the shower plate are basically disposed in uniformity.
  • Particularly, in the case of a narrow-gap type apparatus, there sometimes arises a problem to occur a non-uniformity shape at the periphery portion of the sample by causing the non-uniformity of gas supply amount.
  • FIG. 3 shows a relation of an aspect ratio (D/L) and a relative molecule flux, where a wafer (sample) diameter is D(300 mm), and a distance from the wafer to the shower plate is L. This is a result in which a relative amount of the gas molecules reached to the faced wafer is calculated by one dimension, in the case where it assumes that the gas molecules injected uniformly from the gas injection holes of the shower plate are isotropically diffused, and it also assumes that the shower plate having the gas injection holes faced to the wafer has the same diameter and the number of holes per unit area is uniformity.
  • As shown in FIG. 3, it is appreciated that the relative amount of the gas molecules reached to the wafer surface is relatively deficient at the wafer periphery portion when the aspect ratio becomes large. That is, it has become clear that the relative deficiency of the gas supply amount at the edge portion of the wafer occurs from a condition where the distance between the wafer and shower plate is equal to or less than 300 mm, where the aspect ratio is equal to or greater than 1, or the wafer diameter is 300 mm (φ300 mm).
  • As to a solution method for the problem indicated on FIG. 3, it is possible to be thought of a method such that a domain of the gas injection holes formed on the shower plate is expanded in relation to the diameter of sample.
  • FIG. 4 shows a relation between a gas injection domain diameter and the relative molecule flux.
  • This is a result of the case where the wafer diameter is 300 mm, the gas injection holes are disposed uniformly on the shower plate, and the distance L between the wafer and shower plate is 24 mm (aspect ratio D/L=12.5).
  • As shown in FIG. 4, for a purpose of obtaining a sufficient gas supply uniformity in this method that expands the diameter of the gas injection hole domain, it has become clear that the gas injection hole domain diameter requires about 1.5 times the wafer diameter D, that is, the gas injection hole domain diameter is set substantially to equal to or greater than 450 mm (φ450 mm).
  • In fact, since the expansion of the gas injection domain diameter incurs a large size apparatus caused by a large-sized shower plate and the shower plate is normally exchanged regularly as a consumable supply, the cost of the consumable supply increases by causing the large size, as a problem, and the expansion is not helpful to practically solve the problem.
  • An object of the invention is to solve the gas supply deficiency occurred at the periphery portion of the sample when the gas is supplied from the shower plate, and to provide a plasma processing apparatus capable of enhancing the in-plane uniformity of processing accuracy on the sample.
  • Particularly, the invention is to provide a plasma processing apparatus having both enhancement of the in-plane uniformity of the sample in the processing characteristic and cost reduction of the consumable supply by restraining the expansion of the shower plate diameter in minimum and improving the gas supply uniformity to the in-plane sample.
  • According to one aspect of the invention to solve the problem, a plasma processing apparatus for applying a surface processing to a sample, includes a vacuum chamber, a sample table to place the sample in the vacuum chamber, and a gas supply unit faced to the sample table and having a gas supply surface with a diameter larger than that of the sample, in which gas injection holes each having identical diameter are provided concentrically on the gas supply surface of the gas supply unit, and a hole number density of the gas injection holes present in an outer diameter position of the sample or in an outside of the outer diameter position is made higher than that of the gas injection holes present inside the outer diameter position of the sample.
  • According to another aspect of the invention, a plasma processing apparatus for applying a surface processing to a sample, includes a vacuum chamber, a sample table to place the sample in the vacuum chamber, and a gas supply unit faced to the sample table and having a gas supply surface with a diameter larger than that of the sample, in which gas injection holes are provided concentrically on the gas supply surface of the gas supply unit, and a diameter of the gas injection holes present in an outer diameter position of the sample or in an outside from the outer diameter position is larger than that of the gas injection holes present inside from the outer diameter position of the sample.
  • According to the invention, a uniformed gas supply distribution is given to the entire surface of the sample without making the apparatus large and also making the shower plate large as a change part, realizing the uniformity of processing rate and processing shape of the sample.
  • The other objects, features and advantages of the invention will become apparent from the following description of the embodiments of the invention taken in conjunction with the accompanying drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a section view of a plasma processing apparatus in the invention;
  • FIG. 2 is a schematic view of a shower plate in a first embodiment of the invention;
  • FIG. 3 is an explanatory diagram of a relative molecule flux distribution on a wafer surface in a condition obtained from a ratio (D/L) where a wafer diameter D and a distance L between the wafer and the shower plate;
  • FIG. 4 is a diagram showing an effect of a gas injection domain diameter in relation to the wafer diameter;
  • FIG. 5 is a diagram for explaining an advantage of the invention;
  • FIG. 6 is a diagram for explaining an advantage of the invention;
  • FIG. 7 is a schematic view of a related shower plate;
  • FIG. 8 is a diagram showing the relative molecule flux on the wafer surface when a gas-injection-hole number density is made increased at 280 mm (φ280 mm) and its vicinity of the shower plate;
  • FIG. 9 is a diagram showing the relative molecule flux on the wafer surface when the gas-injection-hole number density is made increased at 290 mm (φ290 mm) and its vicinity of the shower plate;
  • FIG. 10 is a diagram showing the relative molecule flux on the wafer surface when the gas-injection-hole number density is made increased at 300 mm (φ300 mm) and its vicinity of the shower plate;
  • FIG. 11 is a diagram showing the relative molecule flux on the wafer surface when the gas-injection-hole number density is made increased at 320 mm (φ320 mm) and its vicinity of the shower plate;
  • FIG. 12 is a diagram showing the relative molecule flux on the wafer surface when the gas-injection-hole number density is made increased at 330 mm (φ330 mm) and its vicinity of the shower plate;
  • FIG. 13 is a diagram showing the relative molecule flux on the wafer surface when the gas-injection-hole number density is made increased at 340 mm (φ340 mm) and its vicinity of the shower plate;
  • FIG. 14 is a diagram showing the relative molecule flux on the wafer surface when the gas-injection-hole number density is made increased at 360 mm (φ360 mm) and its vicinity of the shower plate; and
  • FIG. 15 is a schematic view of the shower plate in a second embodiment of the invention.
  • DETAILED DESCRIPTION OF THE EMBODIMENTS
  • Hereinafter, embodiments of the invention will be described with reference to the drawings.
  • Embodiment 1
  • A first embodiment of the invention will be described with use of FIG. 1 and FIG. 2.
  • FIG. 1 is shows a section view of a plasma processing apparatus in one embodiment of the invention. The plasma processing apparatus includes an electrostatic chucking function built-in electrode (sample table) 15 for placing a sample 7 in a vacuum chamber 24 and a shower plate (gas supply unit) 1 faced to the sample table 15. In this way, a 200 MHz high-frequency power is supplied from a discharge-use high-frequency power source 13 to a conductor-type antenna 12 incorporated with a plate 8 and a dispersion plate 11 to turn a gas supplied from the shower plate 1 into a plasma in a discharge space 14. Further, a 4 MHz high-frequency voltage is applied to the sample 7 from a high-frequency power source 16 via the electrostatic chucking function built-in electrode 15 to accelerate ions in the plasma and to be incident to the surface of the sample 7. The 4 MHz high-frequency voltage is also applied independently to the antenna 12 from a high-frequency power source 17 by superimposing with a discharge-use 200 MHz high-frequency power, so that an ion energy in the plasma incident to the surface of shower plate 1 is controlled independently from a plasma generation and a bias condition of the sample. The antenna 12 and electrostatic chucking function built-in electrode 15 are also controlled respectively in temperature by insulation type liquid cooling circulating functions 21, 22.
  • The shower plate 1 is formed by silicon. The plate 8 is disposed on an upper stage of the shower plate 1, and the plate 8 has holes matched with the same position of gas injection holes 2 formed on the shower plate 1 and slightly larger than the gas injection holes 2 in diameter. The dispersion plate 11 is further disposed on the upper stage of the plate 8, and the dispersion plate 11 forms a gas dispersion layer 10 to disperse the gas supplied from a gas supply portion 9. The gas supply portion 9 is provided independently for an inside domain and an outside domain of the sample 7, and a flow rate and a gas composition can be controlled independently at the inside and outside domains of the sample 7. The inside domain and outside domain are also divided by a barrier in such that a form domain area of the respective gas injection holes 2 in the inside and outside domains is substantially equal. In the case of this embodiment, the apparatus will be described with two domains: the inside domain and outside domain, and the domain may not be divided, but also divided into more than three domains. In addition, reference numerals 18, 19 and 20 denote an automatic matching device, 6 denotes a shower plate fixing screw hole, 23 denotes a silicon-made focus ring, 25 denotes an insulation material, and 27 denotes an earth plate.
  • In the case of FIG. 1, a silicon wafer of 300 mm in diameter is used for the sample. The gas injection holes 2 formed on the shower plate 1 are formed within a range of 314 mm (φ314 mm) in diameter, the inside of which is the inside domain of 200 mm (φ200 mm), and the outside of which is the outside domain. The gas dispersion layer 10 is also formed independently for the inside and outside domains such that the gas is dispersed uniformly in the respective inside and outside domains.
  • FIG. 2 shows a layout of the gas injection holes 2 on the surface of shower plate 1, in which a diameter of the gas injection hole 2 is 0.5 mm, and a thickness of the domain where the gas injection holes 2 are formed on the shower plate 1 is 10 mm. The diameter of the gas injection holes 2 formed on a shower plate gas supply surface 5 is all the same. The gas injection holes 2 are also formed in concentricity and in an equal interval (10 mm pitch) from a shower plate center 3. The number of gas injection holes formed on the circumferences is substantially proportional to the circumference from the center to the periphery and its vicinity. Therefore, the number of gas injection holes per unit area on the shower plate 1 is substantially the same in the layout, from the center to the periphery and its vicinity. The diameter of shower plate gas supply surface 5 is made larger than that of the sample 7.
  • In the case of the constitution in FIG. 2, the total number of gas injection holes of the outside domain in the periphery domain is about twice that of the inside domain. Therefore, the gas is flown into the outside domain by the flow rate having about twice that of the inside domain, so that the gas flow rate injected from every gas injection hole becomes equal at both the inside and outside domains.
  • According to the above-mentioned constitution, the gas injected from the gas injection holes 2 is substantially the same in the flow rate and gas composition at the inside and outside domains of the sample 7. A gas condition (flow rate and composition) distribution produced by supplying the gas to the surface of the sample 7 depends on a density of number of the gas injection holes 2. In the case of this embodiment, the apparatus will be described with a case where the gas flow rate injected from every gas injection hole 2 is equal. However, it is not necessarily to make the gas flow rate equal, injected from every gas injection hole 2, since an oxygen flow rate is sometimes changed at the inside and outside domains, for example, for a purpose of correcting a deposition distribution caused by a reactive product.
  • In the case of this embodiment, as to a position corresponding to an edge portion of the sample 7, a hole number density per unit length on two outermost circumferences formed with the gas injection holes 2 is set to about twice that of the other circumferences. A pitch between the gas injection holes 2 formed on the other circumferences is 10 mm, while the pitch between the holes 2 formed on the two outermost circumferences is 7 mm.
  • In consequence, the hole number density of the gas injection holes 2 facing to the edge portion of the sample 7 increases by about 2.85 times (density (twice) of circumferential direction×density (10 mm/7 mm) of diametrical direction), compared with the other domains.
  • That is, a uniformity gas supply is carried out at the inside domain of the sample 7 since the gas injection holes 2 are disposed on the inside domain with an equal density, however, a large volume gas, much more than the other domains, is supplied to the edge portion of the sample 7 at the outside domain since the density of the gas injection holes 2 formed on the edge portion of the sample 7 is high.
  • FIG. 5 shows a calculation result of the relative molecule flux at the wafer edge portion in the case of the shower plate in the invention and the shower plate as related art.
  • FIG. 5 shows the case where a wafer diameter D is 300 mm (φ300 mm) and a distance L between the wafer and the shower plate is 24 mm (aspect ratio D/L=12.5).
  • As shown in FIG. 5, it can be confirmed that a gas supply amount deficiency is made up for the wafer edge portion and its vicinity to supply uniformly the gas to the entire wafer surface, in the case of the shower plate 1 of the invention. On the other hand, the gas supply amount is relatively short at the wafer edge portion and its vicinity, compared with the center portion of the wafer, in the case of the related shower plate. This is assumed that an exhaust velocity becomes fast at a long circumference wafer edge portion, compared with the center portion of the wafer, when the gas supplied from the shower plate 1 is exhausted from the periphery of the wafer. The gas injected from the periphery portion reaches to a center domain as isotropically diffused, however, it is assumed that there is no gas supply from the outside other than the outermost circumference formed with the gas injection holes 2.
  • In this way, by using the shower plate 1 in the invention, it is possible that the gas is supplied uniformly, therefore, it has become clear that the shower plate 1 is useful to make an etching characteristic uniformed.
  • Particularly, as used with a narrow-gap type opposite electrode structure, in the case of an etching mechanism (a silicon dioxide film etching by using a phlorocabon-based gas etc.) of which the etching characteristic depends largely on the supplied gas flow rate rather than a gas pressure, a difference of an etching rate and etching shape can be restrained within the in-plane wafer.
  • FIG. 6 shows an etching rate distribution of a TEOS film in the case of the shower plate 1 in the invention and the shower plate as related art.
  • In the case of using the shower plate 1 of the invention, the gas flow rate of the outside domain is set to about twice that of the inside domain, that is, an inside flow rate is set to Ar=500 sccm, C4F8=15 sccm, O2=15 sccm, and an outside flow rate is set to Ar=1000 sccm, C4F8=30 sccm, O2=30 sccm, in accordance with a gas injection hole number ratio (about twice), since the gas supply amount injected from every gas injection hole 2 is made equal for all of the holes 2 formed on the inside and outside domains.
  • On the other hand, in the case of using the related shower plate, the same gas flow rate is supplied to both the inside and outside domains, that is, the inside and outside flow rates are of an Ar/C4F8/O2 mixed gas containing Ar=500 sccm, C4F8=15 sccm, O2=15 sccm, since the number of the gas injection holes at the inside domain is substantially equal to that of the outside domain.
  • As shown in FIG. 6, in the case of using the related shower plate, the etching rate at the wafer edge portion is lowered, and an etching rate uniformity is as much as 8%. In the case of using the shower plate 1 of the invention, no effect is given to the etching rate at a wafer center domain, the etching rate at the wafer edge portion is increased, and the etching rate uniformity is improved to as much as 3%.
  • In the case of the invention, it is possible to select an optimal gas supply distribution in response to processing objects and processing conditions, by changing the gas-injection-hole number density so as to adapt the etching characteristic.
  • Next, the following description will be concerned with an optimization for the gas-injection-hole number density and an optimization for the domain on which the gas-injection-hole number density is made increased.
  • FIG. 8 shows a calculation result of the relative molecule flux on the wafer surface in the case where the gas-injection-hole number density is increased at 280 mm (φ280 mm) and its vicinity of the shower plate 1.
  • FIG. 9 shows a calculation result of the relative molecule flux on the wafer surface in the case where the gas-injection-hole number density is increased at 290 mm (φ290 mm) and its vicinity of the shower plate 1.
  • FIG. 10 shows a calculation result of the relative molecule flux on the wafer surface in the case where the gas-injection-hole number density is increased at 300 mm (φ300 mm) and its vicinity of the shower plate 1.
  • FIG. 11 shows a calculation result of the relative molecule flux on the wafer surface in the case where the gas-injection-hole number density is increased at 320 mm (φ320 mm) and its vicinity of the shower plate 1.
  • FIG. 12 shows a calculation result of the relative molecule flux on the wafer surface in the case where the gas-injection-hole number density is increased at 330 mm (φ330 mm) and its vicinity of the shower plate 1.
  • FIG. 13 shows a calculation result of the relative molecule flux on the wafer surface in the case where the gas-injection-hole number density is increased at 340 mm (φ340 mm) and its vicinity of the shower plate 1.
  • FIG. 14 shows a calculation result of the relative molecule flux on the wafer surface in the case where the gas-injection-hole number density is increased at 360 mm (φ360 mm) and its vicinity of the shower plate 1.
  • As shown in FIG. 8 and FIG. 9, the gas-injection-hole number density is increased to thereby increase the gas supply amount at the wafer edge portion in the inside domain inside the wafer diameter or the wafer outer diameter position. This causes the gas supply amount to increase the inside, but it has become clear that the gas supply distribution is slightly improved.
  • On the other hand, as shown in FIG. 10 to FIG. 14, the gas-injection-hole number density is increased to increase the gas supply amount at the wafer edge portion in the domain of the wafer diameter, that is, the wafer outer diameter position, or the domain outside the wafer outer diameter position. It has become clear that the uniformity gas supply distribution is obtained in the wafer domain.
  • However, as shown in FIG. 13 and FIG. 14, in the case where the gas injection hole is added to the domain at 340 mm (φ340 mm) or more, it is necessary to also increase the gas supply amount since a necessary increased number caused by the additional position gas-injection-hole number density becomes equal to or greater than 4 times. Therefore, the apparatus is subject to an increase of gas consumed amount and an increase of the strain on the exhaust performance.
  • In consequence, as shown in FIG. 10 to FIG. 12, it is desirable to increase the gas-injection-hole number density in a range of about 300 mm (φ300 mm) to 330 mm (φ330 mm), that is, as much as 1.0 to 1.1 times the wafer diameter.
  • Further, the increase of the gas-injection-hole number density varies in response to the processing objects and processing conditions. However, the gas-injection-hole number density increases in the range of 1.5 to 4 times to thereby optimize the uniformity of the etching characteristic, and the gas consumed amount can be restrained.
  • Embodiment 2
  • A second embodiment of the invention will be described with use of FIG. 15.
  • FIG. 15 is a schematic diagram showing a shower plate 1 in the second embodiment of the invention.
  • In the case of this embodiment, each diameter of gas injection holes 27 faced to the wafer edge portion and formed on the periphery portion of the shower plate 1 is 1.3 times that of the other gas injection holes 2, that is, the hole diameter at the periphery portion is 0.65 mm while the other hole diameter is set to 0.5 mm, and the gas-injection-hole number density is set to uniformity. In the case of the first embodiment, the gas supply amount to the wafer edge portion is adjusted by the gas-injection-hole number density of the gas injection holes 4 each having the same diameter and formed at the periphery portion of the shower plate 1. In the case of the second embodiment, the gas supply amount is adjusted by the hole diameter.
  • A conductance at a time when the gas passes through the gas injection holes 2 of the shower plate 1 increases in proportion to the 3 to 4 power of the hole diameter (3 power in the case of molecule flow, and the 4 power in the case of viscous flow). Practically, the conductance becomes a middle value (the 3.5 power in a middle flow) between the molecule flow and the viscous flow.
  • Therefore, it is possible to obtain the same effect as increased the gas-injection-hole number density by expanding the hole diameter, even in the same gas-injection-hole number density.
  • In the case of the second embodiment, the gas-injection-hole number density is the same at the periphery portion and the other portion, and the hole diameter of the periphery portion is 1.3 times that of the other portion, so that the gas supply amount at the periphery portion can be enhanced by about 2.85 times.
  • As with the first embodiment, the expansion amount of the hole diameter can be changed by the processing objects and processing conditions. For a purpose of increasing the gas-injection-hole number density from 1.5 to 4.0 times, that is, increasing the gas supply amount from 1.5 to 4.0 times, the hole diameter is set to a range from 1.1 times (1/3.5 power of 1.5=1.123) to 1.5 times (1/3.5 power of 4=1.486), so that the uniformity of the etching characteristic can be optimized.
  • Further, the domain on which the gas injection hole diameter is expanded can be ranged desirably from 1.0 to about 1.1 times, which is similar to the first embodiment.
  • The invention relates to a semiconductor device manufacturing apparatus, and in particularly to a plasma etching apparatus to apply an etching processing to a semiconductor material masked with a pattern drawn by the lithography technique. According to the invention, it is possible to enhance the processing characteristic at the silicon wafer edge portion as a sample, particularly, the uniformity of the processing rate and processing shape. From the above-mentioned advantages of the invention, a non-defective product acquired rate is enhanced for the silicon wafer edge portion, and a processing yield of the etching apparatus can be enhanced.
  • It should be further understood by those skilled in the art that although the foregoing description has been made on embodiments of the invention, the invention is not limited thereto and various changes and modifications may be made without departing from the spirit of the invention and the scope of the appended claims.

Claims (8)

1. A plasma processing apparatus for applying a surface processing to a sample, comprising:
a vacuum chamber;
a sample table that places the sample in the vacuum chamber, and
a gas supply unit faced to the sample table and having a gas supply surface with a diameter larger than that of the sample, wherein
gas injection holes each having identical diameter are provided concentrically on the gas supply surface of the gas supply unit, and
a hole number density of the gas injection holes present in an outer diameter position of the sample or in an outside of the outer diameter position is made higher than that of the gas injection holes present inside the outer diameter position of the sample.
2. The apparatus according to claim 1 wherein the hole number density of the gas injection holes present in an outer diameter position of the sample or in an outside of the outer diameter position is present in a range from 1.5 to 4.0 times that of the gas injection holes present inside the outer diameter position of the sample.
3. The apparatus according to claim 1 wherein the gas injection holes present in the outer diameter position of the sample or in the outside of the outer diameter position are present in a range of 1.0 to 1.1 times the diameter of the sample.
4. The apparatus according to claim 1 wherein an aspect ratio (D/L) is equal to or greater than 2, where the diameter of sample is D, and a distance from the sample to the gas supply surface is L.
5. A plasma processing apparatus for applying a surface processing to a sample, comprising:
a vacuum chamber;
a sample table that places the sample in the vacuum chamber; and
a gas supply unit faced to the sample table and having a gas supply surface with a diameter larger than that of the sample, wherein
gas injection holes are provided concentrically on the gas supply surface of the gas supply unit, and
a diameter of the gas injection holes present in an outer diameter position of the sample or in an outside from the outer diameter position is larger than that of the gas injection holes present inside from the outer diameter position of the sample.
6. The apparatus according to claim 5 wherein a diameter of the gas injection holes present in the outer diameter position of the sample or in an outside of the outer diameter position is present in a range of 1.1 to 1.5 times that of the gas injection holes present inside the outer diameter position of the sample.
7. The apparatus according to claim 5 wherein the gas injection holes present in the outer diameter position of the sample or in the outside of the outer diameter position are present in a range of 1.0 to 1.1 times the diameter of the sample.
8. The apparatus according to claim 5 wherein an aspect ratio (D/L) is equal to or greater than 2, where the diameter of sample is D, and a distance from the sample to the gas supply surface is L.
US12/392,237 2008-12-26 2009-02-25 Plasma processing apparatus Abandoned US20100163187A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2008-331822 2008-12-26
JP2008331822A JP5268626B2 (en) 2008-12-26 2008-12-26 Plasma processing equipment

Publications (1)

Publication Number Publication Date
US20100163187A1 true US20100163187A1 (en) 2010-07-01

Family

ID=42283459

Family Applications (1)

Application Number Title Priority Date Filing Date
US12/392,237 Abandoned US20100163187A1 (en) 2008-12-26 2009-02-25 Plasma processing apparatus

Country Status (3)

Country Link
US (1) US20100163187A1 (en)
JP (1) JP5268626B2 (en)
KR (1) KR101039087B1 (en)

Cited By (163)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140102640A1 (en) * 2012-10-17 2014-04-17 Hitachi High-Technologies Corporation Plasma processing apparatus
US20170287747A1 (en) * 2015-03-16 2017-10-05 Boe Technology Group Co., Ltd. Substrate Heating Device And Substrate Heating Method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11859284B2 (en) * 2019-08-23 2024-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Shower head structure and plasma processing apparatus using the same
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD1012873S1 (en) * 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11972944B2 (en) 2022-10-21 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5792563B2 (en) 2011-08-31 2015-10-14 東京エレクトロン株式会社 Plasma etching method and plasma etching apparatus
KR101530949B1 (en) * 2013-11-15 2015-06-25 하나머티리얼즈(주) Cooling plate for plasma chamber
US10378107B2 (en) * 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
JP2017162901A (en) * 2016-03-08 2017-09-14 株式会社ディスコ Wafer dividing method
US20190348261A1 (en) 2018-05-09 2019-11-14 Asm Ip Holding B.V. Apparatus for use with hydrogen radicals and method of using same

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4892753A (en) * 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5755886A (en) * 1986-12-19 1998-05-26 Applied Materials, Inc. Apparatus for preventing deposition gases from contacting a selected region of a substrate during deposition processing
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US20060016559A1 (en) * 2004-07-26 2006-01-26 Hitachi, Ltd. Plasma processing apparatus

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020080014A (en) * 2001-04-10 2002-10-23 주식회사 에이티씨 plasma processing apparatus
JP4502639B2 (en) * 2003-06-19 2010-07-14 財団法人国際科学振興財団 Shower plate, plasma processing apparatus, and product manufacturing method
US20050284573A1 (en) * 2004-06-24 2005-12-29 Egley Fred D Bare aluminum baffles for resist stripping chambers
JP2007042951A (en) * 2005-08-04 2007-02-15 Tokyo Electron Ltd Plasma processing device
JP2008235611A (en) * 2007-03-21 2008-10-02 Tohoku Univ Plasma processing equipment and method for processing plasma

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5871811A (en) * 1986-12-19 1999-02-16 Applied Materials, Inc. Method for protecting against deposition on a selected region of a substrate
USRE36623E (en) * 1986-12-19 2000-03-21 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5354715A (en) * 1986-12-19 1994-10-11 Applied Materials, Inc. Thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5362526A (en) * 1986-12-19 1994-11-08 Applied Materials, Inc. Plasma-enhanced CVD process using TEOS for depositing silicon oxide
US5755886A (en) * 1986-12-19 1998-05-26 Applied Materials, Inc. Apparatus for preventing deposition gases from contacting a selected region of a substrate during deposition processing
US4892753A (en) * 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US6167834B1 (en) * 1986-12-19 2001-01-02 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US6410089B1 (en) * 1998-02-13 2002-06-25 Applied Materials, Inc. Chemical vapor deposition of copper using profiled distribution of showerhead apertures
US20060016559A1 (en) * 2004-07-26 2006-01-26 Hitachi, Ltd. Plasma processing apparatus
US20070186972A1 (en) * 2004-07-26 2007-08-16 Hiroyuki Kobayashi Plasma processing apparatus

Cited By (183)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10665448B2 (en) * 2012-10-17 2020-05-26 Hitachi High-Tech Corporation Plasma processing apparatus
US20140102640A1 (en) * 2012-10-17 2014-04-17 Hitachi High-Technologies Corporation Plasma processing apparatus
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20170287747A1 (en) * 2015-03-16 2017-10-05 Boe Technology Group Co., Ltd. Substrate Heating Device And Substrate Heating Method
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11859284B2 (en) * 2019-08-23 2024-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Shower head structure and plasma processing apparatus using the same
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) * 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11972944B2 (en) 2022-10-21 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11970766B2 (en) 2023-01-17 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Also Published As

Publication number Publication date
JP5268626B2 (en) 2013-08-21
KR101039087B1 (en) 2011-06-07
JP2010153680A (en) 2010-07-08
KR20100076848A (en) 2010-07-06

Similar Documents

Publication Publication Date Title
US20100163187A1 (en) Plasma processing apparatus
US9117769B2 (en) Plasma etching method
US8066895B2 (en) Method to control uniformity using tri-zone showerhead
KR102455673B1 (en) Focus ring and substrate processing apparatus
KR101094982B1 (en) Plasma etching apparatus and plasma etching method
US8986493B2 (en) Etching apparatus
US20070187363A1 (en) Substrate processing apparatus and substrate processing method
US7396771B2 (en) Plasma etching apparatus and plasma etching method
KR100579606B1 (en) Plasma treatment method and apparatus thereof
JPWO2017154407A1 (en) Plasma processing method and plasma processing apparatus
JP2017098478A (en) Etching method
KR101092122B1 (en) Gas injection system for etching profile control
US20110049098A1 (en) Plasma etching method
JP2008034669A (en) Apparatus and method for processing plasma
TWI625419B (en) Diffuser for a deposition chamber
JP2013219099A (en) Plasma etching method and plasma processing apparatus
TWI781977B (en) Plasma processing device
JP2012049376A (en) Plasma processing apparatus and plasma processing method
US11289308B2 (en) Apparatus and method for processing substrate and method of manufacturing semiconductor device using the method
US20060292876A1 (en) Plasma etching method and apparatus, control program and computer-readable storage medium
US11557485B2 (en) Plasma processing method and plasma processing apparatus
JP2000031121A (en) Plasma discharger and plasma treating device
US20090156011A1 (en) Method of controlling CD bias and CD microloading by changing the ceiling-to-wafer gap in a plasma reactor
US7189653B2 (en) Etching method and etching apparatus
JP4963694B2 (en) Plasma processing equipment

Legal Events

Date Code Title Description
AS Assignment

Owner name: HITACHI HIGH-TECHNOLOGIES CORPORATION,JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:YOKOGAWA, KENETSU;ICHINO, TAKAMASA;HIROZANE, KAZUYUKI;AND OTHERS;REEL/FRAME:022307/0636

Effective date: 20090202

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION