US20100102433A1 - Apparatus for use in semiconductor wafer processing for laterally displacing individual semiconductor devices away from one another - Google Patents

Apparatus for use in semiconductor wafer processing for laterally displacing individual semiconductor devices away from one another Download PDF

Info

Publication number
US20100102433A1
US20100102433A1 US12/650,179 US65017909A US2010102433A1 US 20100102433 A1 US20100102433 A1 US 20100102433A1 US 65017909 A US65017909 A US 65017909A US 2010102433 A1 US2010102433 A1 US 2010102433A1
Authority
US
United States
Prior art keywords
passivation material
semiconductor device
wafer
semiconductor
active surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/650,179
Inventor
Trung T. Doan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Priority to US12/650,179 priority Critical patent/US20100102433A1/en
Publication of US20100102433A1 publication Critical patent/US20100102433A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3114Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed the device being a chip scale package, e.g. CSP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3185Partial encapsulation or coating the coating covering also the sidewalls of the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • H01L2224/05008Bonding area integrally formed with a redistribution layer on the semiconductor or solid-state body, e.g.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05022Disposition the internal layer being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • H01L2224/05572Disposition the external layer being disposed in a recess of the surface the external layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0612Layout
    • H01L2224/0613Square or rectangular array
    • H01L2224/06134Square or rectangular array covering only portions of the surface to be connected
    • H01L2224/06135Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/119Methods of manufacturing bump connectors involving a specific sequence of method steps
    • H01L2224/1191Forming a passivation layer after forming the bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13022Disposition the bump connector being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00013Fully indexed content
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01032Germanium [Ge]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01082Lead [Pb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • H01L2924/01322Eutectic Alloys, i.e. obtained by a liquid transforming into two solid phases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys

Definitions

  • the present invention relates to wafer-level packaging for a semiconductor die. More particularly, the present invention relates to a semiconductor die having all of its sides sealed by a passivation layer and an improved method for forming the passivation layers on the semiconductor die.
  • a solid-state electronic device in the form of a semiconductor die or chip is conventionally manufactured of materials such as silicon, germanium, or gallium arsenide.
  • Circuitry is formed on an active surface of the semiconductor die and may include further levels of circuitry within the die itself. Bond pads are conventionally formed on the active surface to provide electrical contacts for the semiconductor die circuitry. Due to the materials used and the intricate nature of construction, semiconductor dice are highly susceptible to physical damage or contamination from environmental conditions including, for example, moisture.
  • Such efforts include, for example, fabrication processes commonly referred to as wafer-level packaging (WLP) or chip-scale packaging (CSP).
  • WLP wafer-level packaging
  • CSP chip-scale packaging
  • Such packaging methods include disposing a relatively thin protective coating or passivation layer on one or more surfaces of the semiconductor die during fabrication.
  • Connecting elements such as conductive bumps, are formed over the die bond pads using a variety of known techniques such as screen printing or ball bumping.
  • a redistribution layer may also be formed on the active surface of the semiconductor die to allow the formation of conductive bumps at locations other than directly above the bond pads.
  • the conductive bumps may then be electrically connected to circuitry on a carrier substrate or other device through a process such as tape automated bonding (TAB), or by direct attachment including mounting the semiconductor die in a flip-chip fashion on the carrier substrate.
  • TAB tape automated bonding
  • Formation of the passivation layer on the surfaces of the semiconductor die may include sealing exposed die surfaces with a coating of, for example, silicon nitride (SiN), silicon dioxide (SiO 2 ), or other materials such as an epoxy or a polymer.
  • SiN silicon nitride
  • SiO 2 silicon dioxide
  • Such coatings might be deposited on the active and passive surfaces of a wafer, which contains an array of solid-state electronic devices, with the wafer being subsequently singulated to provide individual semiconductor dice.
  • the semiconductor dice which result from this fabrication process suffer from the fact that their side edges are left exposed after singulation of the dice from the wafer. The possibility remains, therefore, that moisture may enter the side edges of an individual die and damage nearby circuitry.
  • a method of fabricating semiconductor packages includes providing a semiconductor wafer having a plurality of semiconductor devices formed thereon.
  • the semiconductor wafer is adhered to a flexible membrane and diced to separate the plurality of semiconductor devices and define a plurality of side edges on each semiconductor device of the plurality of semiconductor devices.
  • the flexible membrane is stretched to laterally displace the plurality of semiconductor devices relative to one another, thereby exposing the side edges of each semiconductor device.
  • a passivation layer is then formed on the plurality of side edges of at least one of the plurality of semiconductor devices.
  • an apparatus for use in fabricating semiconductor devices.
  • the apparatus includes a frame and a membrane coupled to the frame.
  • the membrane is configured to adhere to a surface of a semiconductor wafer.
  • the frame is configured to stretch the membrane in at least one lateral direction while a semiconductor wafer is adhered thereto.
  • the frame may include a plurality of frame members wherein at least two of the plurality of frame members are configured to be displaced laterally away from each other to stretch the membrane in the at least one lateral direction.
  • the membrane may comprise an elastic film and may be formed of a material comprising polyethylene. In another embodiment, the membrane may comprise a flexible resin material.
  • a method of forming a memory device includes providing a semiconductor wafer having a plurality of semiconductor devices formed thereon.
  • the semiconductor wafer is adhered to a flexible membrane and diced to separate the plurality of semiconductor devices and define a plurality of side edges on each semiconductor device of the plurality of semiconductor devices.
  • the flexible membrane is stretched to laterally displace the plurality of semiconductor devices relative to one another, thereby exposing the side edges of each semiconductor device.
  • a passivation layer is then formed on the plurality of side edges of at least one of the plurality of semiconductor devices. With the passivation layers formed on the active surface and side edges of the at least one semiconductor device, the at least one semiconductor device is electrically coupled to a carrier substrate.
  • another method of fabricating a semiconductor device includes providing a semiconductor wafer having an active surface and an opposing passive surface and disposing a layer of polymer material on the passive surface.
  • a plurality of cut lines is sawed substantially through the semiconductor wafer to define a plurality of semiconductor devices. Each cut line of the plurality exhibits a depth which does not substantially extend into the layer of polymer.
  • a force is then applied to the semiconductor wafer to fracture the polymer layer along a plurality of lines which substantially corresponds with the plurality of cut lines.
  • FIG. 1 is a perspective view of a semiconductor wafer containing an array of electronic devices
  • FIG. 2A is a partial sectional view of the wafer shown in FIG. 1 having conductive bumps attached in a direct bump configuration;
  • FIG. 2B is a partial sectional view of a wafer having a redistribution layer and associated conductive bumps
  • FIG. 3 is a partial sectional view of a wafer subsequent to a back-grinding operation in accordance with an aspect of the present invention
  • FIG. 4 is a partial sectional view of a wafer having a backside passivation layer formed on a passive surface thereof in accordance with an aspect of the present invention
  • FIG. 5 is a side view of a wafer attached to a frame membrane of a wafer frame
  • FIG. 6 is a partial sectional view of a wafer attached to a wafer frame and after an initial dicing operation
  • FIG. 7 is a partial sectional view of a wafer attached to a wafer frame and after a secondary dicing operation
  • FIGS. 8A and 8B show a partial sectional view and a top view, respectively, of a diced wafer on a stretched frame membrane
  • FIG. 9 is a partial sectional view of a diced wafer including active surface and side edge passivation layers formed on the individual devices thereof;
  • FIG. 10 is a partial sectional view showing the semiconductor dice after removing a portion of the active surface passivation layer to expose the conductive bumps;
  • FIG. 11 is a side view showing a semiconductor die attached to a carrier substrate in a flip-chip orientation.
  • a semiconductor wafer 100 which contains an array of semiconductor devices 102 formed thereon and defined by a plurality of streets or street lines 104 .
  • the array of semiconductor devices 102 may be conventionally fabricated as circuit layers (not shown) on and/or extending into one side of wafer 100 , forming an active surface 106 of the wafer 100 and, therefore, semiconductor devices 102 formed thereon.
  • the opposite side or backside may remain free of circuitry, leaving a passive surface 108 comprised generally of the semiconductor material of wafer 100 .
  • Bond pads 112 are also formed on the active surface 106 of each individual or discrete semiconductor device 102 for electrical interconnection between an individual semiconductor device 102 and, for example, a carrier substrate or other electronic device.
  • a passivation layer 114 may be disposed on the active surface 106 during wafer fabrication.
  • the passivation layer 114 may be formed of, for example, silicon-based materials such as silicon oxides or silicon nitrides, which may be deposited by conventional sputtering or chemical vapor deposition (CVD) processes.
  • the bond pads 112 may be exposed through the passivation layer 114 , such as by an etching process.
  • Conductive bumps 116 are formed on active surface 106 of wafer 100 in a direct bump configuration over bond pads 112 of the semiconductor device 102 .
  • a second passivation layer 118 may be deposited over passivation layer 114
  • an under-bump metallization (UBM) 120 may be formed on bond pads 112 and overlap a portion of first and second passivation layers 114 , 118 .
  • Conductive bumps 116 are then attached to UBM 120 directly over bond pads 112 , which may include one or more layers of solder-wettable material or other barrier layers of material thereon.
  • Conductive bumps 116 may be formed using known techniques such as screen printing or by ball bumping with wire bonding equipment. Exemplary materials for conductive bumps 116 may include gold, eutectic tin/lead solder, and conductive or conductor-filled epoxies. Furthermore, while described in terms of bumps, it should be understood that conductive bumps 116 could be configured as balls, columns, pillars, or other desired geometrical configurations.
  • redistribution layer may be used to relocate connection points for the bond pads 112 of the individual semiconductor device 102 ′. This may be required when bond pads 112 of a semiconductor device 102 ′ are not configured in a suitable pattern for attachment or are too closely spaced to allow effective formation of conductive bumps 116 .
  • redistribution circuits 122 may be formed thereon. Redistribution circuits 122 may be deposited as a layer of metal, polysilicon or other conductive material on second passivation layer 118 and etched to form a desired circuit pattern.
  • a third passivation layer 124 may be deposited over redistribution circuits 122 using similar materials and deposition techniques as with first and second passivation layers 114 , 118 .
  • the third passivation layer 124 may then be etched to expose new bump connection locations 126 on redistribution circuits 122 .
  • a layer of UBM 120 ′ may be formed to cover bump connection locations 126 and overlap a portion of third passivation layer 124 , and conductive bumps 116 are attached to UBM 120 ′ directly over bump connection locations 126 .
  • FIG. 3 shows a partial sectional view of the wafer 100 at a further stage of fabrication as compared to that shown in FIG. 2A .
  • the wafer 100 may be subjected to a back-grinding process or a chemical-mechanical planarization process to remove a portion of material from the passive or backside surface 108 of the wafer 100 .
  • the wafer 100 may be secured in an appropriate frame member or other retaining apparatus (not shown) with the active surface 106 of the wafer 100 being secured on a surface of a retaining apparatus so that passive or backside surface 108 of the wafer 100 faces upwardly.
  • the wafer 100 may be held in any suitable, known manner to the retaining surface such as, for example, by an adhesive bond, with clamping structures, by drawing a vacuum between active surface 106 and the retaining surface or any suitable combination thereof.
  • a back-grinding process or chemical-mechanical planarization process may then be employed to remove excess semiconductor material from passive or backside surface 108 of the wafer 100 , thereby reducing the thickness of wafer 100 to a desired overall thickness and effectively exposing a new passive or backside surface 108 ′.
  • the thinning of the wafer 100 may be desirable in order to minimize the final package size. Additionally, such material removal may reduce the time and expense associated with sawing the wafer 100 during subsequent dicing and singulation of the individual semiconductor devices 102 .
  • thinning of the wafer 100 using a suitable process helps to remove undesirable contaminants which may have been introduced into passive or backside surface 108 of the wafer 100 during fabrication of the semiconductor device 102 .
  • a mechanical grinding process a mechanical planarization process, a chemical-mechanical planarization process (CMP) or a chemical planarization process could be used to remove material from passive or backside surface 108 of the wafer 100 .
  • CMP chemical-mechanical planarization process
  • a chemical planarization process could be used to remove material from passive or backside surface 108 of the wafer 100 .
  • a grinding wheel may be applied to passive or backside surface 108 of the wafer 100 to abrade material therefrom.
  • passive or backside surface 108 of the wafer 100 could be chemically etched to remove material.
  • a coating is applied to the newly formed passive or backside surface 108 ( FIG. 2A ) of the wafer 100 to a desired thickness, a coating is applied to the newly formed passive or backside surface 108 ′ to form a backside passivation layer 130 .
  • the backside passivation layer 130 could also comprise a suitable layer of glass applied by spin, dip or flow coating, or it could comprise a layer of silicon nitride or silicon oxide deposited by sputtering or CVD.
  • Formation of the backside passivation layer 130 may be accomplished while wafer 100 remains attached to the retaining apparatus (not shown) which is used in conjunction with the removal of material from the passive or backside surface 108 .
  • the process of foaming the backside passivation layer 130 may be carried out at a location separate from that of the material removal operation if so desired.
  • FIG. 5 a side view of the wafer 100 attached to a wafer frame 132 is shown.
  • the wafer 100 may be held in place on the wafer frame 132 by adhesively attaching backside passivation layer 130 ( FIG. 4 ) to a frame membrane 134 such that active surface 106 faces outward or upward.
  • Frame membrane 134 may include, for example, an elastic film or tape formed of a material, such as a polyethylene, a flexible resin or a sheet of similarly resilient material, and be coated with an adhesive.
  • the adhesive may, for instance, include a pressure-sensitive or UV-releasable adhesive that adheres to backside passivation layer 130 without forming a permanent bond.
  • the wafer 100 may be diced by cutting into active surface 106 along street lines 104 (shown as dashed lines in FIG. 5 ) running between adjacent semiconductor devices 102 .
  • a conventional wafer saw may be used for this operation as will be appreciated by those of ordinary skill in the art.
  • a wafer saw (not shown) may cut completely through the wafer 100 without cutting the frame membrane 134 .
  • the wafer saw need not cut entirely through the wafer 100 in all cases.
  • the active surface 106 and various passivation layers 118 , 124 and UBM 120 may be formed of materials conducive to wafer sawing
  • the backside passivation layer 130 ( FIG. 4 ) may be formed of a polymer material which tends to gum-up and reduce the efficiency and accuracy of the wafer saw.
  • the cutting depth of the wafer saw may be reduced such that backside passivation layer 130 is not cut, such as is shown in FIG. 6 .
  • a force may subsequently be applied to the wafer 100 such as with rollers or other known mechanisms (not shown) so as to sever, fracture, crack or break the backside passivation layer 130 along the cuts corresponding with the street lines 104 and separate the individual semiconductor devices 102 , forming individual sidewalls 138 thereon as shown in FIG. 7 .
  • the wafer saw does not have to cut any polymer material and may remain free from gumming.
  • the membrane 134 may be stretched by the wafer frame 132 to displace the individual semiconductor devices 102 from one another and further expose their sidewalls 138 for subsequent coating.
  • the membrane 134 may be stretched by laterally displacing frame members 132 A- 132 D relative to each other such as is indicated by directional arrows 139 .
  • a further protective coating may be applied to the individual semiconductor devices 102 and thereby form an active surface passivation layer 140 and side edge passivation layers 142 .
  • the semiconductor devices 102 disposed on the stretched frame membrane 134 may be placed in a deposition chamber (not shown) and the active surface and side edge passivation layers 140 , 142 may be formed by depositing a layer of polymer or other material on semiconductor devices 102 using CVD or atomic layer deposition (ALD) processes.
  • CVD processes reactive species in a gaseous atmosphere of elevated temperature chemically react to deposit a film of material such as, for example, a polymer, onto the surfaces of the individual semiconductor devices 102 . While very effective, the CVD process must be performed at relatively high temperatures and can introduce impurities from the gas atmosphere into the film of deposited material.
  • An ALD process which is a variant of the CVD process, is a relatively new process which allows the formation of high-quality, uniform films and can generally be carried out at lower temperatures than CVD processes.
  • an ALD process involves depositing multiple atomic layers on a surface to form a film. Each layer is formed by chemisorption from a precursor gas. A series of reactive precursors is injected into a deposition chamber with an inert gas purge between the introduction of each reactive precursor.
  • the deposition rate of ALD is slower than that of CVD, and the selected deposition technique will be selected accordingly based on process considerations as to which benefits are more desirable. It should be understood that CVD and ALD processes are exemplary deposition methods and that other known methods such as, for example, sputtering, may be used as well.
  • active surface and side edge passivation layers 140 , 142 may be formed by spraying a layer of epoxy onto the individual semiconductor devices 102 in an aerosolized form by a dispensing nozzle as will be appreciated by those of ordinary skill in the art.
  • a portion of active surface passivation layer 140 is removed from the individual semiconductor devices 102 to expose a portion of the conductive bumps 116 formed thereon. This operation may also be completed while the individual semiconductor devices 102 remain attached to frame membrane 134 .
  • the removal of the portion of active surface passivation layer 140 may be achieved through etching, for example, by a dry plasma etch process or by a wet etching process, such as by dipping the active surface passivation layer 140 into a wet etch solution.
  • the removal may be achieved through a mechanical abrasion process, optionally assisted by a chemical agent, such as by the CMP process described above in relation to the back-grinding operation.
  • the result is a plurality of wafer-level or chip-scale semiconductor packages 150 having passivation layers 130 , 140 and 142 which hermetically seal its associated semiconductor device 102 from environmental conditions. It is noted that the side edge passivation layers 142 overlap the edge of the backside passivation layer 130 to form such a seal.
  • the plurality of individual semiconductor packages 150 may be removed from the frame membrane 134 for subsequent attachment to circuitry on a carrier substrate or other device such as by TAB or flip-chip bonding.
  • a memory device 152 may be formed by electrically coupling the conductive bumps 116 of an individual semiconductor package 150 with a carrier substrate 154 by flip-chip bonding to the contact pads 156 formed on the surface of the carrier substrate 154 .
  • Such a memory device may be utilized in a computing system, including, for example, a central processing unit operably coupled with the memory device 152 , and also coupled with one or more appropriate input devices (e.g., mouse, keyboard, hard drive, etc.) and one or more output devices (e.g., monitor, printer, etc.).
  • a central processing unit operably coupled with the memory device 152 , and also coupled with one or more appropriate input devices (e.g., mouse, keyboard, hard drive, etc.) and one or more output devices (e.g., monitor, printer, etc.).

Abstract

A chip-scale or wafer-level package, having passivation layers on substantially all surfaces thereof to form a hermetically sealed package, is provided. The package may be formed by disposing a first passivation layer on the passive or back side surface of a semiconductor wafer. The semiconductor wafer may be attached to a flexible membrane and diced, such as by a wafer saw, to separate the semiconductor devices. Once diced, the flexible membrane may be stretched so as to laterally displace the individual semiconductor devices away from one another and substantially expose the side edges thereof. Once the side edges of the semiconductor devices are exposed, a passivation layer may be formed on the side edges and active surfaces of the devices. A portion of the passivation layer over the active surface of each semiconductor device may be removed so as to expose conductive elements formed therebeneath.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of U.S. patent application Ser. No. 11/409,350 filed Apr. 21, 2006, pending, which application is a divisional of U.S. patent application Ser. No. 10/767,952, filed Jan. 29, 2004, now U.S. Pat. No. 7,169,691, issued Jan. 30, 2007, the entire disclosure of each of which is hereby incorporated herein by this reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to wafer-level packaging for a semiconductor die. More particularly, the present invention relates to a semiconductor die having all of its sides sealed by a passivation layer and an improved method for forming the passivation layers on the semiconductor die.
  • 2. State of the Art
  • A solid-state electronic device in the form of a semiconductor die or chip is conventionally manufactured of materials such as silicon, germanium, or gallium arsenide. Circuitry is formed on an active surface of the semiconductor die and may include further levels of circuitry within the die itself. Bond pads are conventionally formed on the active surface to provide electrical contacts for the semiconductor die circuitry. Due to the materials used and the intricate nature of construction, semiconductor dice are highly susceptible to physical damage or contamination from environmental conditions including, for example, moisture.
  • Conventionally, attempts to protect a semiconductor die from environmental conditions have included mounting the die within a plastic, metal or ceramic package that provides hermetic sealing and prevents environmental elements from physically contacting the die. Such a package also conventionally includes conductive leads or similar conductive elements for attaching the die bond pads to external electrical connections. Such a packaging approach, while providing some protection for the semiconductor die from external conditions, increases the cost of production by requiring additional materials and manufacturing steps. Additionally, such a packaging approach results in a relatively large device size which may unnecessarily consume valuable real estate when mounted to a carrier substrate. Moreover, the conductive lead structures used in such packaging approaches may negatively influence processing speed and, further, may present opportunities for moisture incursion at interfaces between the conductive leads and other packaging materials.
  • There have been some efforts to reduce the size and cost of these electronic devices which have resulted in, more or less, doing away with the above-described packaging materials. Such efforts include, for example, fabrication processes commonly referred to as wafer-level packaging (WLP) or chip-scale packaging (CSP). Such packaging methods include disposing a relatively thin protective coating or passivation layer on one or more surfaces of the semiconductor die during fabrication. Connecting elements, such as conductive bumps, are formed over the die bond pads using a variety of known techniques such as screen printing or ball bumping. A redistribution layer may also be formed on the active surface of the semiconductor die to allow the formation of conductive bumps at locations other than directly above the bond pads. The conductive bumps may then be electrically connected to circuitry on a carrier substrate or other device through a process such as tape automated bonding (TAB), or by direct attachment including mounting the semiconductor die in a flip-chip fashion on the carrier substrate.
  • Formation of the passivation layer on the surfaces of the semiconductor die may include sealing exposed die surfaces with a coating of, for example, silicon nitride (SiN), silicon dioxide (SiO2), or other materials such as an epoxy or a polymer. In prior art processes, such coatings might be deposited on the active and passive surfaces of a wafer, which contains an array of solid-state electronic devices, with the wafer being subsequently singulated to provide individual semiconductor dice. The semiconductor dice which result from this fabrication process suffer from the fact that their side edges are left exposed after singulation of the dice from the wafer. The possibility remains, therefore, that moisture may enter the side edges of an individual die and damage nearby circuitry.
  • It is further noted that, when processes such as wafer-level packaging and chip-scale packaging are utilized, difficulties may arise in the dicing of the wafer to effect singulation of the semiconductor dice therefrom. Such dicing is conventionally accomplished by cutting the wafer along street lines between the individual semiconductor dice with a wafer saw. However, when a passivation layer or coating on the wafer is formed of a polymer or similar material, the wafer saw tends to gum-up during singulation, thereby reducing cutting efficiency and requiring down time for cleaning and maintenance of the saw.
  • In order to rectify some of these shortcomings, various attempts have been made to provide additional passivation layers on the side edges of a semiconductor die. For example, U.S. Pat. No. 5,451,550 to Wills et al., U.S. Pat. No. 5,742,094 to Ting and U.S. Pat. No. 5,933,713 to Farnworth teach methods of providing side edge passivation layers to semiconductor dice. While the methods disclosed by these patents provide such side edge passivation layers, they may require further processing of the semiconductor dice on an individual basis, which becomes time consuming, introduces additional expense, and may introduce additional complexities into the fabrication process.
  • Other attempts to improve WLP and CSP processes include, for instance, U.S. Pat. No. 5,956,605 to Akram et al. and U.S. Pat. No. 6,303,977 to Schroen et al., which generally contemplate forming side edge passivation layers after wafer singulation. However, such methods may still result in die surfaces which are not completely coated and may require additional coating steps subsequent to attachment of the semiconductor die to a carrier substrate or other device.
  • In view of the shortcomings in the art, it would be advantageous to provide an improved wafer-level packaging method for sealing the surfaces of a semiconductor die.
  • BRIEF SUMMARY OF THE INVENTION
  • In accordance with one aspect of the present invention, a method of fabricating semiconductor packages is provided. The method includes providing a semiconductor wafer having a plurality of semiconductor devices formed thereon. The semiconductor wafer is adhered to a flexible membrane and diced to separate the plurality of semiconductor devices and define a plurality of side edges on each semiconductor device of the plurality of semiconductor devices. The flexible membrane is stretched to laterally displace the plurality of semiconductor devices relative to one another, thereby exposing the side edges of each semiconductor device. A passivation layer is then formed on the plurality of side edges of at least one of the plurality of semiconductor devices.
  • In accordance with another aspect of the present invention, an apparatus is provided for use in fabricating semiconductor devices. The apparatus includes a frame and a membrane coupled to the frame. The membrane is configured to adhere to a surface of a semiconductor wafer. The frame is configured to stretch the membrane in at least one lateral direction while a semiconductor wafer is adhered thereto. The frame may include a plurality of frame members wherein at least two of the plurality of frame members are configured to be displaced laterally away from each other to stretch the membrane in the at least one lateral direction. The membrane may comprise an elastic film and may be formed of a material comprising polyethylene. In another embodiment, the membrane may comprise a flexible resin material.
  • In accordance with yet another aspect of the present invention, a method of forming a memory device is provided. The method includes providing a semiconductor wafer having a plurality of semiconductor devices formed thereon. The semiconductor wafer is adhered to a flexible membrane and diced to separate the plurality of semiconductor devices and define a plurality of side edges on each semiconductor device of the plurality of semiconductor devices. The flexible membrane is stretched to laterally displace the plurality of semiconductor devices relative to one another, thereby exposing the side edges of each semiconductor device. A passivation layer is then formed on the plurality of side edges of at least one of the plurality of semiconductor devices. With the passivation layers formed on the active surface and side edges of the at least one semiconductor device, the at least one semiconductor device is electrically coupled to a carrier substrate.
  • In accordance with a further aspect of the present invention, another method of fabricating a semiconductor device is provided. The method includes providing a semiconductor wafer having an active surface and an opposing passive surface and disposing a layer of polymer material on the passive surface. A plurality of cut lines is sawed substantially through the semiconductor wafer to define a plurality of semiconductor devices. Each cut line of the plurality exhibits a depth which does not substantially extend into the layer of polymer. A force is then applied to the semiconductor wafer to fracture the polymer layer along a plurality of lines which substantially corresponds with the plurality of cut lines.
  • BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWINGS
  • The foregoing and other advantages of the invention will become apparent upon reading the following detailed description and upon reference to the drawings in which:
  • FIG. 1 is a perspective view of a semiconductor wafer containing an array of electronic devices;
  • FIG. 2A is a partial sectional view of the wafer shown in FIG. 1 having conductive bumps attached in a direct bump configuration;
  • FIG. 2B is a partial sectional view of a wafer having a redistribution layer and associated conductive bumps;
  • FIG. 3 is a partial sectional view of a wafer subsequent to a back-grinding operation in accordance with an aspect of the present invention;
  • FIG. 4 is a partial sectional view of a wafer having a backside passivation layer formed on a passive surface thereof in accordance with an aspect of the present invention;
  • FIG. 5 is a side view of a wafer attached to a frame membrane of a wafer frame;
  • FIG. 6 is a partial sectional view of a wafer attached to a wafer frame and after an initial dicing operation;
  • FIG. 7 is a partial sectional view of a wafer attached to a wafer frame and after a secondary dicing operation;
  • FIGS. 8A and 8B show a partial sectional view and a top view, respectively, of a diced wafer on a stretched frame membrane;
  • FIG. 9 is a partial sectional view of a diced wafer including active surface and side edge passivation layers formed on the individual devices thereof;
  • FIG. 10 is a partial sectional view showing the semiconductor dice after removing a portion of the active surface passivation layer to expose the conductive bumps; and
  • FIG. 11 is a side view showing a semiconductor die attached to a carrier substrate in a flip-chip orientation.
  • DETAILED DESCRIPTION OF THE INVENTION
  • The following embodiments of the present invention are provided as examples to assist in a thorough understanding of the present invention. It should be apparent, however, that various additions, modifications and combinations of the embodiments are within the scope of the present invention. In the accompanying drawings, various aspects of the present invention are illustrated to more clearly show the wafer-level packaging structures and methods for their formation. Common elements of the illustrated embodiments are designated with like reference numerals. The drawings are not meant to be illustrative of actual views of any particular portion of a wafer-level packaging structure, but are merely idealized schematic representations that are employed to more clearly and fully depict the invention in connection with the following description.
  • Referring to FIG. 1, a semiconductor wafer 100 is shown, which contains an array of semiconductor devices 102 formed thereon and defined by a plurality of streets or street lines 104. The array of semiconductor devices 102 may be conventionally fabricated as circuit layers (not shown) on and/or extending into one side of wafer 100, forming an active surface 106 of the wafer 100 and, therefore, semiconductor devices 102 formed thereon. The opposite side or backside may remain free of circuitry, leaving a passive surface 108 comprised generally of the semiconductor material of wafer 100. In this manner, many semiconductor devices 102 may be formed and processed substantially simultaneously for subsequent separation into individual or discrete semiconductor dice as will be described in further detail below. Bond pads 112 are also formed on the active surface 106 of each individual or discrete semiconductor device 102 for electrical interconnection between an individual semiconductor device 102 and, for example, a carrier substrate or other electronic device.
  • A passivation layer 114 may be disposed on the active surface 106 during wafer fabrication. The passivation layer 114 may be formed of, for example, silicon-based materials such as silicon oxides or silicon nitrides, which may be deposited by conventional sputtering or chemical vapor deposition (CVD) processes. The bond pads 112 may be exposed through the passivation layer 114, such as by an etching process.
  • Referring to FIG. 2A, a partial sectional view of the wafer 100 and, more particularly, a semiconductor device 102 thereof is shown. Conductive bumps 116 are formed on active surface 106 of wafer 100 in a direct bump configuration over bond pads 112 of the semiconductor device 102. A second passivation layer 118 may be deposited over passivation layer 114, and an under-bump metallization (UBM) 120 may be formed on bond pads 112 and overlap a portion of first and second passivation layers 114, 118. Conductive bumps 116 are then attached to UBM 120 directly over bond pads 112, which may include one or more layers of solder-wettable material or other barrier layers of material thereon. Conductive bumps 116 may be formed using known techniques such as screen printing or by ball bumping with wire bonding equipment. Exemplary materials for conductive bumps 116 may include gold, eutectic tin/lead solder, and conductive or conductor-filled epoxies. Furthermore, while described in terms of bumps, it should be understood that conductive bumps 116 could be configured as balls, columns, pillars, or other desired geometrical configurations.
  • Referring briefly to FIG. 2B, a partial sectional view of a semiconductor device 102′ according to another embodiment of the present invention is shown. A redistribution layer (RDL) may be used to relocate connection points for the bond pads 112 of the individual semiconductor device 102′. This may be required when bond pads 112 of a semiconductor device 102′ are not configured in a suitable pattern for attachment or are too closely spaced to allow effective formation of conductive bumps 116. Thus, subsequent to depositing second passivation layer 118, redistribution circuits 122 may be formed thereon. Redistribution circuits 122 may be deposited as a layer of metal, polysilicon or other conductive material on second passivation layer 118 and etched to form a desired circuit pattern. Next, a third passivation layer 124 may be deposited over redistribution circuits 122 using similar materials and deposition techniques as with first and second passivation layers 114, 118. The third passivation layer 124 may then be etched to expose new bump connection locations 126 on redistribution circuits 122. A layer of UBM 120′ may be formed to cover bump connection locations 126 and overlap a portion of third passivation layer 124, and conductive bumps 116 are attached to UBM 120′ directly over bump connection locations 126.
  • While the presently disclosed invention may be practiced in conjunction with either of the embodiments shown and described with respect to FIGS. 2A and 2B, subsequent discussion of the invention will assume reference to the embodiment shown and described with respect to FIG. 2A for purposes of simplicity and clarity.
  • Referring to both FIGS. 2A and 3, FIG. 3 shows a partial sectional view of the wafer 100 at a further stage of fabrication as compared to that shown in FIG. 2A. Once conductive bumps 116 are added to active surface 106, the wafer 100 may be subjected to a back-grinding process or a chemical-mechanical planarization process to remove a portion of material from the passive or backside surface 108 of the wafer 100.
  • In removing such material, the wafer 100 may be secured in an appropriate frame member or other retaining apparatus (not shown) with the active surface 106 of the wafer 100 being secured on a surface of a retaining apparatus so that passive or backside surface 108 of the wafer 100 faces upwardly. The wafer 100 may be held in any suitable, known manner to the retaining surface such as, for example, by an adhesive bond, with clamping structures, by drawing a vacuum between active surface 106 and the retaining surface or any suitable combination thereof.
  • A back-grinding process or chemical-mechanical planarization process may then be employed to remove excess semiconductor material from passive or backside surface 108 of the wafer 100, thereby reducing the thickness of wafer 100 to a desired overall thickness and effectively exposing a new passive or backside surface 108′. The thinning of the wafer 100 may be desirable in order to minimize the final package size. Additionally, such material removal may reduce the time and expense associated with sawing the wafer 100 during subsequent dicing and singulation of the individual semiconductor devices 102. Moreover, thinning of the wafer 100 using a suitable process helps to remove undesirable contaminants which may have been introduced into passive or backside surface 108 of the wafer 100 during fabrication of the semiconductor device 102.
  • As will be appreciated and understood by those of ordinary skill in the art, several types of processes are available to perform the thinning of the wafer 100. For example, a mechanical grinding process, a mechanical planarization process, a chemical-mechanical planarization process (CMP) or a chemical planarization process could be used to remove material from passive or backside surface 108 of the wafer 100. More specifically, a grinding wheel may be applied to passive or backside surface 108 of the wafer 100 to abrade material therefrom. Alternatively, passive or backside surface 108 of the wafer 100 could be chemically etched to remove material.
  • Referring now to FIG. 4, after material has been removed from the passive or backside surface 108 (FIG. 2A) of the wafer 100 to a desired thickness, a coating is applied to the newly formed passive or backside surface 108′ to form a backside passivation layer 130. In one embodiment, it may be desirable to form the backside passivation layer 130 as a layer of polymer or epoxy applied to passive or backside surface 108′ using conventional processes, such as spin coating. However, the backside passivation layer 130 could also comprise a suitable layer of glass applied by spin, dip or flow coating, or it could comprise a layer of silicon nitride or silicon oxide deposited by sputtering or CVD. Formation of the backside passivation layer 130 may be accomplished while wafer 100 remains attached to the retaining apparatus (not shown) which is used in conjunction with the removal of material from the passive or backside surface 108. Of course, the process of foaming the backside passivation layer 130 may be carried out at a location separate from that of the material removal operation if so desired.
  • Referring now to FIG. 5, a side view of the wafer 100 attached to a wafer frame 132 is shown. The wafer 100 may be held in place on the wafer frame 132 by adhesively attaching backside passivation layer 130 (FIG. 4) to a frame membrane 134 such that active surface 106 faces outward or upward. Frame membrane 134 may include, for example, an elastic film or tape formed of a material, such as a polyethylene, a flexible resin or a sheet of similarly resilient material, and be coated with an adhesive. The adhesive may, for instance, include a pressure-sensitive or UV-releasable adhesive that adheres to backside passivation layer 130 without forming a permanent bond. Once attached to wafer frame 132, the wafer 100 may be diced by cutting into active surface 106 along street lines 104 (shown as dashed lines in FIG. 5) running between adjacent semiconductor devices 102. A conventional wafer saw may be used for this operation as will be appreciated by those of ordinary skill in the art.
  • In dicing the wafer 100, a wafer saw (not shown) may cut completely through the wafer 100 without cutting the frame membrane 134. However, the wafer saw need not cut entirely through the wafer 100 in all cases. For example, as discussed above, while the active surface 106 and various passivation layers 118, 124 and UBM 120 (FIG. 2A) may be formed of materials conducive to wafer sawing, the backside passivation layer 130 (FIG. 4) may be formed of a polymer material which tends to gum-up and reduce the efficiency and accuracy of the wafer saw. Thus, if the backside passivation layer 130 is formed as a polymer layer, the cutting depth of the wafer saw may be reduced such that backside passivation layer 130 is not cut, such as is shown in FIG. 6. A force may subsequently be applied to the wafer 100 such as with rollers or other known mechanisms (not shown) so as to sever, fracture, crack or break the backside passivation layer 130 along the cuts corresponding with the street lines 104 and separate the individual semiconductor devices 102, forming individual sidewalls 138 thereon as shown in FIG. 7. In this manner, the wafer saw does not have to cut any polymer material and may remain free from gumming.
  • Referring now to FIGS. 8A and 8B, after dicing of the wafer 100 to separate the individual semiconductor devices 102, the membrane 134 may be stretched by the wafer frame 132 to displace the individual semiconductor devices 102 from one another and further expose their sidewalls 138 for subsequent coating. The membrane 134 may be stretched by laterally displacing frame members 132A-132D relative to each other such as is indicated by directional arrows 139.
  • As shown in FIG. 9, while still attached to the frame membrane 134, a further protective coating may be applied to the individual semiconductor devices 102 and thereby form an active surface passivation layer 140 and side edge passivation layers 142. In one embodiment of the present invention, the semiconductor devices 102 disposed on the stretched frame membrane 134 may be placed in a deposition chamber (not shown) and the active surface and side edge passivation layers 140, 142 may be formed by depositing a layer of polymer or other material on semiconductor devices 102 using CVD or atomic layer deposition (ALD) processes. As is well known in the art, in CVD processes, reactive species in a gaseous atmosphere of elevated temperature chemically react to deposit a film of material such as, for example, a polymer, onto the surfaces of the individual semiconductor devices 102. While very effective, the CVD process must be performed at relatively high temperatures and can introduce impurities from the gas atmosphere into the film of deposited material.
  • An ALD process, which is a variant of the CVD process, is a relatively new process which allows the formation of high-quality, uniform films and can generally be carried out at lower temperatures than CVD processes. In general terms, an ALD process involves depositing multiple atomic layers on a surface to form a film. Each layer is formed by chemisorption from a precursor gas. A series of reactive precursors is injected into a deposition chamber with an inert gas purge between the introduction of each reactive precursor. A more detailed discussion of ALD processes is presented in U.S. Pat. No. 6,387,185 to Doering et al., the disclosure of which is incorporated herein in its entirety by reference. The deposition rate of ALD is slower than that of CVD, and the selected deposition technique will be selected accordingly based on process considerations as to which benefits are more desirable. It should be understood that CVD and ALD processes are exemplary deposition methods and that other known methods such as, for example, sputtering, may be used as well.
  • Furthermore, in another embodiment of the present invention, active surface and side edge passivation layers 140, 142 may be formed by spraying a layer of epoxy onto the individual semiconductor devices 102 in an aerosolized form by a dispensing nozzle as will be appreciated by those of ordinary skill in the art.
  • Referring now to FIG. 10, once active surface and side edge passivation layers 140, 142 are formed, a portion of active surface passivation layer 140 is removed from the individual semiconductor devices 102 to expose a portion of the conductive bumps 116 formed thereon. This operation may also be completed while the individual semiconductor devices 102 remain attached to frame membrane 134. The removal of the portion of active surface passivation layer 140 may be achieved through etching, for example, by a dry plasma etch process or by a wet etching process, such as by dipping the active surface passivation layer 140 into a wet etch solution. Alternatively, the removal may be achieved through a mechanical abrasion process, optionally assisted by a chemical agent, such as by the CMP process described above in relation to the back-grinding operation. The result is a plurality of wafer-level or chip-scale semiconductor packages 150 having passivation layers 130, 140 and 142 which hermetically seal its associated semiconductor device 102 from environmental conditions. It is noted that the side edge passivation layers 142 overlap the edge of the backside passivation layer 130 to form such a seal.
  • At this point, the plurality of individual semiconductor packages 150 may be removed from the frame membrane 134 for subsequent attachment to circuitry on a carrier substrate or other device such as by TAB or flip-chip bonding. For example, as illustrated in FIG. 11, a memory device 152 may be formed by electrically coupling the conductive bumps 116 of an individual semiconductor package 150 with a carrier substrate 154 by flip-chip bonding to the contact pads 156 formed on the surface of the carrier substrate 154. Such a memory device may be utilized in a computing system, including, for example, a central processing unit operably coupled with the memory device 152, and also coupled with one or more appropriate input devices (e.g., mouse, keyboard, hard drive, etc.) and one or more output devices (e.g., monitor, printer, etc.).
  • The above-illustrated embodiments of the present invention, and variations thereof, provide wafer-level or chip-scale packaging for semiconductor dice, including sealing of the dice from environmental elements, using a fewer number of fabrication steps and processing time therefor. Although the present invention has been depicted and described with respect to the illustrated embodiments, various additions, deletions and modifications are contemplated within its scope. The scope of the invention is, therefore, indicated by the appended claims rather than the foregoing description. Further, all changes which may fall within the meaning and range of equivalency of the claims and elements and features thereof are to be embraced within their scope.

Claims (20)

1. A semiconductor package, comprising:
a semiconductor device including an active surface, a back surface, and a side edge extending from the active surface to the back surface;
at least one bond pad on the active surface of the semiconductor device;
a first passivation material over the active surface of the semiconductor device, the at least one bond pad being exposed through the first passivation material;
a conductive bump secured on the at least one bond pad; and
a second passivation material over the first passivation material, the side edge of the semiconductor device, and at least substantially surrounding the conductive bump.
2. The semiconductor package of claim 1, wherein a portion of the conductive bump is exposed through the second passivation material.
3. The semiconductor package of claim 2, wherein the portion of the conductive bump exposed through the second passivation material is substantially flush with the second passivation material.
4. The semiconductor package of claim 1, wherein the first passivation material and the second passivation material comprise a silicon oxide material or a silicon nitride material.
5. The semiconductor package of claim 1, further comprising a third passivation material formed on the back surface of the semiconductor device.
6. The semiconductor package of claim 5, wherein the semiconductor device is substantially hermetically sealed by the first passivation material, the second passivation material, and the third passivation material.
7. The semiconductor package of claim 5, wherein the third passivation material comprises a polymer material, an epoxy material, a glass material, a silicon nitride material, or a silicon oxide material.
8. A packaged semiconductor device, comprising:
a semiconductor device having an active surface, a back side, and side edges extending from the active surface to the back side;
a plurality of bond pads on the active surface;
a first passivation material on the active surface exposing the plurality of bond pads;
a plurality of conductive bumps, one conductive bump of the plurality of conductive bumps over each bond pad of the plurality of bond pads;
a second passivation material over the first passivation material, the plurality of conductive bumps, and the side edges of the semiconductor device.
9. The packaged semiconductor device of claim 8, wherein a portion of at least one conductive bump of the plurality of conductive bumps is exposed through the second passivation material.
10. The packaged semiconductor device of claim 8, further comprising a third passivation material on the back side of the semiconductor device.
11. The packaged semiconductor device of claim 10, wherein the second passivation material on the side edges of the semiconductor device overlaps an edge of the third passivation material on the back side of the semiconductor device.
12. The packaged semiconductor device of claim 10, wherein a portion of the second passivation material on the side edges of the semiconductor device is adjacent to the third passivation material.
13. The semiconductor package of claim 8, further comprising a layer of under-bump metallization between each conductive bump of the plurality of conductive bumps and a corresponding bond pad of the plurality of bond pads on the semiconductor device.
14. A memory device, comprising:
a semiconductor device;
a first passivation material on a back side of the semiconductor device;
a second passivation material on an active surface of the semiconductor device;
a third passivation material on side edges of the semiconductor device extending from the first passivation material to the second passivation material; and
a carrier substrate electrically coupled to the semiconductor device.
15. The memory device of claim 14, further comprising an additional passivation material disposed between the active surface of the semiconductor device and the second passivation material.
16. The memory device of claim 14, further comprising a plurality of conductive bumps on the active surface of the semiconductor device.
17. The memory device of claim 16, wherein a portion of each of the conductive bumps of the plurality of conductive bumps is exposed through the second passivation material.
18. The memory device of claim 17, wherein the carrier substrate electrically coupled to the semiconductor device comprises a flip-chip arrangement wherein the plurality of conductive bumps are electrically coupled to the carrier substrate.
19. The memory device of claim 18, wherein the carrier substrate comprises a plurality of contact pads.
20. The memory device of claim 14, wherein the second passivation material and the third passivation material are congruent.
US12/650,179 2004-01-29 2009-12-30 Apparatus for use in semiconductor wafer processing for laterally displacing individual semiconductor devices away from one another Abandoned US20100102433A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/650,179 US20100102433A1 (en) 2004-01-29 2009-12-30 Apparatus for use in semiconductor wafer processing for laterally displacing individual semiconductor devices away from one another

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/767,952 US7169691B2 (en) 2004-01-29 2004-01-29 Method of fabricating wafer-level packaging with sidewall passivation and related apparatus
US11/409,350 US7656012B2 (en) 2004-01-29 2006-04-21 Apparatus for use in semiconductor wafer processing for laterally displacing individual semiconductor devices away from one another
US12/650,179 US20100102433A1 (en) 2004-01-29 2009-12-30 Apparatus for use in semiconductor wafer processing for laterally displacing individual semiconductor devices away from one another

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/409,350 Continuation US7656012B2 (en) 2004-01-29 2006-04-21 Apparatus for use in semiconductor wafer processing for laterally displacing individual semiconductor devices away from one another

Publications (1)

Publication Number Publication Date
US20100102433A1 true US20100102433A1 (en) 2010-04-29

Family

ID=34807775

Family Applications (3)

Application Number Title Priority Date Filing Date
US10/767,952 Active 2024-08-18 US7169691B2 (en) 2004-01-29 2004-01-29 Method of fabricating wafer-level packaging with sidewall passivation and related apparatus
US11/409,350 Active 2024-10-19 US7656012B2 (en) 2004-01-29 2006-04-21 Apparatus for use in semiconductor wafer processing for laterally displacing individual semiconductor devices away from one another
US12/650,179 Abandoned US20100102433A1 (en) 2004-01-29 2009-12-30 Apparatus for use in semiconductor wafer processing for laterally displacing individual semiconductor devices away from one another

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US10/767,952 Active 2024-08-18 US7169691B2 (en) 2004-01-29 2004-01-29 Method of fabricating wafer-level packaging with sidewall passivation and related apparatus
US11/409,350 Active 2024-10-19 US7656012B2 (en) 2004-01-29 2006-04-21 Apparatus for use in semiconductor wafer processing for laterally displacing individual semiconductor devices away from one another

Country Status (1)

Country Link
US (3) US7169691B2 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130115451A (en) * 2012-04-12 2013-10-22 삼성전자주식회사 Semiconductor memory modules and methods of fabricating the same
US20150115417A1 (en) * 2012-09-11 2015-04-30 Infineon Technologies Ag Methods for manufacturing a chip arrangement, methods for manufacturing a chip package, a chip package and chip arrangements
US20160005712A1 (en) * 2014-03-14 2016-01-07 Texas Instruments Incorporated Structure and method of packaged semiconductor devices with bent-lead qfn leadframes
US9748160B2 (en) 2015-10-16 2017-08-29 Samsung Electronics Co., Ltd. Semiconductor package, method of fabricating the same, and semiconductor module
WO2018031457A1 (en) * 2016-08-08 2018-02-15 Invensas Corporation Warpage balancing in thin packages

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050161814A1 (en) 2002-12-27 2005-07-28 Fujitsu Limited Method for forming bumps, semiconductor device and method for manufacturing same, substrate processing apparatus, and semiconductor manufacturing apparatus
JP4241302B2 (en) * 2003-09-30 2009-03-18 株式会社ルネサステクノロジ Manufacturing method of semiconductor device
US7169691B2 (en) * 2004-01-29 2007-01-30 Micron Technology, Inc. Method of fabricating wafer-level packaging with sidewall passivation and related apparatus
JP4885426B2 (en) * 2004-03-12 2012-02-29 ルネサスエレクトロニクス株式会社 Semiconductor memory device, semiconductor device and manufacturing method thereof
TWI234234B (en) * 2004-08-09 2005-06-11 Touch Micro System Tech Method of segmenting a wafer
US7364983B2 (en) * 2005-05-04 2008-04-29 Avery Dennison Corporation Method and apparatus for creating RFID devices
US20060271199A1 (en) * 2005-05-20 2006-11-30 Johnson Lanny L Navigational markers in implants
TWI267133B (en) * 2005-06-03 2006-11-21 Touch Micro System Tech Method of segmenting a wafer
KR100660868B1 (en) * 2005-07-06 2006-12-26 삼성전자주식회사 Semiconductor package with molded back side and method for fabricating the same
US20070275540A1 (en) * 2006-05-24 2007-11-29 Hackitt Dale A Backside via formation prior to die attachment
KR100762423B1 (en) 2006-06-27 2007-10-02 박영진 Semiconductor package and method of manufacturing the same
JP2008016508A (en) * 2006-07-03 2008-01-24 Nec Electronics Corp Semiconductor device and its fabrication process
KR100784388B1 (en) * 2006-11-14 2007-12-11 삼성전자주식회사 Semiconductor package and method for manufacturing the same
US20080277652A1 (en) * 2007-02-22 2008-11-13 Nitto Denko Corporation Carbon-containing semiconducting devices and methods of making thereof
US7851333B2 (en) * 2007-03-15 2010-12-14 Infineon Technologies Ag Apparatus comprising a device and method for producing it
SG147330A1 (en) * 2007-04-19 2008-11-28 Micron Technology Inc Semiconductor workpiece carriers and methods for processing semiconductor workpieces
TWI353644B (en) * 2007-04-25 2011-12-01 Ind Tech Res Inst Wafer level packaging structure
DE102007033242A1 (en) * 2007-07-12 2009-01-15 Jenoptik Automatisierungstechnik Gmbh Method and device for separating a plane plate made of brittle material into several individual plates by means of laser
US8017451B2 (en) 2008-04-04 2011-09-13 The Charles Stark Draper Laboratory, Inc. Electronic modules and methods for forming the same
US8273603B2 (en) 2008-04-04 2012-09-25 The Charles Stark Draper Laboratory, Inc. Interposers, electronic modules, and methods for forming the same
US20100109156A1 (en) * 2008-11-04 2010-05-06 Advanced Chip Engineering Technology Inc. Back side protective structure for a semiconductor package
US7994646B2 (en) * 2008-12-17 2011-08-09 Infineon Technologies Ag Semiconductor device
US8062958B2 (en) * 2009-04-01 2011-11-22 Micron Technology, Inc. Microelectronic device wafers and methods of manufacturing
EP2446478B1 (en) * 2009-06-25 2018-09-12 IMEC vzw Biocompatible packaging
US20110012239A1 (en) * 2009-07-17 2011-01-20 Qualcomm Incorporated Barrier Layer On Polymer Passivation For Integrated Circuit Packaging
US9620469B2 (en) 2013-11-18 2017-04-11 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming post-passivation interconnect structure
JP5669001B2 (en) * 2010-07-22 2015-02-12 日本電気硝子株式会社 Glass film cleaving method, glass roll manufacturing method, and glass film cleaving apparatus
US8242012B2 (en) 2010-07-28 2012-08-14 International Business Machines Corporation Integrated circuit structure incorporating a conductor layer with both top surface and sidewall passivation and a method of forming the integrated circuit structure
US8802545B2 (en) * 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9202714B2 (en) * 2012-04-24 2015-12-01 Micron Technology, Inc. Methods for forming semiconductor device packages
US8853859B2 (en) * 2012-05-04 2014-10-07 Nxp B.V. Passivation for wafer level—chip-scale package devices
US10163828B2 (en) * 2013-11-18 2018-12-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and fabricating method thereof
US10573610B2 (en) 2014-05-19 2020-02-25 Catlam, Llc Method for wafer level packaging
WO2016031684A1 (en) * 2014-08-29 2016-03-03 住友ベークライト株式会社 Production method for semiconductor device, and semiconductor device
DE102014117594A1 (en) * 2014-12-01 2016-06-02 Infineon Technologies Ag Semiconductor package and method for its production
CN104851860B (en) 2015-04-30 2018-03-13 华为技术有限公司 A kind of integrated circuit lead and manufacture method
KR101765266B1 (en) 2015-09-08 2017-08-04 (주)플렉스컴 Flexible semiconductor package having elastic spring for connecting at least two molds
US9806034B1 (en) * 2016-06-16 2017-10-31 Nexperia B.V. Semiconductor device with protected sidewalls and methods of manufacturing thereof
US10849233B2 (en) 2017-07-10 2020-11-24 Catlam, Llc Process for forming traces on a catalytic laminate
CN106449533A (en) * 2016-12-08 2017-02-22 华天科技(昆山)电子有限公司 Chip multi-facet package protective structure and manufacture method thereof
US10515837B2 (en) * 2017-04-13 2019-12-24 Raytheon Company Method of wafer bonding of dissimilar thickness die
US10349520B2 (en) 2017-06-28 2019-07-09 Catlam, Llc Multi-layer circuit board using interposer layer and conductive paste
US10765012B2 (en) 2017-07-10 2020-09-01 Catlam, Llc Process for printed circuit boards using backing foil
US10827624B2 (en) 2018-03-05 2020-11-03 Catlam, Llc Catalytic laminate with conductive traces formed during lamination
US20220359258A1 (en) 2020-01-28 2022-11-10 Tdk Electronics Ag Method of Manufacturing and Passivating a Die
KR20210120221A (en) 2020-03-26 2021-10-07 삼성전자주식회사 Semiconductor stack and manufacturing method thereof
CN113517205A (en) * 2020-04-27 2021-10-19 台湾积体电路制造股份有限公司 Semiconductor device and method of forming the same
CN111952414B (en) * 2020-08-21 2023-02-28 晶科绿能(上海)管理有限公司 Post-cutting passivation method of silicon-based semiconductor device and silicon-based semiconductor device

Citations (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3924323A (en) * 1973-04-30 1975-12-09 Rca Corp Method of making a multiplicity of multiple-device semiconductor chips and article so produced
US4733289A (en) * 1980-04-25 1988-03-22 Hitachi, Ltd. Resin-molded semiconductor device using polyimide and nitride films for the passivation film
US5136364A (en) * 1991-06-12 1992-08-04 National Semiconductor Corporation Semiconductor die sealing
US5389182A (en) * 1993-08-02 1995-02-14 Texas Instruments Incorporated Use of a saw frame with tape as a substrate carrier for wafer level backend processing
US5451550A (en) * 1991-02-20 1995-09-19 Texas Instruments Incorporated Method of laser CVD seal a die edge
US5682065A (en) * 1996-03-12 1997-10-28 Micron Technology, Inc. Hermetic chip and method of manufacture
US5742094A (en) * 1993-01-25 1998-04-21 Intel Corporation Sealed semiconductor chip
US5851911A (en) * 1996-03-07 1998-12-22 Micron Technology, Inc. Mask repattern process
US5933713A (en) * 1998-04-06 1999-08-03 Micron Technology, Inc. Method of forming overmolded chip scale package and resulting product
US5956605A (en) * 1996-09-20 1999-09-21 Micron Technology, Inc. Use of nitrides for flip-chip encapsulation
US6008070A (en) * 1998-05-21 1999-12-28 Micron Technology, Inc. Wafer level fabrication and assembly of chip scale packages
US6013953A (en) * 1997-01-16 2000-01-11 Nec Corporation Semiconductor device with improved connection reliability
US6046071A (en) * 1993-06-01 2000-04-04 Mitsubishi Denki Kabushiki Kaisha Plastic molded semiconductor package and method of manufacturing the same
US6063646A (en) * 1998-10-06 2000-05-16 Japan Rec Co., Ltd. Method for production of semiconductor package
US6074896A (en) * 1997-08-20 2000-06-13 Micron Technology, Inc. Method of processing semiconductor material wafers and method of forming flip chips and semiconductor chips
US6189591B1 (en) * 1997-11-19 2001-02-20 Shibaura Mechatronics Corporation Wafer sheet expanding apparatus and pellet bonding apparatus using thereof
US6251703B1 (en) * 1998-12-14 2001-06-26 Ela Medical S.A. CMS coated microelectronic component and its method of manufacture
US20010018229A1 (en) * 2000-02-28 2001-08-30 Nbc Corporation Semiconductor device and method for fabricating same
US6303977B1 (en) * 1998-12-03 2001-10-16 Texas Instruments Incorporated Fully hermetic semiconductor chip, including sealed edge sides
US20010049160A1 (en) * 2000-05-31 2001-12-06 Fujitsu Limited Semiconductor chip removing and conveying method and device
US6338980B1 (en) * 1999-08-13 2002-01-15 Citizen Watch Co., Ltd. Method for manufacturing chip-scale package and manufacturing IC chip
US6344402B1 (en) * 1999-07-28 2002-02-05 Disco Corporation Method of dicing workpiece
US20020027298A1 (en) * 2000-09-06 2002-03-07 Noriaki Sakamoto Semiconductor device and method of manufacturing the same
US6387185B2 (en) * 1997-03-03 2002-05-14 Genus, Inc. Processing chamber for atomic layer deposition processes
US6399464B1 (en) * 1998-02-23 2002-06-04 Micron Technology, Inc. Packaging die preparation
US20020072202A1 (en) * 1997-09-04 2002-06-13 Hitoshi Odashima Method and system for mounting semiconductor device, semiconductor device separating system, and method for fabricating IC card
US20020142518A1 (en) * 2001-01-24 2002-10-03 Yi-Chuan Ding Chip scale package and manufacturing method
US20020192927A1 (en) * 1999-01-19 2002-12-19 Fujitsu Limited Semiconductor device production method and apparatus
US6521996B1 (en) * 2000-06-30 2003-02-18 Intel Corporation Ball limiting metallurgy for input/outputs and methods of fabrication
US20030073263A1 (en) * 2001-10-02 2003-04-17 Takayuki Kito Method of fabricating semiconductor device
US20030071354A1 (en) * 2001-10-17 2003-04-17 Chin-Ying Tsai Wafer level chip scale package and method of fabricating the same
US6583039B2 (en) * 2001-10-15 2003-06-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a bump on a copper pad
US20030199159A1 (en) * 2000-09-18 2003-10-23 Taiwan Semiconductor Manufacturing Company Novel method for dual-layer polyimide processing on bumping technology
US6656820B2 (en) * 2000-11-08 2003-12-02 Sharp Kabushiki Kaisha Method for manufacturing a semiconductor device having a reliable thinning step
US6683379B2 (en) * 1999-09-02 2004-01-27 Matsushita Electric Industrial Co., Ltd. Semiconductor device with reinforcing resin layer
US20040023438A1 (en) * 1999-04-01 2004-02-05 Yoshimi Egawa Semiconductor package with a chip connected to a wiring substrate using bump electrodes and underfilled with sealing resin
US20040121514A1 (en) * 2002-12-23 2004-06-24 Cheol-Joon Yoo Protective tape removing apparatus and method of assembling semiconductor package using the same
US20040137699A1 (en) * 2003-01-10 2004-07-15 Tetsuya Kurosawa Semiconductor device manufacturing apparatus and semiconductor device manufacturing method for forming semiconductor chips by dividing semiconductor wafer
US20040165362A1 (en) * 2003-02-20 2004-08-26 Farnworth Warren M. Chip scale package structures and method of forming conductive bumps thereon
US6791168B1 (en) * 2002-07-10 2004-09-14 Micron Technology, Inc. Semiconductor package with circuit side polymer layer and wafer level fabrication method
US6830958B2 (en) * 2002-03-18 2004-12-14 Mitsubishi Denki Kabushiki Kaisha Method of making chip scale package
US20040253803A1 (en) * 2003-06-16 2004-12-16 Akira Tomono Packaging assembly and method of assembling the same
US20040266161A1 (en) * 2003-06-30 2004-12-30 Advanced Semiconductor Engineering, Inc. Bumping process
US6873049B2 (en) * 2003-07-31 2005-03-29 The Boeing Company Near hermetic power chip on board device and manufacturing method therefor
US20050073058A1 (en) * 2003-10-07 2005-04-07 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package bond pad having plurality of conductive members
US20050085008A1 (en) * 2003-10-21 2005-04-21 Derderian James M. Process for strengthening semiconductor substrates following thinning
US20050104221A1 (en) * 2003-11-18 2005-05-19 International Business Machines Corporation High wireability microvia substrate
US6908784B1 (en) * 2002-03-06 2005-06-21 Micron Technology, Inc. Method for fabricating encapsulated semiconductor components
US6909784B1 (en) * 1999-06-25 2005-06-21 Victor Company Of Japan, Ltd. Recording apparatus and recording method of electronic watermark
US20060005911A1 (en) * 2002-10-28 2006-01-12 Yuichi Kubo Expanding method and expanding device
US7005317B2 (en) * 2003-10-27 2006-02-28 Intel Corporation Controlled fracture substrate singulation
US7029948B2 (en) * 2001-10-09 2006-04-18 Koninklijke Philips Electronics N.V. Electrical or electronic component and method of producing same
US7091121B2 (en) * 2003-06-30 2006-08-15 Advanced Semiconductor Engineering, Inc. Bumping process
US7129150B2 (en) * 2003-03-11 2006-10-31 Disco Corporation Method of dividing a semiconductor wafer
US7169691B2 (en) * 2004-01-29 2007-01-30 Micron Technology, Inc. Method of fabricating wafer-level packaging with sidewall passivation and related apparatus
US7176572B2 (en) * 2002-10-15 2007-02-13 Seiko Epson Corporation Semiconductor wafer, semiconductor device and method of manufacturing the same, circuit board, and electronic equipment
US7223634B2 (en) * 2003-07-31 2007-05-29 Seiko Epson Corporation Semiconductor device, method for manufacturing the same, circuit board, and electronic apparatus
US20070176275A1 (en) * 2006-01-27 2007-08-02 Singleton Laurence E Stack of semiconductor chips
US7358618B2 (en) * 2002-07-15 2008-04-15 Rohm Co., Ltd. Semiconductor device and manufacturing method thereof
US7498196B2 (en) * 2001-03-30 2009-03-03 Megica Corporation Structure and manufacturing method of chip scale package
US8030769B2 (en) * 2007-06-21 2011-10-04 Stats Chippac, Ltd. Grooving bumped wafer pre-underfill system

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02265258A (en) * 1989-04-05 1990-10-30 Fujitsu Ltd Dicing apparatus
JP2003124146A (en) * 2001-10-11 2003-04-25 Lintec Corp Method and device for peeling protecting sheet

Patent Citations (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3924323A (en) * 1973-04-30 1975-12-09 Rca Corp Method of making a multiplicity of multiple-device semiconductor chips and article so produced
US4733289A (en) * 1980-04-25 1988-03-22 Hitachi, Ltd. Resin-molded semiconductor device using polyimide and nitride films for the passivation film
US5451550A (en) * 1991-02-20 1995-09-19 Texas Instruments Incorporated Method of laser CVD seal a die edge
US5136364A (en) * 1991-06-12 1992-08-04 National Semiconductor Corporation Semiconductor die sealing
US5742094A (en) * 1993-01-25 1998-04-21 Intel Corporation Sealed semiconductor chip
US6046071A (en) * 1993-06-01 2000-04-04 Mitsubishi Denki Kabushiki Kaisha Plastic molded semiconductor package and method of manufacturing the same
US5389182A (en) * 1993-08-02 1995-02-14 Texas Instruments Incorporated Use of a saw frame with tape as a substrate carrier for wafer level backend processing
US5851911A (en) * 1996-03-07 1998-12-22 Micron Technology, Inc. Mask repattern process
US5682065A (en) * 1996-03-12 1997-10-28 Micron Technology, Inc. Hermetic chip and method of manufacture
US5956605A (en) * 1996-09-20 1999-09-21 Micron Technology, Inc. Use of nitrides for flip-chip encapsulation
US6013953A (en) * 1997-01-16 2000-01-11 Nec Corporation Semiconductor device with improved connection reliability
US6387185B2 (en) * 1997-03-03 2002-05-14 Genus, Inc. Processing chamber for atomic layer deposition processes
US6074896A (en) * 1997-08-20 2000-06-13 Micron Technology, Inc. Method of processing semiconductor material wafers and method of forming flip chips and semiconductor chips
US20020072202A1 (en) * 1997-09-04 2002-06-13 Hitoshi Odashima Method and system for mounting semiconductor device, semiconductor device separating system, and method for fabricating IC card
US6189591B1 (en) * 1997-11-19 2001-02-20 Shibaura Mechatronics Corporation Wafer sheet expanding apparatus and pellet bonding apparatus using thereof
US6399464B1 (en) * 1998-02-23 2002-06-04 Micron Technology, Inc. Packaging die preparation
US5933713A (en) * 1998-04-06 1999-08-03 Micron Technology, Inc. Method of forming overmolded chip scale package and resulting product
US20020058403A1 (en) * 1998-04-06 2002-05-16 Farnworth Warren M. Method of forming overmolded chip scale package and resulting product
US6008070A (en) * 1998-05-21 1999-12-28 Micron Technology, Inc. Wafer level fabrication and assembly of chip scale packages
US6063646A (en) * 1998-10-06 2000-05-16 Japan Rec Co., Ltd. Method for production of semiconductor package
US6303977B1 (en) * 1998-12-03 2001-10-16 Texas Instruments Incorporated Fully hermetic semiconductor chip, including sealed edge sides
US6251703B1 (en) * 1998-12-14 2001-06-26 Ela Medical S.A. CMS coated microelectronic component and its method of manufacture
US20020192927A1 (en) * 1999-01-19 2002-12-19 Fujitsu Limited Semiconductor device production method and apparatus
US20040023438A1 (en) * 1999-04-01 2004-02-05 Yoshimi Egawa Semiconductor package with a chip connected to a wiring substrate using bump electrodes and underfilled with sealing resin
US6909784B1 (en) * 1999-06-25 2005-06-21 Victor Company Of Japan, Ltd. Recording apparatus and recording method of electronic watermark
US6344402B1 (en) * 1999-07-28 2002-02-05 Disco Corporation Method of dicing workpiece
US6338980B1 (en) * 1999-08-13 2002-01-15 Citizen Watch Co., Ltd. Method for manufacturing chip-scale package and manufacturing IC chip
US6683379B2 (en) * 1999-09-02 2004-01-27 Matsushita Electric Industrial Co., Ltd. Semiconductor device with reinforcing resin layer
US20010018229A1 (en) * 2000-02-28 2001-08-30 Nbc Corporation Semiconductor device and method for fabricating same
US6653731B2 (en) * 2000-02-28 2003-11-25 Nec Corporation Semiconductor device and method for fabricating same
US20010049160A1 (en) * 2000-05-31 2001-12-06 Fujitsu Limited Semiconductor chip removing and conveying method and device
US6461942B2 (en) * 2000-05-31 2002-10-08 Fujitsu Limited Semiconductor chip removing and conveying method and device
US6521996B1 (en) * 2000-06-30 2003-02-18 Intel Corporation Ball limiting metallurgy for input/outputs and methods of fabrication
US20020027298A1 (en) * 2000-09-06 2002-03-07 Noriaki Sakamoto Semiconductor device and method of manufacturing the same
US20030199159A1 (en) * 2000-09-18 2003-10-23 Taiwan Semiconductor Manufacturing Company Novel method for dual-layer polyimide processing on bumping technology
US6656820B2 (en) * 2000-11-08 2003-12-02 Sharp Kabushiki Kaisha Method for manufacturing a semiconductor device having a reliable thinning step
US20020142518A1 (en) * 2001-01-24 2002-10-03 Yi-Chuan Ding Chip scale package and manufacturing method
US7498196B2 (en) * 2001-03-30 2009-03-03 Megica Corporation Structure and manufacturing method of chip scale package
US20030073263A1 (en) * 2001-10-02 2003-04-17 Takayuki Kito Method of fabricating semiconductor device
US7029948B2 (en) * 2001-10-09 2006-04-18 Koninklijke Philips Electronics N.V. Electrical or electronic component and method of producing same
US6583039B2 (en) * 2001-10-15 2003-06-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a bump on a copper pad
US20030071354A1 (en) * 2001-10-17 2003-04-17 Chin-Ying Tsai Wafer level chip scale package and method of fabricating the same
US6908784B1 (en) * 2002-03-06 2005-06-21 Micron Technology, Inc. Method for fabricating encapsulated semiconductor components
US7417325B2 (en) * 2002-03-06 2008-08-26 Micron Technology, Inc. Semiconductor component having thinned die with conductive vias configured as conductive pin terminal contacts
US6964915B2 (en) * 2002-03-06 2005-11-15 Micron Technology, Inc. Method of fabricating encapsulated semiconductor components by etching
US6830958B2 (en) * 2002-03-18 2004-12-14 Mitsubishi Denki Kabushiki Kaisha Method of making chip scale package
US6791168B1 (en) * 2002-07-10 2004-09-14 Micron Technology, Inc. Semiconductor package with circuit side polymer layer and wafer level fabrication method
US7358618B2 (en) * 2002-07-15 2008-04-15 Rohm Co., Ltd. Semiconductor device and manufacturing method thereof
US7176572B2 (en) * 2002-10-15 2007-02-13 Seiko Epson Corporation Semiconductor wafer, semiconductor device and method of manufacturing the same, circuit board, and electronic equipment
US20060005911A1 (en) * 2002-10-28 2006-01-12 Yuichi Kubo Expanding method and expanding device
US20040121514A1 (en) * 2002-12-23 2004-06-24 Cheol-Joon Yoo Protective tape removing apparatus and method of assembling semiconductor package using the same
US20040137699A1 (en) * 2003-01-10 2004-07-15 Tetsuya Kurosawa Semiconductor device manufacturing apparatus and semiconductor device manufacturing method for forming semiconductor chips by dividing semiconductor wafer
US20040165362A1 (en) * 2003-02-20 2004-08-26 Farnworth Warren M. Chip scale package structures and method of forming conductive bumps thereon
US7129150B2 (en) * 2003-03-11 2006-10-31 Disco Corporation Method of dividing a semiconductor wafer
US20040253803A1 (en) * 2003-06-16 2004-12-16 Akira Tomono Packaging assembly and method of assembling the same
US7091121B2 (en) * 2003-06-30 2006-08-15 Advanced Semiconductor Engineering, Inc. Bumping process
US20040266161A1 (en) * 2003-06-30 2004-12-30 Advanced Semiconductor Engineering, Inc. Bumping process
US6873049B2 (en) * 2003-07-31 2005-03-29 The Boeing Company Near hermetic power chip on board device and manufacturing method therefor
US7223634B2 (en) * 2003-07-31 2007-05-29 Seiko Epson Corporation Semiconductor device, method for manufacturing the same, circuit board, and electronic apparatus
US20050073058A1 (en) * 2003-10-07 2005-04-07 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package bond pad having plurality of conductive members
US20050085008A1 (en) * 2003-10-21 2005-04-21 Derderian James M. Process for strengthening semiconductor substrates following thinning
US7005317B2 (en) * 2003-10-27 2006-02-28 Intel Corporation Controlled fracture substrate singulation
US20050104221A1 (en) * 2003-11-18 2005-05-19 International Business Machines Corporation High wireability microvia substrate
US7169691B2 (en) * 2004-01-29 2007-01-30 Micron Technology, Inc. Method of fabricating wafer-level packaging with sidewall passivation and related apparatus
US20070176275A1 (en) * 2006-01-27 2007-08-02 Singleton Laurence E Stack of semiconductor chips
US8030769B2 (en) * 2007-06-21 2011-10-04 Stats Chippac, Ltd. Grooving bumped wafer pre-underfill system

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130115451A (en) * 2012-04-12 2013-10-22 삼성전자주식회사 Semiconductor memory modules and methods of fabricating the same
CN103378075A (en) * 2012-04-12 2013-10-30 三星电子株式会社 Semiconductor memory modules and methods of fabricating the same
US8749044B2 (en) * 2012-04-12 2014-06-10 Samsung Electronics Co., Ltd. Semiconductor memory modules and methods of fabricating the same
US8866295B2 (en) 2012-04-12 2014-10-21 Samsung Electronics Co., Ltd. Semiconductor memory modules and methods of fabricating the same
KR101964045B1 (en) * 2012-04-12 2019-04-01 삼성전자주식회사 Semiconductor Memory Modules and Methods of Fabricating the Same
US20150115417A1 (en) * 2012-09-11 2015-04-30 Infineon Technologies Ag Methods for manufacturing a chip arrangement, methods for manufacturing a chip package, a chip package and chip arrangements
US9576875B2 (en) * 2012-09-11 2017-02-21 Infineon Technologies Ag Methods for manufacturing a chip arrangement, methods for manufacturing a chip package, a chip package and chip arrangements
US20160005712A1 (en) * 2014-03-14 2016-01-07 Texas Instruments Incorporated Structure and method of packaged semiconductor devices with bent-lead qfn leadframes
US9748160B2 (en) 2015-10-16 2017-08-29 Samsung Electronics Co., Ltd. Semiconductor package, method of fabricating the same, and semiconductor module
WO2018031457A1 (en) * 2016-08-08 2018-02-15 Invensas Corporation Warpage balancing in thin packages
US9972582B2 (en) 2016-08-08 2018-05-15 Invensas Corporation Warpage balancing in thin packages
US10483217B2 (en) 2016-08-08 2019-11-19 Invensas Corporation Warpage balancing in thin packages

Also Published As

Publication number Publication date
US20050167799A1 (en) 2005-08-04
US7169691B2 (en) 2007-01-30
US20060197190A1 (en) 2006-09-07
US7656012B2 (en) 2010-02-02

Similar Documents

Publication Publication Date Title
US7656012B2 (en) Apparatus for use in semiconductor wafer processing for laterally displacing individual semiconductor devices away from one another
US7064010B2 (en) Methods of coating and singulating wafers
US8999756B2 (en) Method and apparatus for semiconductor device fabrication using a reconstituted wafer
US8785299B2 (en) Package with a fan-out structure and method of forming the same
US9768089B2 (en) Wafer stack protection seal
CN107464789B (en) Semiconductor device with a semiconductor device having a plurality of semiconductor chips
EP3610501B1 (en) Method of die to wafer bonding of dissimilar thickness die
US8697542B2 (en) Method for thin die-to-wafer bonding
TWI267927B (en) Method for wafer level package
US10262959B2 (en) Semiconductor devices and methods of forming thereof
US7655539B2 (en) Dice by grind for back surface metallized dies
US20170148756A1 (en) Semiconductor structure and method of manufacturing the same
US20090032871A1 (en) Integrated circuit with interconnected frontside contact and backside contact
CN102117770B (en) Forming method of support structure for supporting and holding semiconductor wafer
US9714166B2 (en) Thin film structure for hermetic sealing
US11075103B2 (en) Backside wafer alignment methods
US20210020514A1 (en) Backside metal patterning die singulation systems and related methods
US20230238327A1 (en) Thinned semiconductor package and related methods
Leib et al. Novel hermetic wafer-level-packaging technology using low-temperature passivation
US20210111330A1 (en) Package for electric device and method of manufacturing the package
CN116936379A (en) Wafer level chip packaging method and packaging structure

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION