US20100095891A1 - Method and apparatus for cleaning a cvd chamber - Google Patents

Method and apparatus for cleaning a cvd chamber Download PDF

Info

Publication number
US20100095891A1
US20100095891A1 US12/684,039 US68403910A US2010095891A1 US 20100095891 A1 US20100095891 A1 US 20100095891A1 US 68403910 A US68403910 A US 68403910A US 2010095891 A1 US2010095891 A1 US 2010095891A1
Authority
US
United States
Prior art keywords
chamber
switch
electrodes
electrode
showerhead
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/684,039
Inventor
Maosheng Zhao
Juan Carlos Rocha-Alvarez
Inna Shmurun
Soova Sen
Mao D. Lim
Shankar Venkataraman
Ju-hyung Lee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US12/684,039 priority Critical patent/US20100095891A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: VENKATARAMAN, SHANKAR, LEE, JU-HYUNG, LIM, MAO D., ROCHA-ALVAREZ, JUAN CARLOS, SEN, SOOVO, SHMURUN, INNA, ZHAO, MAOSHENG
Publication of US20100095891A1 publication Critical patent/US20100095891A1/en
Priority to US14/179,143 priority patent/US20140158048A1/en
Priority to US15/408,065 priority patent/US20170121813A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction

Definitions

  • the present invention generally relates to semiconductor substrate processing systems. More specifically, the present invention relates to methods and apparatus for performing deposition processes in semiconductor substrate processing systems.
  • CVD processes such as chemical vapor deposition (CVD) or plasma enhanced CVD processes are used to deposit films of various materials upon semiconductor substrates.
  • CVD processes are collectively referred to as CVD processes.
  • CVD processes chemical reactions used for depositing a desired material take place in an enclosed process chamber.
  • residue comprising this material, as well as by-products of the CVD process, accumulates on the internal walls and other components of the process chamber.
  • the residue builds up, as more substrates are processed in the chamber, and leads to generation of particles and other contaminants and, as such, to degradation of the deposited films. Consequently, it is recommended to clean the interior of the CVD chamber on a regular basis.
  • a cleaning gas e.g., fluorine (F) based gas
  • F fluorine
  • the cleaning gas is energized to a plasma within a remote plasma source that forms and releases into the CVD chamber free radicals and ionic species of the cleaning gas.
  • the radicals and ionic species chemically react with the residue and transform the residue into volatile compounds.
  • the volatile compounds are then evacuated from the chamber.
  • the cleaning gas is energized to the plasma inside the CVD chamber using a radio-frequency (RF) plasma source and, as such, the free radicals and ionic species of the cleaning gas can attack the residue and internal parts of the chamber both chemically and physically.
  • RF radio-frequency
  • the free radicals and ionic species of the cleaning plasma readily recombine within the CVD chamber during a cleaning procedure. Recombination of the free radicals and ionic species results in formation of reactive species that may chemically react with the material (e.g., aluminum (Al), stainless steel, and the like) of components of the CVD chamber, e.g., a gas distribution plate, a susceptor (substrate pedestal), a substrate heater, a protective lining, and the like.
  • the material e.g., aluminum (Al), stainless steel, and the like
  • components of the CVD chamber e.g., a gas distribution plate, a susceptor (substrate pedestal), a substrate heater, a protective lining, and the like.
  • a gas distribution plate e.g., aluminum (Al), stainless steel, and the like
  • a susceptor substrate pedestal
  • substrate heater e.g., a substrate heater
  • protective lining e.g., aluminum ionic bombardment
  • the present invention is a method and apparatus for cleaning a chemical vapor deposition (CVD) chamber with minimal damage to the internal parts.
  • the method uses cleaning gas energized to RF plasma in a volume separated by an electrode from a reaction volume of the chamber.
  • a source of RF power is coupled to a lid of the chamber, while a switch is used to couple a gas distribution plate to ground terminals or the source of RF power.
  • FIG. 1 depicts a schematic diagram of a plasma processing apparatus in accordance with the present invention
  • FIG. 2 depicts a flow diagram of a cleaning process in accordance with one embodiment of the present invention.
  • FIG. 3 is a table summarizing the processing parameters of one embodiment of the present invention when practiced using the apparatus of FIG. 1 .
  • the present invention is a method and apparatus for plasma cleaning, with minimal damage to the internal parts, a process chamber of a chemical vapor deposition (CVD) reactor or a plasma enhanced CVD (PECVD) reactor.
  • CVD chemical vapor deposition
  • PECVD plasma enhanced CVD
  • either reactor is referred to as a CVD reactor.
  • FIG. 1 depicts a schematic diagram of an exemplary CVD reactor 100 , which may be used to perform a cleaning process in accordance with the present invention.
  • the images in FIG. 1 are simplified for illustrative purposes and are not depicted to scale.
  • An example of the CVD reactor that may used to perform the invention is the PRODUCER® Reactor, available from Applied Materials, Inc. of Santa Clara, Calif.
  • the PRODUCER® Reactor is disclosed in commonly assigned U.S. patent No. 5,855,681, issued Jan. 5, 1999, which is incorporated herein by reference.
  • the PRODUCER® Reactor comprises a CVD chamber having two isolated processing regions. Each of the processing regions may be used to deposit dielectric and other materials.
  • FIG. 1 depicts one processing region as a process chamber 102 .
  • CVD reactors and chambers may also be used to practice the invention, e.g., the CVD chamber disclosed in commonly assigned U.S. Pat. No. 6,364,954 B2, issued Apr. 2, 2002, which is incorporated herein by reference. This chamber is available from Applied Materials, Inc. of Santa Clara, Calif. under the trademark DXZ®.
  • the reactor 100 comprises the process chamber 102 , a source 131 of radio-frequency (RF) power, a gas panel 108 , a source 136 of backside gas, a heater power supply 106 , a vacuum pump 104 , support systems 107 , and a controller 110 .
  • the reactor 100 may comprise at least one optional plasma magnetizing solenoid, an optional source of substrate RF bias, and an optional remote plasma source (all not shown).
  • the process chamber 102 generally is a vacuum vessel, which comprises a first portion 103 and a second portion 105 .
  • the first portion 103 is coupled to the vacuum pump 104 and comprises a substrate pedestal 126 , a protective lining 113 , and a sidewall 158 .
  • the second portion 105 is coupled to the gas panel 108 and comprises a lid 112 .
  • the lid 112 further comprises an optional blocking plate 164 and a gas distribution plate (showerhead) 120 , which defines a gas mixing volume 152 and a reaction volume 154 .
  • the lid 112 , the blocking plate 164 , and the showerhead 120 , as well as the sidewall 158 are formed from at least one conductive material, such as metal (e.g., aluminum (Al) and the like) or metal alloy (e.g., stainless steel and the like).
  • the substrate pedestal 126 and the protective lining 113 may be formed from or comprise sub-components that are formed from the at least one of such conductive materials.
  • the referred to components of the process chamber 102 may also comprise portions and/or sub-components formed from non-conductive materials (e.g., ceramic, polyimide, and the like) or from any combination of conductive and non-conductive materials. As such, scope of the present invention is not limited to the process chamber 102 having components formed entirely from conductive materials.
  • the substrate pedestal 126 is used to support a substrate 128 (e.g., 300 mm silicon (Si) wafer) during a CVD process.
  • the substrate pedestal 126 comprises an embedded resistive heater 130 to heat the substrate pedestal.
  • the substrate pedestal 126 may comprise a source of radiant heat (not shown), such as gas-filled lamps and the like.
  • An embedded temperature sensor 132 e.g., a thermocouple, monitors, in a conventional manner, the temperature of the substrate pedestal 126 . The measured temperature is used in a feedback loop to regulate the output of the heater power supply 106 that is coupled to the heater 130 or, alternatively, to the gas-filled lamps.
  • the support pedestal 126 further comprises a gas supply conduit 137 , which provides gas, e.g., helium, from a source 136 to the backside of the wafer 128 through grooves (not shown) in the support surface of the pedestal.
  • the gas facilitates heat exchange between the support pedestal 126 and the wafer 128 .
  • the temperature of the wafer 128 may be controlled between about 200 and 800 degrees Celsius.
  • the gas panel 108 comprises process and cleaning gases, as well as equipment for regulating the flow of each gas.
  • a process gas or gas mixture
  • a cleaning gas is delivered from the gas panel 108 into the process chamber 102 through an inlet port 160 disposed in the lid 112 .
  • gas and “gas mixture” are used interchangeably.
  • the inlet port 160 is fluidly connected to a first plenum 162 , where gases may diffuse radially across the optional blocking plate 164 , as indicated by arrows 167 .
  • the process gas and/or cleaning gas may by delivered into the process chamber 102 through a separate inlet port (not shown) in the lid or showerhead.
  • the process or cleaning gas passes through apertures 168 in the blocking plate 164 and enters a second plenum 166 that is formed between the showerhead 120 and the blocking plate 164 .
  • the showerhead 120 fluidly connects the second plenum 166 to the reaction volume 154 via a plurality of apertures 172 .
  • the showerhead 120 may comprise different zones such that various gases can be released into the reaction volume 154 at various flow rates.
  • the vacuum pump 104 is adapted to an exhaust port 186 formed in the sidewall 158 of the process chamber 102 .
  • the vacuum pump 104 is used to maintain a desired gas pressure in the process chamber 102 , as well as evacuate post-processing gases and other volatile compounds (i.e., during a cleaning process discussed below) from the process chamber.
  • the vacuum pump 104 comprises a throttle valve (not shown) to control gas conductance in a path between the pump and the chamber. Gas pressure in the process chamber 102 is monitored by a pressure sensor 118 . The measured value is used in a feedback loop to control the gas pressure during processing the wafer 128 or during the cleaning process.
  • the source 131 comprises a RF generator 134 and an associated matching network 135 .
  • the generator 134 may generally be tuned in a range from about 50 KHz to 13.56 MHz to produce up to 3000 W.
  • the source 131 i.e., the RF generator 134 and matching network 135
  • the process chamber 102 are coupled to the same ground terminal 184 , such as the sidewall 158 .
  • the ground terminal 184 may further be electrically coupled (i.e., short-circuited) to a common ground reference of a semiconductor substrate processing system, which encompasses the reactor 100 .
  • the showerhead 120 and the substrate pedestal 126 together form a pair of spaced apart electrodes.
  • gas in the reaction volume 154 is ignited into a plasma.
  • the reactor 100 is configured to perform a CVD process.
  • the RF power may be applied to the showerhead 120 , while the substrate pedestal 126 is coupled to the ground terminal 184 .
  • a ground reference 183 of the source 131 and the ground terminal 184 of the process chamber 102 are coupled together.
  • the process chamber 102 further comprises a switch 180 .
  • a common contact (i.e., contact C) of the switch 180 is coupled to the showerhead 120 , while one of selectable contacts (e.g., contact A) is coupled to the lid 112 and the other selectable contact (e.g., contact B) is coupled to the ground terminal 184 .
  • the source 131 applies RF power to the lid 112 , while the lid 112 is electrically coupled to the blocking plate 164 .
  • the showerhead 120 is electrically isolated within the second portion 105 (i.e., from the blocking plate 164 and lid 112 ) and from the first portion 103 using, e.g., isolators 174 and 176 , respectively.
  • the sidewall 158 and, optionally, the substrate pedestal 126 are electrically coupled to the connected together ground reference 183 and ground terminal 184 .
  • the isolators 174 and 176 may be conventionally formed, e.g., from at least one dielectric material such as alumina (Al 2 O 3 ), polyimide, and the like.
  • the isolators 174 and 176 are also formed such that vacuum performance of the process chamber 102 is maintained, e.g., each isolator may be adapted to O-ring or other seal generally used in a vacuumed vessel, such as the process chamber 102 , to vacuumize the interior of the vessel.
  • the switch 180 is generally a double-throw switch. Those skilled in the art will appreciate, that such connections may be performed using, e.g., two single-throw switches and the like.
  • the switch 180 When the switch 180 is set to a first position SW 1 , the switch provides a short circuit between the lid 112 (contact A) and the showerhead 120 (contact C).
  • the switch 180 When the switch 180 is set to a second position SW 2 , the switch provides a short circuit between the showerhead 120 (contact C) and the ground terminal 184 (contact B).
  • the sidewall 158 is formed from a conductive material, e.g., aluminum
  • the second position SW 2 also corresponds to a short circuit between the showerhead 120 and the sidewall 158 .
  • connections to contacts A, B, and C are provided using conductors (e.g., wires, coaxial cables, and the like) of minimal impedance and length.
  • the switch 180 may comprise more than one set of contacts such as contacts A, B, and C to enhance the operation of the switch (e.g., reduce contact resistance between contacts C and A in the first position SW 1 or between contacts or C and B the a second position SW 2 ).
  • the switch 180 may be operated manually or, alternatively, by an actuator 182 (e.g., a solenoid, linear motor, and the like), controlled, e.g., by the controller 110 .
  • the controller 110 using the actuator 182 , may set the switch 180 to the first position SW 1 , to the second position SW 2 , or trigger the switch from one such position to another.
  • the process chamber 102 is configured for performing a CVD or PECVD process. During such process, the process gas is supplied into the chamber.
  • the process chamber 102 performs a CVD process, no RF power is applied to the process chamber 102 (i.e., to the lid 112 and, respectively, to the showerhead 120 ). As such, during the CVD process, no plasma is developed in the chamber 102 .
  • the source 131 applies RF power to lid 112 (coupled further to the blocking plate 164 ) and the showerhead 120 , and, as such, energizes the process gas to a plasma in the reaction volume 154 .
  • the process chamber 102 is configured for performing a cleaning process.
  • cleaning gas is delivered into the chamber.
  • the source 131 applies RF power to the lid 112 (coupled further to the blocking plate 164 ), while the showerhead 120 is isolated from the lid and coupled to the ground terminal 184 .
  • the lid 112 (together with the blocking plate 164 ) and the showerhead 120 form a pair of spaced apart electrodes.
  • the cleaning gas is energized to a plasma in the gas mixing plenum 152 , however, no gas is energized to a plasma in the reaction volume 154 .
  • an isolator may be installed to isolate the lid 112 from the blocking plate 164 .
  • the showerhead 120 is electrically coupled to the blocking plate 164
  • the isolator 176 isolates the showerhead 120 from the first portion 103 .
  • the process gas may be energized to a plasma in the reaction volume 154 , as discussed above in reference to FIG. 1 .
  • the source 131 may energize the cleaning gas to a plasma within the first mixing plenum 162 using the blocking plate 164 as the electrode, while no gas is energized to the plasma in the reaction volume 154 or gas mixing plenum 152 .
  • the process chamber 102 also comprises conventional systems for retaining and releasing the wafer 128 , detection of an end of a process, internal diagnostics, and the like. Such systems are collectively depicted in FIG. 1 as support systems 107 .
  • the controller 110 comprises a central processing unit (CPU) 124 , a memory 116 , and a support circuit 114 .
  • the CPU 124 may be of any form of a general purpose computer processor that can be used in an industrial setting.
  • the software routines can be stored in the memory 116 , such as random access memory, read only memory, floppy or hard disk drive, or other form of digital storage.
  • the support circuit 114 is conventionally coupled to the CPU 124 and may comprise cache, clock circuits, input/output sub-systems, power supplies, and the like.
  • the software routines when executed by the CPU 124 , transform the CPU into a specific purpose computer (controller) 110 that controls the reactor 100 such that the processes are performed in accordance with the present invention.
  • the software routines may also be stored and/or executed by a second controller (not shown) that is located remotely from the reactor 100 .
  • FIG. 2 depicts a flow diagram of an exemplary embodiment of the inventive method of cleaning the chamber 102 as a method 200 .
  • the method 200 is performed after the process chamber 102 has accumulated post-CVD deposits that should be removed before further processing may be performed in the chamber.
  • the method 200 starts at step 202 and ends at step 218 .
  • a CVD (or PECVD) process is terminated in the chamber 102 .
  • Step 204 terminates supplying power from the source 131 (PECVD process) and from the heater power supply 106 .
  • the heater power supply 106 may continue applying power during the following cleaning process to maintain the substrate pedestal 126 at a predetermined temperature.
  • step 204 stops supplying the process gas and the backside gas (e.g., helium). When pressure of the backside gas behind the wafer 128 becomes approximately equal to the gas pressure in the process chamber 102 , step 204 releases the wafer 128 from the support pedestal 126 and removes the wafer out of the process chamber 102 .
  • the backside gas e.g., helium
  • Step 204 uses pump 104 to evacuate any traces of the process gas from the process chamber 102 and, as such, establishes vacuum in the chamber.
  • the switch 180 is set to the first position SW 1 , corresponding to a short circuit between the lid 112 and the showerhead 120 (described in reference to FIG. 1 above).
  • the switch 180 is set to the second position SW 2 , corresponding to a short circuit between the showerhead 120 and the ground terminal 184 , as described above in reference to FIG. 1 .
  • the cleaning gas is supplied, e.g., via the inlet port 160 , into the process chamber 102 from the gas panel 108 .
  • the cleaning gas comprises at least one gas such as nitrogen trifluoride (NF 3 ) and a carrier gas such as at least one of helium (He), argon (Ar) and the like.
  • Other cleaning gases may comprise fluorine (F 2 ), sulfur hexafluoride (SF 6 ), fluorocarbons (e.g., C 2 F 6 , C 2 F 4 , and the like), carbon tetrachloride (CCl 4 ), hexachlorocarbide (C 2 Cl 6 ), and the like.
  • step 206 additionally applies power from the heater power supply 106 to the resistive heater 130 (or an optional source of radiant heat).
  • step 208 supplies nitrogen trifluoride at a flow rate of about 500 to 6000 sccm, as well as helium at a flow rate of about 0 to 3000 sccm (i.e., a NF 3 :He flow ratio ranging from 1:0 to 1:6).
  • Step 208 also maintains gas pressure in the process chamber 102 between 1 and 6 torr and temperature of the support pedestal 126 between 200 and 450 degrees Celsius.
  • One specific recipe supplies approximately 750 sccm of NF 3 and 500 sccm of He (i.e., a NF 3 :He flow ratio of about 1:0.7), and maintains gas pressure at about 1.6 torr and temperature of the support pedestal at about 350 degrees Celsius.
  • step 208 may be performed before step 206 . Further, steps 206 and 208 may be performed contemporaneously.
  • the source 131 supplies RF power to the lid 112 , thus energizing the cleaning gas to a plasma within the gas mixing plenum 152 .
  • the plasma dissociates the cleaning gas and produces free radicals and ionic species that can effectively transform the post-CVD residue in volatile compounds.
  • the free radicals and ionic species are chemically almost inert towards the materials (e.g., aluminum) used to form internal parts of the chamber 102 (e.g., the substrate pedestal 126 , lining 113 , and the like).
  • a mixture of the free radicals and ionic species is further dispersed by the showerhead 120 into the reaction volume 154 . From the reaction volume 154 , the mixture propagates into other areas of the process chamber 102 and removes the post-CVD residue therein. A portion of the mixture also migrates into the first mixing plenum 162 and removes the residue from surfaces of the plenum.
  • the plasma of the cleaning gas is struck in close proximity to the showerhead 120 , and, as such, recombination of the free radicals and ionic species in the reaction volume 154 is minimal. Specifically, the recombination is minimal in the apertures 172 and 168 , as well as within the entire internal volume of the process chamber 102 .
  • the recombination of the free radicals and ionic species may further be reduced by controlling the power and frequency of the source 131 .
  • a level of RF power generally depends upon the thickness of accumulated post-CVD residue (deposits), chemistry of the cleaning gas, a predetermined duration of the cleaning process, a showerhead design, and the like.
  • step 210 applies about 500 to 2500 W of RF power at 13.56 MHz, while one specific recipe applies 1000 W.
  • the cleaning process is performed in the process chamber 102 .
  • reactive components of the cleaning gas i.e., free radicals and ionic species
  • etch the post-CVD deposits and transform the deposits into volatile compounds.
  • the volatile compounds are then evacuated from the chamber through the exhaust port 186 using the vacuum pump 104 .
  • a duration of step 212 continues until the deposits are removed from internal parts of the process chamber 102 .
  • the inventive method is used to clean the CVD chamber after a layer of low-k (i.e., low dielectric constant) dielectric material, such as, e.g., carbon doped silicon oxide, has been deposited upon about 2400 wafers.
  • low-k dielectric constant dielectric material such as, e.g., carbon doped silicon oxide
  • the carbon doped silicon oxide may be deposited by methods known in the art, such as methods disclosed in commonly assigned U.S. patent application Ser. No. 09/820,463, filed Mar. 28, 2001, which is incorporated herein by reference.
  • cleaning gas comprises nitrogen trifluoride and helium
  • a duration of the cleaning process of step 212 is between 2 and 6 minutes.
  • the etch rate during the cleaning process is between about 120 and 250 Angstroms/sec, while the RF power from the source 131 is controlled in a range from about 500 to 1500 W. In one embodiment, the etch rate was about 195 Angstroms/sec at 1000 W.
  • the invention substantially improved performance of a conventional cleaning process.
  • the service interval i.e., a number of wafers processed in the process chamber between two consecutive cleaning processes
  • the invention improved throughput and productivity of the CVD chamber.
  • the cleaning gas and RF power may be provided intermittently.
  • the cleaning gas and RF power are provided (i.e., active) during a first period of time and turned off (i.e., inactive) during a second period of time.
  • the cleaning process etches the deposits, transforms deposits into volatile compounds, and evacuates such compounds from the process chamber.
  • the cleaning process restores vacuum in the process chamber.
  • Such cycles of etching the post-CVD deposits and vacuum restoration are repeated until the deposits are removed from internal parts of the chamber.
  • a duration of the first period is between 2 and 6 minutes, while the second period has a duration between 0 and 6 minutes.
  • the cleaning gas and RF power are provided for about 4 minutes, and then interrupted for approximately 4 minutes, i.e., the cleaning gas and RF power are active, together, with a duty cycle of about 50%.
  • step 214 the cleaning process is terminated. Specifically, step 214 stops applying RF power from the source 131 , as well as stops supplying the cleaning gas into the process chamber 102 . As such, step 214 terminates plasma of the cleaning gas in the gas mixing plenum 152 and restores vacuum in the chamber.
  • the heater power supply 106 may continue applying power to the resistive heater 130 to maintain the substrate pedestal 126 at a predetermined temperature, or may be shut off.
  • step 216 the switch 180 is returned to the first position SW 1 . Similar to steps 206 and 208 , in an alternative embodiment, steps 214 and 216 may be performed contemporaneously. At step 218 , the method 200 ends.
  • FIG. 3 presents a table summarizing parameters through which one can practice the invention using the reactor of FIG. 1 .
  • the parameters for the embodiment of the invention presented above are summarized in FIG. 3 .
  • the process ranges and exemplary process data are also presented in FIG. 3 . It should be understood, however, that the use of a different CVD reactor or CVD process may necessitate different process parameter values and ranges.

Abstract

The present invention is a method and apparatus for cleaning a chemical vapor deposition (CVD) chamber using cleaning gas energized to a plasma in a gas mixing volume separated by an electrode from a reaction volume of the chamber. In one embodiment, a source of RF power is coupled to a lid of the chamber, while a switch is used to couple a showerhead to ground terminals or the source of RF power.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of co-pending U.S. patent application Ser. No. 12/372,312 (APPM/007660.001), filed Feb. 17, 2009, which is is a continuation of co-pending U.S. patent application Ser. No. 10/354,214 (APPM/007660), filed Jan. 27, 2003, now issued as U.S. Pat. No. 7,500,445, each of which is herein incorporated by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention generally relates to semiconductor substrate processing systems. More specifically, the present invention relates to methods and apparatus for performing deposition processes in semiconductor substrate processing systems.
  • 2. Description of the Related Art
  • In the fabrication of integrated circuits, deposition processes such as chemical vapor deposition (CVD) or plasma enhanced CVD processes are used to deposit films of various materials upon semiconductor substrates. Herein such processes are collectively referred to as CVD processes. During a CVD process, chemical reactions used for depositing a desired material take place in an enclosed process chamber. When the material is deposited on the substrate, residue comprising this material, as well as by-products of the CVD process, accumulates on the internal walls and other components of the process chamber. The residue builds up, as more substrates are processed in the chamber, and leads to generation of particles and other contaminants and, as such, to degradation of the deposited films. Consequently, it is recommended to clean the interior of the CVD chamber on a regular basis.
  • When chamber cleaning is performed, production of the integrated circuits is temporarily interrupted. As a result, productivity of the CVD process, as measured by substrate throughput, decreases. In order to increase the productivity, it is necessary to facilitate a cleaning process that increases a number of substrates that may be processed before a need in chamber cleaning arises, as well as to decrease the duration of the cleaning process.
  • Generally, two types of methods are used to clean the CVD chambers. Both methods use a cleaning gas (e.g., fluorine (F) based gas) to remove post-CVD residue from the interior of the chamber and may be performed without opening the chamber, i.e., in situ.
  • In the first cleaning method, the cleaning gas is energized to a plasma within a remote plasma source that forms and releases into the CVD chamber free radicals and ionic species of the cleaning gas. In the CVD chamber, the radicals and ionic species chemically react with the residue and transform the residue into volatile compounds. The volatile compounds are then evacuated from the chamber. One such method is disclosed in commonly assigned U.S. patent application Ser. No. 10/122,481, filed Apr. 12, 2002, which is incorporated herein by reference.
  • In the cleaning second method, the cleaning gas is energized to the plasma inside the CVD chamber using a radio-frequency (RF) plasma source and, as such, the free radicals and ionic species of the cleaning gas can attack the residue and internal parts of the chamber both chemically and physically.
  • In the prior art, the free radicals and ionic species of the cleaning plasma readily recombine within the CVD chamber during a cleaning procedure. Recombination of the free radicals and ionic species results in formation of reactive species that may chemically react with the material (e.g., aluminum (Al), stainless steel, and the like) of components of the CVD chamber, e.g., a gas distribution plate, a susceptor (substrate pedestal), a substrate heater, a protective lining, and the like. During the cleaning process, such chemical reactions, as well as physical bombardment (e.g., an ionic bombardment) of the internal parts, may cause damage to the CVD chamber. Further, in many applications, these chemical reactions can produce non-volatile residue-like deposits (e.g., aluminum fluoride (AlF3)), which also may contaminate the substrates during the following CVD processing of the substrates.
  • Therefore, there is a need in the art for a method and apparatus for cleaning a CVD chamber with minimal damage to the internal parts.
  • SUMMARY OF THE INVENTION
  • The present invention is a method and apparatus for cleaning a chemical vapor deposition (CVD) chamber with minimal damage to the internal parts. The method uses cleaning gas energized to RF plasma in a volume separated by an electrode from a reaction volume of the chamber. In one embodiment, a source of RF power is coupled to a lid of the chamber, while a switch is used to couple a gas distribution plate to ground terminals or the source of RF power.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The teachings of the present invention can be readily understood by considering the following detailed description in conjunction with the accompanying drawings, in which:
  • FIG. 1 depicts a schematic diagram of a plasma processing apparatus in accordance with the present invention;
  • FIG. 2 depicts a flow diagram of a cleaning process in accordance with one embodiment of the present invention; and
  • FIG. 3 is a table summarizing the processing parameters of one embodiment of the present invention when practiced using the apparatus of FIG. 1.
  • To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures.
  • It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • DETAILED DESCRIPTION
  • The present invention is a method and apparatus for plasma cleaning, with minimal damage to the internal parts, a process chamber of a chemical vapor deposition (CVD) reactor or a plasma enhanced CVD (PECVD) reactor. Herein either reactor is referred to as a CVD reactor.
  • FIG. 1 depicts a schematic diagram of an exemplary CVD reactor 100, which may be used to perform a cleaning process in accordance with the present invention. The images in FIG. 1 are simplified for illustrative purposes and are not depicted to scale. An example of the CVD reactor that may used to perform the invention is the PRODUCER® Reactor, available from Applied Materials, Inc. of Santa Clara, Calif. The PRODUCER® Reactor is disclosed in commonly assigned U.S. patent No. 5,855,681, issued Jan. 5, 1999, which is incorporated herein by reference. The PRODUCER® Reactor comprises a CVD chamber having two isolated processing regions. Each of the processing regions may be used to deposit dielectric and other materials. FIG. 1 depicts one processing region as a process chamber 102.
  • Other CVD reactors and chambers may also be used to practice the invention, e.g., the CVD chamber disclosed in commonly assigned U.S. Pat. No. 6,364,954 B2, issued Apr. 2, 2002, which is incorporated herein by reference. This chamber is available from Applied Materials, Inc. of Santa Clara, Calif. under the trademark DXZ®.
  • The reactor 100 comprises the process chamber 102, a source 131 of radio-frequency (RF) power, a gas panel 108, a source 136 of backside gas, a heater power supply 106, a vacuum pump 104, support systems 107, and a controller 110. In other embodiments, the reactor 100 may comprise at least one optional plasma magnetizing solenoid, an optional source of substrate RF bias, and an optional remote plasma source (all not shown).
  • The process chamber 102 generally is a vacuum vessel, which comprises a first portion 103 and a second portion 105. In one embodiment, the first portion 103 is coupled to the vacuum pump 104 and comprises a substrate pedestal 126, a protective lining 113, and a sidewall 158. The second portion 105 is coupled to the gas panel 108 and comprises a lid 112. The lid 112 further comprises an optional blocking plate 164 and a gas distribution plate (showerhead) 120, which defines a gas mixing volume 152 and a reaction volume 154.
  • In one embodiment, the lid 112, the blocking plate 164, and the showerhead 120, as well as the sidewall 158, are formed from at least one conductive material, such as metal (e.g., aluminum (Al) and the like) or metal alloy (e.g., stainless steel and the like). Further, the substrate pedestal 126 and the protective lining 113 may be formed from or comprise sub-components that are formed from the at least one of such conductive materials. The referred to components of the process chamber 102 may also comprise portions and/or sub-components formed from non-conductive materials (e.g., ceramic, polyimide, and the like) or from any combination of conductive and non-conductive materials. As such, scope of the present invention is not limited to the process chamber 102 having components formed entirely from conductive materials.
  • The substrate pedestal 126 is used to support a substrate 128 (e.g., 300 mm silicon (Si) wafer) during a CVD process. In one embodiment, the substrate pedestal 126 comprises an embedded resistive heater 130 to heat the substrate pedestal. Alternatively, the substrate pedestal 126 may comprise a source of radiant heat (not shown), such as gas-filled lamps and the like. An embedded temperature sensor 132, e.g., a thermocouple, monitors, in a conventional manner, the temperature of the substrate pedestal 126. The measured temperature is used in a feedback loop to regulate the output of the heater power supply 106 that is coupled to the heater 130 or, alternatively, to the gas-filled lamps.
  • The support pedestal 126 further comprises a gas supply conduit 137, which provides gas, e.g., helium, from a source 136 to the backside of the wafer 128 through grooves (not shown) in the support surface of the pedestal. The gas facilitates heat exchange between the support pedestal 126 and the wafer 128. Using the backside gas, the temperature of the wafer 128 may be controlled between about 200 and 800 degrees Celsius.
  • The gas panel 108 comprises process and cleaning gases, as well as equipment for regulating the flow of each gas. In one embodiment, a process gas (or gas mixture), as well as a cleaning gas, is delivered from the gas panel 108 into the process chamber 102 through an inlet port 160 disposed in the lid 112. Herein the terms “gas” and “gas mixture” are used interchangeably. The inlet port 160 is fluidly connected to a first plenum 162, where gases may diffuse radially across the optional blocking plate 164, as indicated by arrows 167. Alternatively, the process gas and/or cleaning gas may by delivered into the process chamber 102 through a separate inlet port (not shown) in the lid or showerhead.
  • The process or cleaning gas passes through apertures 168 in the blocking plate 164 and enters a second plenum 166 that is formed between the showerhead 120 and the blocking plate 164. The showerhead 120 fluidly connects the second plenum 166 to the reaction volume 154 via a plurality of apertures 172. The showerhead 120 may comprise different zones such that various gases can be released into the reaction volume 154 at various flow rates.
  • The vacuum pump 104 is adapted to an exhaust port 186 formed in the sidewall 158 of the process chamber 102. The vacuum pump 104 is used to maintain a desired gas pressure in the process chamber 102, as well as evacuate post-processing gases and other volatile compounds (i.e., during a cleaning process discussed below) from the process chamber. In one embodiment, the vacuum pump 104 comprises a throttle valve (not shown) to control gas conductance in a path between the pump and the chamber. Gas pressure in the process chamber 102 is monitored by a pressure sensor 118. The measured value is used in a feedback loop to control the gas pressure during processing the wafer 128 or during the cleaning process.
  • The source 131 comprises a RF generator 134 and an associated matching network 135. The generator 134 may generally be tuned in a range from about 50 KHz to 13.56 MHz to produce up to 3000 W. In one embodiment, the source 131 (i.e., the RF generator 134 and matching network 135) and the process chamber 102 are coupled to the same ground terminal 184, such as the sidewall 158. The ground terminal 184 may further be electrically coupled (i.e., short-circuited) to a common ground reference of a semiconductor substrate processing system, which encompasses the reactor 100.
  • The showerhead 120 and the substrate pedestal 126 together form a pair of spaced apart electrodes. When RF power is applied to either one of such electrodes while the other one is coupled to the ground terminal 184 (e.g., the sidewall 158), gas in the reaction volume 154 is ignited into a plasma. When no RF power is provided to the showerhead 120 and the substrate pedestal 126, the reactor 100 is configured to perform a CVD process. For example, to perform a PECVD process, the RF power may be applied to the showerhead 120, while the substrate pedestal 126 is coupled to the ground terminal 184. During the PECVD process, a ground reference 183 of the source 131 and the ground terminal 184 of the process chamber 102 (e.g., sidewall 158) are coupled together.
  • To facilitate the cleaning process, the process chamber 102 further comprises a switch 180. A common contact (i.e., contact C) of the switch 180 is coupled to the showerhead 120, while one of selectable contacts (e.g., contact A) is coupled to the lid 112 and the other selectable contact (e.g., contact B) is coupled to the ground terminal 184.
  • In one embodiment, the source 131 applies RF power to the lid 112, while the lid 112 is electrically coupled to the blocking plate 164. In this embodiment, the showerhead 120 is electrically isolated within the second portion 105 (i.e., from the blocking plate 164 and lid 112) and from the first portion 103 using, e.g., isolators 174 and 176, respectively. Further, the sidewall 158 and, optionally, the substrate pedestal 126, are electrically coupled to the connected together ground reference 183 and ground terminal 184.
  • The isolators 174 and 176 may be conventionally formed, e.g., from at least one dielectric material such as alumina (Al2O3), polyimide, and the like. The isolators 174 and 176 are also formed such that vacuum performance of the process chamber 102 is maintained, e.g., each isolator may be adapted to O-ring or other seal generally used in a vacuumed vessel, such as the process chamber 102, to vacuumize the interior of the vessel.
  • The switch 180 is generally a double-throw switch. Those skilled in the art will appreciate, that such connections may be performed using, e.g., two single-throw switches and the like. When the switch 180 is set to a first position SW1, the switch provides a short circuit between the lid 112 (contact A) and the showerhead 120 (contact C). Similarly, when the switch 180 is set to a second position SW2, the switch provides a short circuit between the showerhead 120 (contact C) and the ground terminal 184 (contact B). As such, when the sidewall 158 is formed from a conductive material, e.g., aluminum, the second position SW2 also corresponds to a short circuit between the showerhead 120 and the sidewall 158.
  • For better performance, connections to contacts A, B, and C are provided using conductors (e.g., wires, coaxial cables, and the like) of minimal impedance and length. In one further embodiment, the switch 180 may comprise more than one set of contacts such as contacts A, B, and C to enhance the operation of the switch (e.g., reduce contact resistance between contacts C and A in the first position SW1 or between contacts or C and B the a second position SW2).
  • The switch 180 may be operated manually or, alternatively, by an actuator 182 (e.g., a solenoid, linear motor, and the like), controlled, e.g., by the controller 110. In the depicted embodiment, the controller 110, using the actuator 182, may set the switch 180 to the first position SW1, to the second position SW2, or trigger the switch from one such position to another.
  • When the switch 180 is set to the first position SW1, the process chamber 102 is configured for performing a CVD or PECVD process. During such process, the process gas is supplied into the chamber. When the process chamber 102 performs a CVD process, no RF power is applied to the process chamber 102 (i.e., to the lid 112 and, respectively, to the showerhead 120). As such, during the CVD process, no plasma is developed in the chamber 102. Alternatively, when the process chamber 102 performs a PECVD process, the source 131 applies RF power to lid 112 (coupled further to the blocking plate 164) and the showerhead 120, and, as such, energizes the process gas to a plasma in the reaction volume 154.
  • When the switch 180 is set to the second position SW2, the process chamber 102 is configured for performing a cleaning process. During the cleaning process, cleaning gas is delivered into the chamber. When the cleaning process is performed, the source 131 applies RF power to the lid 112 (coupled further to the blocking plate 164), while the showerhead 120 is isolated from the lid and coupled to the ground terminal 184. In this configuration, the lid 112 (together with the blocking plate 164) and the showerhead 120 form a pair of spaced apart electrodes. When the source 131 applies RF power to such electrodes, the cleaning gas is energized to a plasma in the gas mixing plenum 152, however, no gas is energized to a plasma in the reaction volume 154.
  • In one alternative embodiment (not shown), an isolator may be installed to isolate the lid 112 from the blocking plate 164. In this embodiment, the showerhead 120 is electrically coupled to the blocking plate 164, while the isolator 176 isolates the showerhead 120 from the first portion 103. During the PECVD process (i.e., when the switch 180 is set to the first position SW1 and the source 131 applies RF power to the lid 112), the process gas may be energized to a plasma in the reaction volume 154, as discussed above in reference to FIG. 1. During the cleaning process (i.e., when the switch 180 is set to the second position SW2), the source 131 may energize the cleaning gas to a plasma within the first mixing plenum 162 using the blocking plate 164 as the electrode, while no gas is energized to the plasma in the reaction volume 154 or gas mixing plenum 152.
  • The process chamber 102 also comprises conventional systems for retaining and releasing the wafer 128, detection of an end of a process, internal diagnostics, and the like. Such systems are collectively depicted in FIG. 1 as support systems 107.
  • The controller 110 comprises a central processing unit (CPU) 124, a memory 116, and a support circuit 114. The CPU 124 may be of any form of a general purpose computer processor that can be used in an industrial setting. The software routines can be stored in the memory 116, such as random access memory, read only memory, floppy or hard disk drive, or other form of digital storage. The support circuit 114 is conventionally coupled to the CPU 124 and may comprise cache, clock circuits, input/output sub-systems, power supplies, and the like.
  • The software routines, when executed by the CPU 124, transform the CPU into a specific purpose computer (controller) 110 that controls the reactor 100 such that the processes are performed in accordance with the present invention. The software routines may also be stored and/or executed by a second controller (not shown) that is located remotely from the reactor 100.
  • FIG. 2 depicts a flow diagram of an exemplary embodiment of the inventive method of cleaning the chamber 102 as a method 200. Generally, the method 200 is performed after the process chamber 102 has accumulated post-CVD deposits that should be removed before further processing may be performed in the chamber.
  • The method 200 starts at step 202 and ends at step 218.
  • At step 204, a CVD (or PECVD) process is terminated in the chamber 102. Step 204 terminates supplying power from the source 131 (PECVD process) and from the heater power supply 106. Alternatively, the heater power supply 106 may continue applying power during the following cleaning process to maintain the substrate pedestal 126 at a predetermined temperature. Further, step 204 stops supplying the process gas and the backside gas (e.g., helium). When pressure of the backside gas behind the wafer 128 becomes approximately equal to the gas pressure in the process chamber 102, step 204 releases the wafer 128 from the support pedestal 126 and removes the wafer out of the process chamber 102. Step 204 uses pump 104 to evacuate any traces of the process gas from the process chamber 102 and, as such, establishes vacuum in the chamber. During step 204, the switch 180 is set to the first position SW1, corresponding to a short circuit between the lid 112 and the showerhead 120 (described in reference to FIG. 1 above).
  • At step 206, the switch 180 is set to the second position SW2, corresponding to a short circuit between the showerhead 120 and the ground terminal 184, as described above in reference to FIG. 1.
  • At step 208, the cleaning gas is supplied, e.g., via the inlet port 160, into the process chamber 102 from the gas panel 108. In one embodiment, the cleaning gas comprises at least one gas such as nitrogen trifluoride (NF3) and a carrier gas such as at least one of helium (He), argon (Ar) and the like. Other cleaning gases may comprise fluorine (F2), sulfur hexafluoride (SF6), fluorocarbons (e.g., C2F6, C2F4, and the like), carbon tetrachloride (CCl4), hexachlorocarbide (C2Cl6), and the like. In one alternative embodiment, step 206 additionally applies power from the heater power supply 106 to the resistive heater 130 (or an optional source of radiant heat).
  • In one exemplary embodiment, step 208 supplies nitrogen trifluoride at a flow rate of about 500 to 6000 sccm, as well as helium at a flow rate of about 0 to 3000 sccm (i.e., a NF3:He flow ratio ranging from 1:0 to 1:6). Step 208 also maintains gas pressure in the process chamber 102 between 1 and 6 torr and temperature of the support pedestal 126 between 200 and 450 degrees Celsius. One specific recipe supplies approximately 750 sccm of NF3 and 500 sccm of He (i.e., a NF3:He flow ratio of about 1:0.7), and maintains gas pressure at about 1.6 torr and temperature of the support pedestal at about 350 degrees Celsius.
  • In one alternative embodiment, step 208 may be performed before step 206. Further, steps 206 and 208 may be performed contemporaneously.
  • At step 210, the source 131 supplies RF power to the lid 112, thus energizing the cleaning gas to a plasma within the gas mixing plenum 152. The plasma dissociates the cleaning gas and produces free radicals and ionic species that can effectively transform the post-CVD residue in volatile compounds. At the same time, the free radicals and ionic species are chemically almost inert towards the materials (e.g., aluminum) used to form internal parts of the chamber 102 (e.g., the substrate pedestal 126, lining 113, and the like). A mixture of the free radicals and ionic species is further dispersed by the showerhead 120 into the reaction volume 154. From the reaction volume 154, the mixture propagates into other areas of the process chamber 102 and removes the post-CVD residue therein. A portion of the mixture also migrates into the first mixing plenum 162 and removes the residue from surfaces of the plenum.
  • The plasma of the cleaning gas is struck in close proximity to the showerhead 120, and, as such, recombination of the free radicals and ionic species in the reaction volume 154 is minimal. Specifically, the recombination is minimal in the apertures 172 and 168, as well as within the entire internal volume of the process chamber 102. The recombination of the free radicals and ionic species may further be reduced by controlling the power and frequency of the source 131. A level of RF power generally depends upon the thickness of accumulated post-CVD residue (deposits), chemistry of the cleaning gas, a predetermined duration of the cleaning process, a showerhead design, and the like. Similarly, the frequency of the applied RF power may depend on the chemical composition of the deposits and chemistry of the cleaning gas. In the exemplary embodiment discussed above, step 210 applies about 500 to 2500 W of RF power at 13.56 MHz, while one specific recipe applies 1000 W.
  • At step 212, the cleaning process is performed in the process chamber 102. During step 212, reactive components of the cleaning gas (i.e., free radicals and ionic species) etch the post-CVD deposits and transform the deposits into volatile compounds. The volatile compounds are then evacuated from the chamber through the exhaust port 186 using the vacuum pump 104. A duration of step 212 continues until the deposits are removed from internal parts of the process chamber 102.
  • In one exemplary application, the inventive method is used to clean the CVD chamber after a layer of low-k (i.e., low dielectric constant) dielectric material, such as, e.g., carbon doped silicon oxide, has been deposited upon about 2400 wafers. The carbon doped silicon oxide may be deposited by methods known in the art, such as methods disclosed in commonly assigned U.S. patent application Ser. No. 09/820,463, filed Mar. 28, 2001, which is incorporated herein by reference. In the exemplary embodiment when cleaning gas comprises nitrogen trifluoride and helium, a duration of the cleaning process of step 212 is between 2 and 6 minutes. In this application, the etch rate during the cleaning process is between about 120 and 250 Angstroms/sec, while the RF power from the source 131 is controlled in a range from about 500 to 1500 W. In one embodiment, the etch rate was about 195 Angstroms/sec at 1000 W.
  • The invention substantially improved performance of a conventional cleaning process. Using the invention, the service interval (i.e., a number of wafers processed in the process chamber between two consecutive cleaning processes) was increased for this application from about 2,400 wafers to approximately 10,000 wafers. As such, the invention improved throughput and productivity of the CVD chamber.
  • In an alternative embodiment, during step 212, the cleaning gas and RF power may be provided intermittently. In this embodiment, the cleaning gas and RF power are provided (i.e., active) during a first period of time and turned off (i.e., inactive) during a second period of time. As such, during the first period, the cleaning process etches the deposits, transforms deposits into volatile compounds, and evacuates such compounds from the process chamber. Then, during the second period, the cleaning process restores vacuum in the process chamber. Such cycles of etching the post-CVD deposits and vacuum restoration are repeated until the deposits are removed from internal parts of the chamber. Generally, a duration of the first period is between 2 and 6 minutes, while the second period has a duration between 0 and 6 minutes. In one embodiment, the cleaning gas and RF power are provided for about 4 minutes, and then interrupted for approximately 4 minutes, i.e., the cleaning gas and RF power are active, together, with a duty cycle of about 50%.
  • At step 214, the cleaning process is terminated. Specifically, step 214 stops applying RF power from the source 131, as well as stops supplying the cleaning gas into the process chamber 102. As such, step 214 terminates plasma of the cleaning gas in the gas mixing plenum 152 and restores vacuum in the chamber. The heater power supply 106 may continue applying power to the resistive heater 130 to maintain the substrate pedestal 126 at a predetermined temperature, or may be shut off.
  • At step 216, the switch 180 is returned to the first position SW1. Similar to steps 206 and 208, in an alternative embodiment, steps 214 and 216 may be performed contemporaneously. At step 218, the method 200 ends.
  • FIG. 3 presents a table summarizing parameters through which one can practice the invention using the reactor of FIG. 1. The parameters for the embodiment of the invention presented above are summarized in FIG. 3. The process ranges and exemplary process data are also presented in FIG. 3. It should be understood, however, that the use of a different CVD reactor or CVD process may necessitate different process parameter values and ranges.
  • Although the forgoing discussion referred to cleaning of a CVD chamber, other process chamber can benefit from the invention. The invention can be practiced in other semiconductor processing systems wherein the processing parameters may be adjusted to achieve acceptable characteristics by those skilled in the art by utilizing the teachings disclosed herein without departing from the spirit of the invention.
  • While foregoing is directed to the illustrative embodiment of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (17)

1. A chamber for processing semiconductor substrates, comprising:
a chamber wall defining an enclosure;
a plurality of electrodes, each electrically isolated from at least one of the other electrodes, at least one of the electrodes disposed inside the enclosure; and
a switch with at least two positions, each position selectably coupling a different pair of the electrodes together.
2. The chamber of claim 1, wherein the chamber is a chamber for performing a chemical vapor deposition process or a plasma enhanced chemical vapor deposition process.
3. The chamber of claim 1, wherein at least one of the plurality of electrodes is coupled to a ground reference of the chamber.
4. The chamber of claim 1, wherein the plurality of electrodes comprises a blocking plate electrode and a showerhead electrode.
5. The system of claim 4, wherein the showerhead electrode is electrically isolated using isolators formed from ceramic or polyimide.
6. The system of claim 5, wherein the isolators are formed from Al2O3.
7. The system of claim 1, wherein the plurality of electrodes comprises a showerhead electrode and a substrate support electrode, and the switch couples the showerhead electrode to the substrate support electrode during plasma cleaning the chamber.
8. The system of claim 1, wherein the plurality of electrodes comprises a showerhead electrode and a blocking plate electrode, and the switch couples the showerhead electrode to the blocking plate electrode during processing the substrate.
9. The system of claim 1, wherein the switch is a double-throw switch having a common terminal and two or more selectable terminals.
10. The system of claim 1, wherein the switch further comprises an actuator to operate the switch.
11. A semiconductor substrate processing system comprising a chamber for processing a substrate, said chamber comprising:
a plurality of electrodes, each electrically isolated from at least one of the other electrodes, at least one of the electrodes disposed inside the enclosure, and at least one of the electrodes coupled to a source of radio-frequency power having a frequency between about 50 kHz and about 13.56 MHz and power level of at least about 500 W; and
a switch with at least two positions, each position selectably coupling a different pair of the electrodes together.
12. The system of claim 11, wherein at least one of the plurality of electrodes is a showerhead electrode electrically isolated using isolators from ceramic or polyimide.
13. The system of claim 12, wherein the isolators are formed from Al2O3.
14. The system of claim 11, wherein the plurality of electrodes comprises a showerhead electrode and a substrate support electrode, and the switch couples the showerhead electrode to the substrate support electrode during plasma cleaning the chamber.
15. The system of claim 11, wherein the plurality of electrodes comprises a showerhead electrode and a blocking plate electrode, and the switch couples the showerhead electrode to the blocking plate electrode during processing the substrate.
16. The system of claim 11, wherein the switch is a double-throw switch having a common terminal and two or more selectable terminals.
17. The system of claim 11, wherein the switch further comprises an actuator to operate the switch.
US12/684,039 2003-01-27 2010-01-07 Method and apparatus for cleaning a cvd chamber Abandoned US20100095891A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US12/684,039 US20100095891A1 (en) 2003-01-27 2010-01-07 Method and apparatus for cleaning a cvd chamber
US14/179,143 US20140158048A1 (en) 2003-01-27 2014-02-12 Method and apparatus for cleaning a cvd chamber
US15/408,065 US20170121813A1 (en) 2003-01-27 2017-01-17 Method and apparatus for cleaning a cvd chamber

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/354,214 US7500445B2 (en) 2003-01-27 2003-01-27 Method and apparatus for cleaning a CVD chamber
US12/372,312 US7654224B2 (en) 2003-01-27 2009-02-17 Method and apparatus for cleaning a CVD chamber
US12/684,039 US20100095891A1 (en) 2003-01-27 2010-01-07 Method and apparatus for cleaning a cvd chamber

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US12/372,312 Continuation US7654224B2 (en) 2003-01-27 2009-02-17 Method and apparatus for cleaning a CVD chamber

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/179,143 Continuation US20140158048A1 (en) 2003-01-27 2014-02-12 Method and apparatus for cleaning a cvd chamber

Publications (1)

Publication Number Publication Date
US20100095891A1 true US20100095891A1 (en) 2010-04-22

Family

ID=32736290

Family Applications (7)

Application Number Title Priority Date Filing Date
US10/354,214 Active 2025-04-22 US7500445B2 (en) 2003-01-27 2003-01-27 Method and apparatus for cleaning a CVD chamber
US11/424,959 Expired - Fee Related US7464717B2 (en) 2003-01-27 2006-06-19 Method for cleaning a CVD chamber
US11/426,154 Expired - Fee Related US7465357B2 (en) 2003-01-27 2006-06-23 Computer-readable medium that contains software for executing a method for cleaning a CVD chamber
US12/372,312 Expired - Lifetime US7654224B2 (en) 2003-01-27 2009-02-17 Method and apparatus for cleaning a CVD chamber
US12/684,039 Abandoned US20100095891A1 (en) 2003-01-27 2010-01-07 Method and apparatus for cleaning a cvd chamber
US14/179,143 Abandoned US20140158048A1 (en) 2003-01-27 2014-02-12 Method and apparatus for cleaning a cvd chamber
US15/408,065 Abandoned US20170121813A1 (en) 2003-01-27 2017-01-17 Method and apparatus for cleaning a cvd chamber

Family Applications Before (4)

Application Number Title Priority Date Filing Date
US10/354,214 Active 2025-04-22 US7500445B2 (en) 2003-01-27 2003-01-27 Method and apparatus for cleaning a CVD chamber
US11/424,959 Expired - Fee Related US7464717B2 (en) 2003-01-27 2006-06-19 Method for cleaning a CVD chamber
US11/426,154 Expired - Fee Related US7465357B2 (en) 2003-01-27 2006-06-23 Computer-readable medium that contains software for executing a method for cleaning a CVD chamber
US12/372,312 Expired - Lifetime US7654224B2 (en) 2003-01-27 2009-02-17 Method and apparatus for cleaning a CVD chamber

Family Applications After (2)

Application Number Title Priority Date Filing Date
US14/179,143 Abandoned US20140158048A1 (en) 2003-01-27 2014-02-12 Method and apparatus for cleaning a cvd chamber
US15/408,065 Abandoned US20170121813A1 (en) 2003-01-27 2017-01-17 Method and apparatus for cleaning a cvd chamber

Country Status (2)

Country Link
US (7) US7500445B2 (en)
WO (1) WO2004067800A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014163909A1 (en) * 2013-03-12 2014-10-09 Applied Materials, Inc. Multi-mode etch chamber source assembly
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma

Families Citing this family (187)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US7500445B2 (en) * 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
JP4294976B2 (en) * 2003-02-27 2009-07-15 東京エレクトロン株式会社 Substrate processing equipment
WO2004079813A1 (en) * 2003-03-04 2004-09-16 Hitachi Kokusai Electric Inc. Substrate processor and method of manufacturing device
JP2005033173A (en) * 2003-06-16 2005-02-03 Renesas Technology Corp Method for manufacturing semiconductor integrated circuit device
WO2005106936A1 (en) * 2004-04-30 2005-11-10 Ebara Corporation Apparatus for treating substrate
US7622005B2 (en) * 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US7572337B2 (en) * 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US20060011582A1 (en) * 2004-07-14 2006-01-19 Savas Stephen E Fast isotropic etching system and process for large, non-circular substrates
JP5044931B2 (en) * 2005-10-31 2012-10-10 東京エレクトロン株式会社 Gas supply apparatus and substrate processing apparatus
JPWO2007111348A1 (en) * 2006-03-28 2009-08-13 株式会社日立国際電気 Substrate processing equipment
US20090068844A1 (en) * 2006-04-10 2009-03-12 Solvay Fluor Gmbh Etching Process
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20080119055A1 (en) * 2006-11-21 2008-05-22 Lam Research Corporation Reducing twisting in ultra-high aspect ratio dielectric etch
US20090041925A1 (en) * 2007-06-13 2009-02-12 Advanced Refurbishment Technologies Llc System and Method for Endpoint Detection of a Process in a Chamber
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
JP5474291B2 (en) * 2007-11-05 2014-04-16 株式会社アルバック Ashing equipment
US7964040B2 (en) 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
KR20110074912A (en) * 2008-10-21 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 Plasma source for chamber cleaning and process
JP2010129666A (en) * 2008-11-26 2010-06-10 Hitachi Kokusai Electric Inc Substrate processing apparatus and method of manufacturing semiconductor device
CN101736326B (en) * 2008-11-26 2011-08-10 中微半导体设备(上海)有限公司 Capacitively coupled plasma processing reactor
EP2437850B1 (en) 2009-06-04 2014-11-19 Morgan Advanced Ceramics, Inc. Co-fired metal and ceramic composite feedthrough assemblies for use at least in implantable medical devices and methods for making the same
JP5442403B2 (en) * 2009-11-18 2014-03-12 東京エレクトロン株式会社 Substrate processing apparatus, cleaning method therefor, and recording medium recording program
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
EP2608900A4 (en) * 2010-08-25 2016-04-20 Linde Ag Chemical vapor deposition chamber cleaning with molecular fluorine
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9293305B2 (en) * 2011-10-31 2016-03-22 Lam Research Corporation Mixed acid cleaning assemblies
KR101495288B1 (en) * 2012-06-04 2015-02-24 피에스케이 주식회사 An apparatus and a method for treating a substrate
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP2015536042A (en) * 2012-09-26 2015-12-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Bottom and side plasma tuning with closed-loop control
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
CN104233234A (en) * 2013-06-17 2014-12-24 沙嫣 PECVD (plasma enhanced chemical vapor deposition) furnace with fluorine cleaning device and fluorine cleaning method of furnace
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US20150111394A1 (en) * 2013-10-23 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming uniform film on semiconductor substrate
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US20150361547A1 (en) * 2014-06-13 2015-12-17 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for cleaning chemical vapor deposition chamber
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US20180047595A1 (en) * 2015-05-22 2018-02-15 Hitachi High-Technologies Corporation Plasma processing device and plasma processing method using same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10204795B2 (en) * 2016-02-04 2019-02-12 Applied Materials, Inc. Flow distribution plate for surface fluorine reduction
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
CN105834171B (en) * 2016-05-27 2019-01-22 山东华光光电子股份有限公司 A method of corrosion cleaning being carried out to graphite pallet using MOCVD device
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10240234B2 (en) 2017-02-22 2019-03-26 Applied Materials, Inc. Gas distribution apparatus for processing chambers
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
KR102366180B1 (en) * 2017-07-04 2022-02-22 세메스 주식회사 Apparatus for treating substrate
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
KR102162379B1 (en) * 2018-01-24 2020-10-06 어플라이드 머티어리얼스, 인코포레이티드 Heated ceramic faceplate
KR102190954B1 (en) * 2018-01-24 2020-12-14 어플라이드 머티어리얼스, 인코포레이티드 High temperature faceplate with thermal choke and cooling
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
WO2020081235A1 (en) * 2018-10-16 2020-04-23 Lam Research Corporation Plasma enhanced wafer soak for thin film deposition
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
WO2020123119A1 (en) * 2018-12-10 2020-06-18 Applied Materials, Inc. Dome stress isolating layer
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2022543747A (en) * 2019-07-29 2022-10-14 アプライド マテリアルズ インコーポレイテッド Semiconductor processing chamber and method for cleaning same
US11666952B2 (en) * 2020-03-06 2023-06-06 Applied Materials, Inc. Condition selectable backside gas
CN111816541B (en) * 2020-07-22 2021-08-03 中国科学院长春光学精密机械与物理研究所 Thermal noise suppression system of low-temperature laser interferometry optical system

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4317844A (en) * 1975-07-28 1982-03-02 Rca Corporation Semiconductor device having a body of amorphous silicon and method of making the same
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
JP2681360B2 (en) * 1988-01-28 1997-11-26 富士通株式会社 Resist film remover
US5288146A (en) * 1990-03-23 1994-02-22 Baldwin Stephen P Rocking, rolling composters
US5843233A (en) * 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
JPH04129133A (en) * 1990-09-20 1992-04-30 Hitachi Ltd Ion source and plasma device
US5262610A (en) * 1991-03-29 1993-11-16 The United States Of America As Represented By The Air Force Low particulate reliability enhanced remote microwave plasma discharge device
JPH0521393A (en) * 1991-07-11 1993-01-29 Sony Corp Plasma processor
US5212118A (en) * 1991-08-09 1993-05-18 Saxena Arjun N Method for selective chemical vapor deposition of dielectric, semiconductor and conductive films on semiconductor and metallic substrates
US5292400A (en) * 1992-03-23 1994-03-08 Hughes Aircraft Company Method and apparatus for producing variable spatial frequency control in plasma assisted chemical etching
US5286297A (en) * 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
JPH0653176A (en) 1992-07-30 1994-02-25 Matsushita Electron Corp Dry etcher
US5248371A (en) * 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
US5401350A (en) * 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5531834A (en) * 1993-07-13 1996-07-02 Tokyo Electron Kabushiki Kaisha Plasma film forming method and apparatus and plasma processing apparatus
JP3082624B2 (en) * 1994-12-28 2000-08-28 住友金属工業株式会社 How to use electrostatic chuck
JPH08279495A (en) * 1995-02-07 1996-10-22 Seiko Epson Corp Method and system for plasma processing
JPH09272979A (en) * 1996-04-09 1997-10-21 Citizen Watch Co Ltd Plasma film formation device and cleaning method therefor
US6626185B2 (en) * 1996-06-28 2003-09-30 Lam Research Corporation Method of depositing a silicon containing layer on a semiconductor substrate
US5882414A (en) * 1996-09-09 1999-03-16 Applied Materials, Inc. Method and apparatus for self-cleaning a blocker plate
US5935340A (en) * 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
JPH10177993A (en) * 1996-12-18 1998-06-30 Hitachi Ltd Plasma processing device of parallel plate narrow electrode-type
US5882424A (en) * 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US6616767B2 (en) * 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
US6125859A (en) * 1997-03-05 2000-10-03 Applied Materials, Inc. Method for improved cleaning of substrate processing systems
JPH10280151A (en) 1997-04-08 1998-10-20 Fujitsu Ltd Cleaning of cvd system
US6149729A (en) * 1997-05-22 2000-11-21 Tokyo Electron Limited Film forming apparatus and method
US6077357A (en) * 1997-05-29 2000-06-20 Applied Materials, Inc. Orientless wafer processing on an electrostatic chuck
US6109206A (en) * 1997-05-29 2000-08-29 Applied Materials, Inc. Remote plasma source for chamber cleaning
TW452606B (en) * 1997-12-05 2001-09-01 Samsung Electronics Co Ltd Method for cleaning inside of chamber using RF plasma
US6110322A (en) * 1998-03-06 2000-08-29 Applied Materials, Inc. Prevention of ground fault interrupts in a semiconductor processing system
JP2000200779A (en) * 1998-10-30 2000-07-18 Toshiba Corp Etching method, chemical vapor deposition apparatus, cleaning method thereof and quartz member therefor
EP1198610A4 (en) * 1999-05-14 2004-04-07 Univ California Low-temperature compatible wide-pressure-range plasma flow device
US6199506B1 (en) * 1999-06-30 2001-03-13 Novellus Systems, Inc. Radio frequency supply circuit for in situ cleaning of plasma-enhanced chemical vapor deposition chamber using NF3 or NF3/He mixture
US6262610B1 (en) * 1999-08-25 2001-07-17 National Semiconductor Corporation Voltage sample and hold circuit for low leakage charge pump
CN1176055C (en) * 1999-12-02 2004-11-17 住友化学工业株式会社 Process for producing 4-methoxyl methyl-2,3,5.6-tetrachlorophenmethylol
JP4592867B2 (en) * 2000-03-27 2010-12-08 株式会社半導体エネルギー研究所 Parallel plate type plasma CVD apparatus and dry cleaning method
AU2001247685A1 (en) * 2000-03-30 2001-10-15 Tokyo Electron Limited Method of and apparatus for tunable gas injection in a plasma processing system
US6412437B1 (en) * 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
KR100434487B1 (en) * 2001-01-17 2004-06-05 삼성전자주식회사 Shower head & film forming apparatus having the same
JP4791637B2 (en) * 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 CVD apparatus and processing method using the same
JP3924483B2 (en) * 2001-03-19 2007-06-06 アイピーエス リミテッド Chemical vapor deposition equipment
US20030124842A1 (en) * 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US6882414B2 (en) * 2002-06-19 2005-04-19 The Boeing Company Broadband infrared spectral surface spectroscopy
US6884464B2 (en) * 2002-11-04 2005-04-26 Applied Materials, Inc. Methods for forming silicon comprising films using hexachlorodisilane in a single-wafer deposion chamber
US7500445B2 (en) * 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US7083702B2 (en) 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Yanagida, Toshiharu, Plasma Treatment Device and Method for Manufacturing a Semiconductor Device Using the Same, May 06, 1992, Sony Corporation (translation of JP 04-132219A). *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
WO2014163909A1 (en) * 2013-03-12 2014-10-09 Applied Materials, Inc. Multi-mode etch chamber source assembly

Also Published As

Publication number Publication date
WO2004067800A1 (en) 2004-08-12
US7465357B2 (en) 2008-12-16
US20040144490A1 (en) 2004-07-29
WO2004067800B1 (en) 2004-11-25
US20170121813A1 (en) 2017-05-04
US20090145360A1 (en) 2009-06-11
US7464717B2 (en) 2008-12-16
US7500445B2 (en) 2009-03-10
US20060225767A1 (en) 2006-10-12
US20140158048A1 (en) 2014-06-12
US20060231205A1 (en) 2006-10-19
US7654224B2 (en) 2010-02-02

Similar Documents

Publication Publication Date Title
US7654224B2 (en) Method and apparatus for cleaning a CVD chamber
US10916407B2 (en) Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
KR102158307B1 (en) Plasma treatment process to improve in-situ chamber cleaning efficiency in plasma processing chamber
KR101352995B1 (en) Cleaning of native oxide with hydrogen-containing radicals
JP4801045B2 (en) Method for removing chamber residue from a plasma processing system in a dry cleaning process
US5454903A (en) Plasma cleaning of a CVD or etch reactor using helium for plasma stabilization
US8394231B2 (en) Plasma process device and plasma process method
US20230148265A1 (en) Removing metal contamination from surfaces of a processing chamber
US20060065628A1 (en) Methods and apparatus for tuning a set of plasma processing steps
KR20010021675A (en) Method for improved cleaning of substrate processing systems
US20050155625A1 (en) Chamber cleaning method
US20090317565A1 (en) Plasma cvd equipment
KR20180083264A (en) Plasma processing method and plasma processing apparatus
US5902494A (en) Method and apparatus for reducing particle generation by limiting DC bias spike
US7578945B2 (en) Method and apparatus for tuning a set of plasma processing steps
US6435197B2 (en) Method of cleaning a semiconductor fabricating apparatus
JP2016086046A (en) Plasma processing method
KR20220029478A (en) Substrate processing method and plasma processing apparatus

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC.,CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ZHAO, MAOSHENG;ROCHA-ALVAREZ, JUAN CARLOS;SHMURUN, INNA;AND OTHERS;SIGNING DATES FROM 20030122 TO 20030124;REEL/FRAME:023756/0211

STCB Information on status: application discontinuation

Free format text: ABANDONED -- AFTER EXAMINER'S ANSWER OR BOARD OF APPEALS DECISION