US20090315093A1 - Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds - Google Patents

Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds Download PDF

Info

Publication number
US20090315093A1
US20090315093A1 US12/424,470 US42447009A US2009315093A1 US 20090315093 A1 US20090315093 A1 US 20090315093A1 US 42447009 A US42447009 A US 42447009A US 2009315093 A1 US2009315093 A1 US 2009315093A1
Authority
US
United States
Prior art keywords
aluminum
metal
metal carbide
hydrocarbon compound
carbide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/424,470
Inventor
Dong Li
Steven Marcus
Suvi P. Haukka
Wei-Min Li
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM America Inc
Original Assignee
ASM America Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM America Inc filed Critical ASM America Inc
Priority to US12/424,470 priority Critical patent/US20090315093A1/en
Assigned to ASM AMERICA, INC. reassignment ASM AMERICA, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HAUKKA, SUVI P., LI, DONG, MARCUS, STEVEN, LI, WEI-MIN
Publication of US20090315093A1 publication Critical patent/US20090315093A1/en
Priority to US14/069,681 priority patent/US9631272B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric

Definitions

  • the present invention relates generally to vapor deposition processes and, more particularly, to deposition of metal carbide films by vapor deposition processes.
  • TaC tantalum carbide
  • NMOS n-type metal oxide semiconductor
  • carbides of transition metal elements are in groups 4, 5, 6, 7, 8, 9, 10 and 11 of the periodic table. Transition metal carbides are relatively inert, have very high melting points, are extremely hard and wear resistant, and have high thermal conductivity and metal-like electrical conductivity.
  • Transition metal carbides can have a wide range of compositions. Ordered and disordered carbon deficient forms exist, of which the tungsten carbides, WC x , are examples. In these forms, carbon resides in the interstitial cavities between metal atoms.
  • Metal carbide films have been formed by various methods including chemical vapor deposition (CVD), physical vapor deposition (PVD) and atomic layer deposition (ALD).
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • ALD atomic layer deposition
  • a “thermal” ALD method of forming metal carbide films, wherein the substrate is sequentially and alternately contacted with vapor phase pulses of two or more source chemicals is described in, for example, U.S. Pat. No. 6,482,262.
  • a transition metal source chemical and carbon source gas are alternately and sequentially pulsed into a reaction space comprising a substrate, which is maintained at an elevated temperature.
  • the pulsing sequence is repeated to form a metal carbide (e.g., TaC) film of desired thickness. Due to the self-limiting nature of ALD, films are grown at rate of about one monolayer (ML) per deposition cycle.
  • a CVD method of depositing tungsten carbide from tungsten hexafluoride, hydrogen and a carbon-containing gas has been described in, for example, international patent application WO 00/47796.
  • the carbon-containing compound is initially thermally activated. All of the gaseous source chemicals are introduced into a reaction space at the same time, resulting in the deposition of nonvolatile tungsten carbide on the substrate.
  • a CVD reaction of WF 6 with trimethylamine and H 2 has been disclosed to yield WC films at 700° C.-800° C. and beta-WC x films at 400° C.-600° C. (Nakajima et al., J. Electrochem. Soc. 144 (1997) 2096-2100).
  • the H 2 flow rate was found to affect the deposition rate of the tungsten carbide films.
  • a problem with the disclosed process is that the substrate temperature is rather high relative to thermal budgets for state-of-the-art semiconductor fabrication, particularly in metallization stages.
  • PVD processes generally deposit along a line-of-sight.
  • One method of depositing tantalum carbide for a diffusion barrier layer by PVD has been described in U.S. Pat. No. 5,973,400.
  • a tantalum carbide layer was formed by sputtering tantalum or tantalum carbide under an N 2 /CH 4 /Ar atmosphere.
  • Line-of-sight deposition means that complex substrate contours will have insufficient film coverage in shaded areas. Additionally, line-of-sight deposition means that low-volatility source material arriving directly from the source to the substrate will likely adhere to the first solid surface that it encounters, thus producing low-conformality coverage.
  • methods for growing a metal carbide film over a substrate generally comprise contacting a substrate in a reaction space with a first reactant that includes a metal source chemical and a second reactant that includes an aluminum hydrocarbon compound, thereby forming the metal carbide film over the substrate.
  • the metal carbide film preferably comprises aluminum.
  • atomic layer deposition (ALD) processes for forming a metal carbide thin film on a substrate in a reaction space are provided.
  • the methods comprising: alternately and sequentially contacting the substrate with vapor phase pulses of a first metal precursor and a first aluminum hydrocarbon compound, such that a metal carbide film comprising from about 6 to about 16% aluminum is formed.
  • the aluminum hydrocarbon compound comprises one or more of trimethyl aluminum (TMA), triethyl aluminum (TEA), and dimethylaluminumhydride (DMAH).
  • metal carbide film comprising aluminum are deposited using aluminum hydrocarbon compounds.
  • the amount of the aluminum can be controlled by selecting an appropriate aluminum hydrocarbon compound as the second reactant. Other reaction conditions, such as temperature pressure, pulse and purge length and plasma, can also be adjusted to achieve a desired aluminum concentration. In some embodiments the aluminum concentration is about 6%. In other embodiments the aluminum concentration is up to about 16%. However, higher concentrations are possible. By controlling the amount of aluminum, films with desirable characteristics can be formed, including low resistivity, good adhesion, and oxidation resistance.
  • the resistivity of the metal carbide film is controlled by selecting appropriate deposition conditions, including the aluminum hydrocarbon reactant, the deposition temperature and the deposition pressure.
  • tantalum carbide films are deposited by ALD using tantalum halide precursors and TEA.
  • the oxidation resistance of a metal carbide film is controlled by controlling the amount of aluminum in the metal carbide film.
  • the amount of aluminum can be controlled by selection of an aluminum hydrocarbon reactant for use in an ALD process as a carburizing agent and by adjusting other reaction conditions.
  • methods of forming a metal carbide thin film with a desired level of oxidation resistance comprise: depositing a metal carbide thin film by alternately and sequentially contacting a substrate with vapor phase pulses of a metal precursor and an aluminum hydrocarbon compound, wherein one or more reaction conditions are selected to produce a desired concentration of aluminum in the metal carbide thin film, and wherein the concentration of aluminum in the metal carbide is from about 1 to about 30%.
  • the work function of a gate electrode is determined by controlling the amount of aluminum in a metal carbide film.
  • the gate electrode may be, for example, a control gate in a flash memory structure or a gate electrode in a CMOS transistor.
  • a gate stack can comprise a first gate electrode layer and a second gate electrode layer.
  • the first gate electrode layer comprises a first metal carbide gate electrode material and the second gate electrode layer comprises a second gate electrode material, such as polysilicon, titanium or tantalum nitride or tungsten.
  • the first and second gate electrode materials are conductive.
  • the first gate electrode material is different from the second gate electrode material.
  • the work function of the gate electrode may be determined by the first metal carbide gate electrode material.
  • a dielectric layer (tunnel oxide) is deposited over a substrate and a floating gate is deposited directly over the dielectric layer.
  • the floating gate may comprise, for example, polysilicon.
  • a charge trap layer is used in place of the floating gate.
  • the charge trap layer may be silicon nitride.
  • a barrier oxide, such as AlO 2 is deposited over the floating gate or charge trap layer and a control gate is formed over the barrier oxide.
  • the methods for forming a flash memory on a substrate comprise: forming a dielectric layer on the substrate; forming a charge trap layer directly over and adjacent to the dielectric layer; forming a barrier oxide directly over and adjacent to the charge trap layer: forming a metal carbide control gate over the barrier oxide; etching the dielectric layer, charge trap layer, barrier oxide and control gate to form a flash structure; and passivating the flash structure by depositing SiO 2 , wherein the metal carbide control gate comprises aluminum and during the deposition of SiO 2 the aluminum in the metal carbide reacts with oxygen to self-passivate the control gate.
  • Forming the control gate preferably comprises depositing a metal carbide gate electrode layer by ALD using one or more aluminum hydrocarbon compounds, such that the metal carbide layer controls the work function of the control gate.
  • the metal carbide layer is preferably deposited to a thickness of about 1 to 1000 ⁇ , more preferably about 1 to 500 ⁇ , and still more preferably about 25 to 200 ⁇ .
  • the deposition conditions, including temperature, pressure and reactant choice are adjusted to achieve a desired amount of aluminum in the metal carbide layer and thus produce the desired work function and other characteristics.
  • the aluminum content is preferably such that the film has good oxidation resistance and is able to self-passivate during subsequent patterning and/or deposition steps.
  • a further conductive layer such as a polysilicon, metal or metal nitride layer, for example a titanium nitride or tungsten layer, may be deposited over the first gate electrode layer.
  • the structure is then patterned, etched and passivated, for example with silicon oxide. During the passivation process, the edges of the metal carbide layer are exposed and the aluminum in the metal carbide reacts with oxygen to self-passivate the remaining metal film.
  • FIG. 1 is a block diagram of a pulsing sequence in an ALD-type process according to some embodiment.
  • FIG. 2 is a schematic illustration of a flash memory structure formed according to some embodiments.
  • FIG. 3 is a schematic illustration of a gate electrode stack in a CMOS transistor.
  • Metal carbide films e.g. tantalum carbide (TaC) films
  • TaC tantalum carbide
  • Metal carbide films with desirable properties can be formed by employing aluminum hydrocarbon compounds to carburize a metal film on a substrate.
  • metal carbide films are formed over a substrate in ALD-type processes by contacting the substrate with alternating and sequential pulses of a metal compound and a carbon-containing compound, where the carbon containing compound is an aluminum hydrocarbon compound.
  • metal carbide films with a controlled aluminum content can be formed on a substrate.
  • a substrate in a reaction space is contacted with a vapor phase metal source chemical (or metal compound) and an aluminum hydrocarbon compound.
  • the films preferably have good adhesion, low resistivity and good oxidation resistance.
  • the characteristics of the metal carbide films including aluminum content, adhesion, resistivity and/or oxidation resistance can be controlled by selecting the appropriate aluminum hydrocarbon reactant.
  • the reaction conditions, such as the reaction temperature, pressure, pulse and purge times, pulsing sequence and post deposition annealing can also be adjusted to achieve films with the desired properties.
  • the desired film characteristics may be achieved by using a plasma enhanced ALD process.
  • a metal carbide film with properties that are advantageous to a particular situation can be formed.
  • a film with low resistivity is formed using TMA, TEA or DMAH as the aluminum hydrocarbon compound.
  • Films with good adhesion can be obtained in some embodiments using TEA and a metal halide reactant, such as TaCl 5 .
  • Oxidation resistant films can be formed in some embodiments by selecting reactants and conditions that provide a desired level of aluminum in a metal carbide film. For example, in some embodiments films with an aluminum concentration of about 1-30%, more preferably about 6-16% are deposited in order to obtain a desired level of oxidation resistance.
  • the metal carbide films and deposition processes can find use in a variety of contexts, as will be recognized by the skilled artisan.
  • the metal carbide film formed can be a component of an integrated circuit (IC), such as, e.g., a conductive diffusion barrier forming a part of a line in a dual damascene structure, a metal gate electrode in a CMOS transistor, such as an NMOS or PMOS gate electrode (depending on the aluminum concentration), or an anti-reflective coating.
  • the metal carbide film may form a part of hard coating on a substrate to protect against mechanical wear, or may be used as a component of a corrosion protection layer.
  • the metal carbide film can be, e.g., used as part of a chemical reaction catalyst or as an etch stop barrier.
  • an “ALD process” or “ALD type process” generally refers to a process for producing a film over a substrate monolayer (molecular layer) by monolayer using self-saturating chemical reactions.
  • ALD ALD type process
  • the general principles of ALD are disclosed, e.g., in T. Suntola in, e.g. the Handbook of Crystal Growth 3, Thin Films and Epitaxy, Part B: Growth Mechanisms and Dynamics, Chapter 14, Atomic Layer Epitaxy, pp. 601-663, Elsevier Science B.V. 1994, and U.S. Pat. Nos. 4,058,430 and 5,711,811, the disclosures of which are incorporated herein by reference.
  • gaseous reactants i.e., precursors or source materials are alternately and sequentially conducted into a reaction space where they contact a substrate to provide a surface reaction.
  • Reaction conditions are selected such that generally only up to about one monolayer (i.e. an atomic layer or a molecular layer) of material is deposited at a time during each pulsing cycle.
  • Gas phase reactions between precursors and any undesired reactions of byproducts are inhibited because precursor pulses are separated from each other and the reaction chamber is purged with an inactive gas (e.g.
  • the concentration profiles of the reactants in the reaction space with respect to time are not overlapping. However, the skilled artisan will recognize that more than one monolayer may be deposited in one or more ALD cycles despite the separation of reactant pulses.
  • Plasma-excited species refers to radicals, ions or other excited species generated via application of energy to a gas.
  • Plasma-excited species may be generated using a direct plasma generator (i.e., “in situ” or “direct” plasma generation) and/or a remote plasma generator (i.e., “ex situ” or “remote” plasma generation).
  • Energy may be applied (or coupled) to a gas via a variety of methods, such as inductive coupling, ultraviolet radiation, microwaves, capacitive coupling, application of RF power, etc. In the absence of coupling energy, plasma generation is terminated.
  • Plasma-excited species include, without limitation, hydrogen and nitrogen radicals.
  • Plasma parameters is used to designate one or more plasma generation variables, including, without limitation, plasma generator power, gas pressure, gas (or reactant) flow rate, and plasma pulse duration.
  • plasma parameters include, without limitation, radio frequency (RF) power on time, RF power amplitude, RF power frequency or frequencies (for dual frequency systems), reactant concentration, reactant flow rate, reaction space pressure, total gas flow rate, reactant pulse durations and separations, and RF electrode spacing.
  • RF radio frequency
  • reaction space is used to designate a reactor or reaction chamber (“chamber”), or an arbitrarily defined volume therein, in which conditions can be adjusted to effect film growth.
  • the reaction space can be, for example, in a single-wafer ALD reactor or a batch ALD reactor, where deposition on multiple substrates takes place at the same time.
  • Adsorption is used to designate a chemical attachment of atoms or molecules on a surface.
  • “Substrate” is any surface on which deposition is desired, and in preferred embodiments can include any workpiece that is suitable for integrated circuit (IC) fabrication.
  • Typical substrates include, without limitation, silicon, silica, coated silicon and high k materials, such as metal oxides.
  • “Surface” is used to designate a boundary between the reaction space and a feature of the substrate.
  • “Film” means a film that is grown on a substrate from elements or compounds that are transported as separate ions, atoms or molecules from a source to the substrate.
  • the thickness of the film will depend upon the application and may vary in a wide range, preferably from one atomic layer to 100 nanometers (nm) or more. In some embodiments, such as where the film serves to set the work function in a flash memory, the thickness may be about 25 ⁇ to 200 ⁇ , although in some embodiments it may be as high as 500 ⁇ or even 1000 ⁇ . In other embodiments the film is less than about 200 ⁇ in thickness, even more preferably less than about 100 ⁇ , and most preferably less than about 50 ⁇ , such as for a CMOS gate application.
  • Metal carbide film designates a film comprising at least one metal and carbon.
  • the metal may be a single elemental metal or a plurality of metals, such as a metal alloy.
  • the metal carbide film may be stoichiometric, e.g., TaC, or non-stoichiometric, e.g., TaC x , where ‘x’ is greater than one if the film has excess carbon or less than one if the film is carbon deficient.
  • metal carbide films deposited according to the methods described herein comprise a first metal, carbon, and aluminum. The first metal is typically not aluminum.
  • ALD is based on self-limiting reactions, whereby sequential and alternating pulses of reaction precursors are used to deposit about one atomic (or molecular) monolayer of material per deposition pulse.
  • the deposition conditions and precursors are selected to provide self-saturating reactions, such that an adsorbed layer in one pulse leaves a surface termination that is non-reactive with the gas phase reactants of the same pulse.
  • a subsequent pulse of different reactants reacts with the previous termination to enable continued deposition.
  • each cycle of alternated pulses typically leaves no more than about one monolayer of the desired material.
  • more than one monolayer of material may be deposited, for example if some gas phase reactions occur despite separate provisions of the reactants.
  • one deposition cycle comprises exposing the substrate to a first reactant, removing any unreacted first reactant and reaction byproducts from the reaction space, exposing the substrate to a second reactant, followed by a second removal step.
  • the first reactant is preferably a metal precursor and the second reactant is preferably a carburizing (or carbon-contributing) compound (although it is possible to begin the process with either reactant).
  • the metal compound preferably comprises one or more metals selected from the group consisting of titanium (Ti), zirconium (Zr), hafnium (Hf), vanadium (V), niobium (Nb), tantalum (Ta), chromium (Cr), molybdenum (Mo), tungsten (W), manganese (Mn), rhenium (Re), iron (Fe), cobalt (Co), nickel (Ni), copper (Cu), silver (Ag), gold (Au), palladium (Pd), platinum (Pt), rhodium (Rh), iridium (Ir), ruthenium (Ru) and osmium (Os).
  • halide reactants such as, e.g., TaCl 5 and HfCl 4 , are used as metal precursors in ALD deposition because these precursors are inexpensive and relatively stable, but at the same time reactive towards different types of surface groups.
  • Carbon-contributing compounds are preferably aluminum hydrocarbon compounds.
  • the aluminum hydrocarbon compound may be, for example, an alkane, alkene or alkyne.
  • the aluminum hydrocarbon compound is selected from the group consisting of trimethyl aluminum (TMA), triethyl aluminum (TEA) and dimethylaluminumhydride (DMAH).
  • the aluminum hydrocarbon compound is preferably selected to achieve desired characteristics in the metal carbide film. These include, without limitation, adhesion, resistivity, oxidation resistance and work function.
  • the level of aluminum in the metal carbide film can be controlled. For example, to achieve a higher aluminum concentration in a particular film, TEA may be selected over TMA.
  • different aluminum hydrocarbon compounds may be used in different ALD deposition cycles to modify the aluminum incorporation in the metal carbide film. For example, in a deposition process to deposit a metal carbide layer a first ALD cycle may use a first aluminum compound and one or more ALD cycles may use a different aluminum compound.
  • an ALD-type process is used to form metal carbide films on a substrate, such as an integrated circuit workpiece.
  • each ALD cycle comprises two distinct deposition steps or phases.
  • a first phase of the deposition cycle (“the metal phase”), a first reactant comprising a metal (i.e., metal source material or chemical) is pulsed to the reaction space and chemisorbs onto the substrate surface, forming no more than about one monolayer on the surface of the substrate.
  • the metal source material in this phase is selected such that, under the preferred conditions, the amount of metal source material that can be bound to the surface is determined by the number of available binding sites and by the physical size of the chemisorbed species (including ligands).
  • the chemisorbed layer left by a pulse of the metal source chemical is self-terminated with a surface that is non-reactive with the remaining chemistry of that pulse. This phenomenon is referred to herein as “self-saturation.”
  • self-saturation One of skill in the art will recognize that the self-limiting nature of this phase makes the entire ALD cycle self-limiting.
  • the metal source material preferably includes a metal species desired in the film being deposited.
  • the metal source chemical also referred to herein as the “metal compound” is a halide and the adsorbed monolayer is terminated with halogen ligands.
  • the metal compound is selected from the group consisting of metal bromides, metal chlorides, and metal iodides.
  • a tantalum-containing metal carbide film can be deposited using a metal compound selected from the group consisting of TaBr w , TaCl z , and Tal z , where w, x, y, and z are numbers from 1 to 5.
  • TaCl 5 is used as the metal compound.
  • Excess metal source material and reaction byproducts are removed from the reaction space, e.g., by purging with an inert gas. Excess metal source material and any reaction byproducts may be removed with the aid of a vacuum generated by a pumping system.
  • Maximum step coverage on the workpiece surface is typically obtained when the metal source material forms no more than about a single monolayer in each self-limiting pulse. Due to the size of the chemisorbed species and the number of reactive sites, somewhat less than a monolayer may be deposited in each pulse of metal reactant. Thus, the maximum coverage of metal source material may be less than a monolayer. Depending on the process conditions in some embodiments it may be possible that more than one monolayer of first reaction is found on the substrate surface.
  • a second reactant also referred to herein as a “second source chemical”
  • the second source chemical is an aluminum hydrocarbon compound.
  • carbon is incorporated into the film by the interaction of the second source chemical with the monolayer left by the metal source material.
  • reaction between the second source chemical and the chemisorbed metal species produces a metal carbide film over the substrate.
  • Aluminum may also be incorporated into the film in this second phase. Reaction conditions, including, without limitation, choice of reactant, temperature, pressure and pulse and purge times are adjusted to achieve a desired aluminum content in the film. In some embodiments the aluminum content may vary from about 0% to about 30, more preferably from about 6 to about 16%. In other embodiments the aluminum content may be higher.
  • the aluminum hydrocarbon may be selected from the group consisting of alkanes, alkenes and alkynes.
  • the carbon-containing compound may be TMA, DMAH, or TEA.
  • more than one aluminum hydrocarbon compound may be used.
  • two or more aluminum hydrocarbon compounds may be provided simultaneously in the same pulse.
  • two or more different aluminum hydrocarbon compounds are provided in distinct ALD cycles with a single deposition process.
  • Purging gas is preferably any inert gas, such as, without limitation, argon (Ar) or helium (He).
  • a phase is generally considered to immediately follow another phase if a purge (i.e., purging gas pulse) or other reactant removal step intervenes.
  • a third reactant may be a reducing agent, such as plasma-excited species of hydrogen generated by, e.g., an in situ or remote plasma generator.
  • the reducing agent may be pulsed to the reaction space (or generated in the reaction space) after the metal phase and/or the carbon-contributing phase to reduce the deposited film.
  • the reducing agent can be used, for example, to remove impurities, such as halogen atoms or oxidizing material (e.g., oxygen atoms) in the film and/or the substrate. It may also be used to control the incorporation of aluminum into the metal carbide film, thereby controlling the properties of the film.
  • thermal ALD and plasma ALD cycles are used in the same deposition process to control aluminum concentration in the deposited film. The ratio of thermal ALD cycles to plasma ALD cycles can be selected to achieve the desired aluminum concentration and/or concentration profile in the thin film.
  • plasma parameters can be selected to modify the level of incorporation of aluminum into the metal carbide film and/or ratio of tantalum to carbon. That is, in some embodiments, film composition can be controlled as a function of plasma parameters. In addition to composition, other film characteristics such as crystallinity, crystal lattice constant, resistivity and crystal stress can be adjusted by selecting appropriate plasma parameters.
  • plasma parameters are selected from relationships that have been established between plasma parameters and film composition and characteristics.
  • “Plasma parameters” may include, for example, RF power and RF frequency.
  • One plasma parameter, such as RF power, or multiple plasma parameters, i.e., a set of plasma parameters, such as RF power and RF frequency may be adjusted in one or more ALD cycles to achieve the desired film properties.
  • Plasma parameters are preferably selected to yield a metal carbide film with a desired composition.
  • plasma parameters are selected to form a gate electrode with a particular composition to yield a desired gate stack work function.
  • deposition recipes for metal carbide films are determined or designed by selecting plasma parameters.
  • the RF power may be selected to affect a stoichiometry as desired.
  • a particular plasma pulse duration or RF power on time can be used to obtain a desired composition.
  • the desired composition may be achieved by selecting a combination of RF power, reactant pulse duration, and reactant flow rate.
  • the plasma-excited species comprises hydrogen.
  • Plasma-excited species of hydrogen may include, without limitation, hydrogen radicals (H*) and hydrogen cations (e.g., H + , H 2 + ).
  • Plasma-excited species of hydrogen may be formed in situ or remotely, for example from molecular hydrogen (H 2 ) or hydrogen-containing compounds (e.g., silane, diborane, etc).
  • H* hydrogen radicals
  • H 2 + hydrogen cations
  • Plasma-excited species of hydrogen may be formed in situ or remotely, for example from molecular hydrogen (H 2 ) or hydrogen-containing compounds (e.g., silane, diborane, etc).
  • one or more of the reactants described herein can be provided as a plasma.
  • Relationships between deposition parameters such as plasma, reactants, etc. and thin film composition can be established by selecting parameter(s) and depositing a metallic carbide film by a particular atomic layer deposition process using the selected parameter(s) until a film of desired thickness is formed. The film composition and characteristics can then be determined and another film deposited using different parameters. This process can be repeated for different parameters to develop relationships between the parameters and film composition.
  • formation of a metal carbide film via an ALD-type process comprises one or more deposition cycles, each comprising the steps of:
  • Steps 1-4 can be referred to as a thermal ALD cycle. Steps 1-4 can be repeated as necessary to produce a metal carbide film of desired thickness and with a desired aluminum concentration. For example, steps 1-4 may be repeated up to 10, 100 or even 1000 or more times to produce metal carbide layers with uniform thicknesses ranging from one or several atomic layers to 100 nanometers (nm) or more. In some embodiments, steps 1-4 may be repeated until a metal carbide film is formed with a thickness of from about 1 to about 1000 ⁇ , preferably less than about 1000 ⁇ , more preferably less than about 500 ⁇ . In some embodiments the film has a thickness of less than about 300 ⁇ , and in other embodiments less than about 200 ⁇ .
  • the thickness is preferably between about 100 ⁇ and about 200 ⁇ . In other embodiments the thickness is preferably from about 20 to about 200 ⁇ .
  • the thickness of the metal carbide film can vary depending on the particular application. As an example, for NMOS gate applications, the thickness is typically from about 50 ⁇ to about 500 ⁇ . As another example, for MIM capacitor applications (e.g., DRAM, eDRAM, etc.) the thickness range is typically from about 50 ⁇ to about 200 ⁇ . Further, for applications in which the metal carbide thin film serves to set the work function in a flash memory, the thickness is preferably between about 20 ⁇ and about 200 ⁇ .
  • steps 1 and 2 are repeated a predetermined number of times prior to steps 3 and 4. For example, steps 1 and 2 may be repeated five times prior to steps 3 and 4. As another example, steps 1 and 2 may be repeated ten times prior to steps 3 and 4. It should be understood that if a metal carbide film with compositional uniformity is desired, the number of times steps 1 and 2 are repeated should not exceed that which will prevent substantial carburization of the metal film. In one embodiment, the metal compound has a low decomposition temperature and the number of times steps 1 and 2 are repeated does not exceed one.
  • hydrocarbon aluminum reactants can be used to achieve deposition of films with desired characteristics, such as adhesion, resistivity, oxidation resistance and/or work function.
  • desired characteristics such as adhesion, resistivity, oxidation resistance and/or work function.
  • multiple aluminum hydrocarbon compounds are used.
  • various reaction conditions can be manipulated to achieve the desired film qualities and composition. These reaction conditions include, but are not limited to, reaction temperature, source container temperature, pressure, flow rate, plasma parameters and pulse and purge times.
  • formation of a metal carbide film via an ALD-type process comprises two or more deposition cycles, a first cycle comprising the steps of:
  • the first and second cycles need not be consecutive and the ratio of first cycles to second cycles can be selected to achieve the desired composition.
  • the deposition cycles can begin with any of the reactants.
  • the first and second aluminum hydrocarbon compounds are different compounds.
  • the first and second aluminum hydrocarbon compounds comprise TMA, TEA, or DMAH.
  • the first aluminum hydrocarbon compound comprises TEA and the second hydrocarbon compound comprises TMA.
  • multiple deposition cycles using TEA are performed followed by multiple deposition cycles using TMA.
  • the ratios between the first aluminum hydrocarbon pulses and second hydrocarbon pulses are between about 1:100 and 100:1. Preferably the ratio between first and second aluminum hydrocarbon pulses is about 5:1 to about 1:5. In some embodiments the ratio between first and second aluminum hydrocarbon pulses is about 1:1.
  • plasma can be used during the deposition of the metal carbide film.
  • formation of a metal carbide film via an ALD-type process comprises one or more plasma ALD deposition cycles, each comprising the steps of:
  • Steps 1-6 can be referred to as the plasma deposition cycle.
  • the plasma source can be provided after the metal compound and before the aluminum hydrocarbon compound.
  • the deposition cycle can begin with any of the reactants.
  • the plasma-excited species comprises hydrogen.
  • plasma ALD cylces and thermal ALD cycles are used in the same deposition process.
  • the ratio between the thermal ALD cycles and plasma ALD cycles is typically between about 1:100 and 100:1.
  • the ratio between first and second aluminum hydrocarbon pulses is about 5:1 t about 1:5.
  • the ratio between thermal and plasma ALD cycles is about 1:1.
  • the reaction temperature is preferably from about 150 to about 550° C., more preferably about 300 to about 400° C. In some embodiments the reaction temperature is about 350 to 375° C.
  • the reaction pressure is from about 0.5 to about 10 torr. In some embodiments the pressure is about 2 to about 7 torr.
  • the pressure is preferably adjusted to achieve a desirable growth rate and acceptable uniformity.
  • the reactant vessel temperature can be selected to provide films with a desired characteristic.
  • the halide reactant vessel temperature is about 40° C. to about 80° C..
  • the reactant vessel temperature may be from about 45° C. to about 70° C., more preferably about 65° C.
  • the metal reactant pulse time is preferably from about 0.1 to about 20 seconds, more preferably from about 1 to about 10 seconds.
  • the aluminum hydrocarbon compound pulse time is preferably from about 0.1 to about 20 seconds, more preferably from about 0.5 to about 2 seconds.
  • TMA is used as the aluminum hydrocarbon reactant and a pulse time of longer than about 1 second is used, more preferably about 2 seconds.
  • TEA is used as the aluminum hydrocarbon reactant with a pulse time of about 1 second.
  • DMAH is used as the aluminum hydrocarbon reactant with a pulse time of about 1 second.
  • two or more different aluminum hydrocarbon reactants can be used.
  • longer pulse times may be used. In some embodiments longer pulse times can be used for the aluminum hydrocarbon compound to affect the saturation of the compound on the substrate.
  • Purge times are generally from about 0.1 to about 10 seconds, more preferably about 2 to about 8 seconds. In some embodiments a purge time of about 6 seconds is used. However, in other embodiments longer purge times may be used. In some embodiments purge times are the same for purging the metal reactant and the aluminum hydrocarbon reactant, while in other embodiments the purge times are different for the different reactants.
  • Flow rates are generally from about 100 to about 400 sccm for the inert purge gas, such as Ar.
  • the carrier flow for both metal precursors and aluminum hydrocarbons is preferably about 100 to about 400 sccm.
  • the carrier gas is preferably an inert gas, such as Ar, and may be the same as or different from the purge gas.
  • the flow rates of the purge and carrier gases can be determined based, in part, on the particular reactor, as will be appreciated by the skilled artisan.
  • a first reactant or source material is supplied or pulsed 10 to the substrate or workpiece.
  • the first reactant pulse comprises a carrier gas flow and a metal precursor, preferably a volatile halide compound that is reactive with the workpiece surfaces of interest.
  • the halide compound comprises a metal species that is to form part of the metal carbide film. Accordingly, a metal-containing species adsorbs upon the workpiece surfaces.
  • the first reactant pulse self-saturates the workpiece surfaces such that any excess constituents of the first reactant pulse do not further react with the monolayer formed by this process. Self-saturation is due to ligands, such as halide tails terminating the monolayer, protecting the layer from further reaction.
  • the first reactant is a tantalum halide compound, such as TaCl 5 .
  • step 104 merely entails stopping the flow of the first reactant or chemistry while continuing to flow a carrier gas (e.g., Ar or H 2 ) for a sufficient time to diffuse or purge excess reactants and reactant byproducts from the reaction space, preferably with greater than about two reaction chamber volumes of the purge gas, more preferably with greater than about three chamber volumes.
  • the removal 20 comprises continuing to flow purge gas for between about 0.1 seconds and 20 seconds after stopping the flow of the first reactant pulse. Inter-pulse purging is described in a co-pending U.S. patent application, having Ser. No. 09/392,371, filed Sep.
  • the chamber may be pumped down between alternating chemistries. See, for example, PCT publication number WO 96/17107, published Jun. 6, 1996, entitled METHOD AND APPARATUS FOR GROWING THIN FILMS, the disclosure of which is incorporated herein by reference.
  • the adsorption 10 and reactant removal 20 represent the first phase 50 in the deposition cycle.
  • the first phase 50 in the illustrated deposition cycle is thus the metal phase.
  • a second reactant or source chemical is pulsed 30 to the workpiece.
  • the second chemistry reacts with or adsorbs upon the monolayer left by the first reactant.
  • the second reactant removes ligands from the metal-containing species deposited in step 10 .
  • the second reactant is an aluminum hydrocarbon compound that reacts with the layer deposited by the first reactant to form a metal carbide.
  • the aluminum hydrocarbon compound deposits carbon in the metal layer formed in the metal phase.
  • the aluminum hydrocarbon compound is pulsed with a carrier gas (e.g., H 2 ), preferably an inert carrier gas (e.g., He, Ar).
  • steps 30 and 40 represent a second phase of the illustrated ALD process, which can also be referred to as the carbon-contributing phase 60 .
  • Steps 10 - 40 may be repeated 70 to form a metal carbide layer of a desired thickness.
  • the repeat step 70 may be excluded if a metal carbide film with a thickness of about one monolayer or less is desired.
  • the substrate is preferably maintained at a temperature from about 150° C. to about 550° C., more preferably from about 350° C. to about 400° C.
  • the chamber is preferably maintained at a pressure from about 200 mTorr to about 10 Torr, more preferably from about 1 Torr to about 8 Torr.
  • the first reactant is a tantalum halide, such as TaCl 5
  • the second reactant is an aluminum hydrocarbon compound such as TMA, DMAH, or TEA
  • the film being formed is a tantalum carbide.
  • the tantalum carbide film preferably comprises aluminum. In some embodiments the tantalum carbide film comprises from about 6 to about 16% aluminum.
  • the film can be annealed after deposition.
  • Annealing the film after deposition can modify the properties of the thin film.
  • annealing can modify the hydrogen and chlorine content of the film.
  • the substrate temperature is about 500° C. to about 1200° C.
  • the substrate temperature during the annealing step is about 600° C. to about 1000° C.
  • the annealing step is carried out in an inert atmosphere.
  • Preferred inert atmospheres for annealing comprise nitrogen, helium, and argon.
  • the pressure is around atmospheric pressure during the annealing step.
  • the pressure can be above or below atmospheric pressure.
  • the annealing atmosphere comprises a low oxygen partial pressure.
  • flash memory structures are provided in which a metal carbide film is deposited by ALD as described herein to form at least a part of the control gate.
  • the main elements of an exemplary flash memory structure are illustrated in FIG. 2 .
  • ⁇ dielectric layer (tunnel oxide) 110 is deposited over a substrate 100 .
  • the dielectric layer 110 typically SiO 2 , although in some embodiments it may be a high-k material.
  • High k materials are generally forms of metallic oxides with k values greater than about 7, such as aluminum oxide (Al 2 O 3 ), zirconium oxide (ZrO 2 ), hafnium oxide (HfO 2 ), tantalum oxide (Ta 2 O 5 ), barium strontium titanate (BST), strontium bismuth tantalate (SBT), lanthanide oxides, and combinations thereof, such as HfSiO x and HfZrO x .
  • the dielectric layer 1 10 may be another type of material.
  • a floating gate 120 is deposited directly over the dielectric layer.
  • the floating gate 120 may comprise, for example, polysilicon.
  • the floating gate 120 is replaced with a charge trap layer.
  • the charge trap layer is silicon nitride, although other materials are possible.
  • a barrier oxide (or blocking dielectric) 130 is formed over the floating gate or charge trap layer 120 .
  • the barrier oxide 130 comprises Al 2 O 3 , although other materials such as AlLaO x , AlZrO x and HfLaO can be used.
  • the barrier oxide 130 may also be, for example, an ONO (oxide nitride oxide) structure comprising a bottom dielectric material adjacent to the floating gate or charge trap layer 120 , a top dielectric material adjacent to the overlying control gate 140 and an intervening nitride layer located between the top and bottom dielectric material.
  • a control gate 140 is formed over the barrier oxide.
  • Forming control gate 140 preferably comprises depositing a metal carbide gate electrode layer 150 by ALD using one or more aluminum hydrocarbon compounds, such that the metal carbide layer controls the work function of the control gate 140 .
  • the metal carbide is TaC.
  • the metal carbide layer 150 is preferably deposited to a thickness of about 100 to 200 ⁇ .
  • the deposition conditions for example temperature, pressure, pulse and purge times, plasma conditions (if used) and reactant choice are adjusted to achieve a desired amount of aluminum in the metal carbide layer 150 and thus produce the desired work function.
  • the aluminum content is preferably such that the film is able to self-passivate during subsequent patterning and/or deposition steps.
  • the metal carbide layer serves as the entire control gate.
  • a second upper gate electrode layer 160 is deposited over and adjacent to the first lower gate electrode layer 150 .
  • the second gate electrode layer 160 comprises a conductive material, such as polysilicon, titanium nitride and/or a metal, such as tungsten.
  • the upper gate electrode layer 160 may be thicker than the lower gate electrode layer 150 .
  • the upper gate electrode layer 160 has a thickness of about 1000 ⁇ .
  • the upper gate electrode layer 160 does not contribute to the work function of the control gate 140 .
  • the thickness of the lower gate electrode layer 150 and the upper gate electrode layer 160 are selected so that each contributes to the work function such that a desired work function is achieved.
  • Suitable materials for the dielectric layer 1 10 , the floating gate or charge trap layer 120 , the barrier oxide 130 and upper gate electrode layer 160 are known in the art and may be selected by the skilled artisan based on the particular circumstances.
  • the dielectric layer 110 can be deposited by any deposition method know in the art, such as ALD or PEALD.
  • the upper gate electrode layer 160 is preferably deposited by a chemical vapor deposition (CVD) type or physical vapor deposition (PVD) type process.
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • the barrier oxide 130 and the control gate 150 are deposited on the same platform without any air break.
  • the structure is then patterned, etched and passivated, for example with silicon oxide. During the passivation process, the edges of the metal carbide layer are exposed and the aluminum in the metal carbide reacts with oxygen to self-passivate the remaining metal film.
  • transistor structures are provided in which a metal carbide film is deposited by ALD as described herein and forms at least a part of the gate electrode.
  • a schematic illustration of a gate stack in a CMOS transistor is provided in FIG. 3 .
  • a semiconductor substrate 200 is shown with a transistor gate stack 210 formed thereover.
  • the substrate 200 comprises an upper portion of a single-crystal silicon wafer, though the skilled artisan will appreciate that the substrate can also comprise other semiconductor materials.
  • the gate stack 210 includes a gate electrode layer 220 comprising metal carbide. Sidewall spacers 230 and an insulating layer 240 protect and isolate the electrode 220 in a conventional manner.
  • a more highly conductive strapping layer 250 typically including metal, over the silicon-containing gate electrode layer 220 .
  • the strap 250 facilitates rapid signal propagation among transistor gates across the wafer, connecting the gates to logic circuits.
  • integrated circuit transistors can have a variety of forms that do not all resemble that of FIG. 3 .
  • the gate electrode layer 220 of the preferred embodiments will have application to gate electrodes in a variety of transistor types (e.g. heterojunction BiCMOS transistors).
  • At least a portion of the gate electrode 220 is formed by depositing a metal carbide layer by ALD using one or more aluminum hydrocarbon compounds.
  • the metal carbide layer controls the work function of the gate electrode 220 .
  • the metal carbide comprises TaC.
  • the metal carbide layer is preferably deposited to a thickness of about 20 to 200 ⁇ .
  • the deposition conditions for example temperature, pressure, pulse and purge times, plasma conditions (if used) and reactant choice are adjusted to achieve a desired amount of aluminum in the metal carbide layer and thus produce the desired work function.
  • the aluminum content is preferably such that the film is able to self-passivate during subsequent patterning and/or deposition steps.
  • the metal carbide layer serves as the entire gate electrode 220 .
  • a second upper gate electrode layer is deposited over and adjacent to the metal carbide layer.
  • the second upper gate electrode layer comprises a conductive material, such as polysilicon, titanium nitride and/or a metal, such as tungsten.
  • the upper gate electrode layer may be thicker than the metal carbide gate electrode layer.
  • the upper gate electrode layer has a thickness of about 1000 ⁇ .
  • the upper gate electrode layer does not contribute to the work function of the gate electrode.
  • the thickness of the lower gate electrode layer and the upper gate electrode layer are selected so that each contributes to the work function such that a desired work function is achieved.
  • the upper gate electrode layer is preferably deposited by a chemical vapor deposition (CVD) type or physical vapor deposition (PVD) type process.
  • Tantalum carbide films were deposited on silicon dioxide (SiO 2 ), Al 2 O 3 , SiN, HfO 2 and Ta 2 O 5 substrates by ALD-type processes in both EmerALD and Pulsar reactors.
  • the sequence of steps in the processes included alternately and sequentially pulsing a metal compound (TaCl 5 ), an aluminum hydrocarbon (TMA or TEA) and a purge gas (Ar) into a reaction space containing the substrate.
  • Deposition was conducted under a variety of reaction conditions.
  • Steps (1)-(4) were repeated to form tantalum carbide films.
  • tantalum carbide films were deposited on silicon dioxide (SiO 2 ) from TMA and TaCl 5 at a reaction temperature of about 375° C.
  • the sequence of gas pulses and purges were as follows:
  • TaCl 5 pulse 1000 ms
  • Steps (1)-(4) were repeated to form a uniform tantalum carbide film with a deposition rate of approximately 3.5 ⁇ /cycle.
  • tantalum carbide films were deposited on silicon dioxide (SiO 2 ) from TEA and TaCl 5 at a reaction temperature of about 375° C.
  • the sequence of gas pulses and purges were as follows:
  • TaCl 5 pulse 1000 ms
  • Steps (1)-(4) were repeated to form a uniform tantalum carbide film with a deposition rate of approximately 4 ⁇ /cycle.
  • the resistivity of a 200 ⁇ tantalum carbide film formed according to the procedure outlined in the examples above was about 1200 uohm*cm when TMA was used as the aluminum hydrocarbon and about 700 uohm*cm when TEA was used as the aluminum hydrocarbon reactant.
  • any element used in an embodiment can interchangeably be used in another embodiment unless such a replacement is not feasible.

Abstract

Methods of forming metal carbide films are provided. In some embodiments, a substrate is exposed to alternating pulses of a transition metal species and an aluminum hydrocarbon compound, such as TMA, DMAH, or TEA. The aluminum hydrocarbon compound is selected to achieve the desired properties of the metal carbide film, such as aluminum concentration, resistivity, adhesion and oxidation resistance. In some embodiments, the methods are used to form a metal carbide layer that determines the work function of a control gate in a flash memory.

Description

    REFERENCE TO RELATED APPLICATIONS
  • The present application claims priority under 35 U.S.C. §119(e) to U.S. provisional application No. 61/045,554, filed Apr. 16, 2008, the disclosure of which is hereby incorporated by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates generally to vapor deposition processes and, more particularly, to deposition of metal carbide films by vapor deposition processes.
  • 2. Description of the Related Art
  • Metal carbides have found use in various applications in the electronics industry, from gate electrodes to diffusion barriers. For example, tantalum carbide (TaC) is a low resistivity metal that can be used as an n-type metal oxide semiconductor (NMOS) gate electrode. Further, TaC has been found to be effective at inhibiting electromigration of noble metal atoms at the interface between metal interconnects and metal lines.
  • Generally, carbides of transition metal elements are in groups 4, 5, 6, 7, 8, 9, 10 and 11 of the periodic table. Transition metal carbides are relatively inert, have very high melting points, are extremely hard and wear resistant, and have high thermal conductivity and metal-like electrical conductivity.
  • Transition metal carbides can have a wide range of compositions. Ordered and disordered carbon deficient forms exist, of which the tungsten carbides, WCx, are examples. In these forms, carbon resides in the interstitial cavities between metal atoms.
  • Metal carbide films have been formed by various methods including chemical vapor deposition (CVD), physical vapor deposition (PVD) and atomic layer deposition (ALD).
  • A “thermal” ALD method of forming metal carbide films, wherein the substrate is sequentially and alternately contacted with vapor phase pulses of two or more source chemicals, is described in, for example, U.S. Pat. No. 6,482,262. According to the methods described therein, a transition metal source chemical and carbon source gas are alternately and sequentially pulsed into a reaction space comprising a substrate, which is maintained at an elevated temperature. The pulsing sequence is repeated to form a metal carbide (e.g., TaC) film of desired thickness. Due to the self-limiting nature of ALD, films are grown at rate of about one monolayer (ML) per deposition cycle.
  • A CVD method of depositing tungsten carbide from tungsten hexafluoride, hydrogen and a carbon-containing gas has been described in, for example, international patent application WO 00/47796. The carbon-containing compound is initially thermally activated. All of the gaseous source chemicals are introduced into a reaction space at the same time, resulting in the deposition of nonvolatile tungsten carbide on the substrate. A CVD reaction of WF6 with trimethylamine and H2 has been disclosed to yield WC films at 700° C.-800° C. and beta-WCx films at 400° C.-600° C. (Nakajima et al., J. Electrochem. Soc. 144 (1997) 2096-2100). The H2 flow rate was found to affect the deposition rate of the tungsten carbide films. A problem with the disclosed process is that the substrate temperature is rather high relative to thermal budgets for state-of-the-art semiconductor fabrication, particularly in metallization stages.
  • PVD processes generally deposit along a line-of-sight. One method of depositing tantalum carbide for a diffusion barrier layer by PVD has been described in U.S. Pat. No. 5,973,400. A tantalum carbide layer was formed by sputtering tantalum or tantalum carbide under an N2/CH4/Ar atmosphere. Line-of-sight deposition, however, means that complex substrate contours will have insufficient film coverage in shaded areas. Additionally, line-of-sight deposition means that low-volatility source material arriving directly from the source to the substrate will likely adhere to the first solid surface that it encounters, thus producing low-conformality coverage.
  • SUMMARY OF THE INVENTION
  • According to one aspect of the invention, methods for growing a metal carbide film over a substrate are provided. The methods generally comprise contacting a substrate in a reaction space with a first reactant that includes a metal source chemical and a second reactant that includes an aluminum hydrocarbon compound, thereby forming the metal carbide film over the substrate. The metal carbide film preferably comprises aluminum.
  • According to some embodiments of the invention, atomic layer deposition (ALD) processes for forming a metal carbide thin film on a substrate in a reaction space are provided. The methods comprising: alternately and sequentially contacting the substrate with vapor phase pulses of a first metal precursor and a first aluminum hydrocarbon compound, such that a metal carbide film comprising from about 6 to about 16% aluminum is formed. In some embodiments the aluminum hydrocarbon compound comprises one or more of trimethyl aluminum (TMA), triethyl aluminum (TEA), and dimethylaluminumhydride (DMAH).
  • In another aspect of the invention, metal carbide film comprising aluminum are deposited using aluminum hydrocarbon compounds. The amount of the aluminum can be controlled by selecting an appropriate aluminum hydrocarbon compound as the second reactant. Other reaction conditions, such as temperature pressure, pulse and purge length and plasma, can also be adjusted to achieve a desired aluminum concentration. In some embodiments the aluminum concentration is about 6%. In other embodiments the aluminum concentration is up to about 16%. However, higher concentrations are possible. By controlling the amount of aluminum, films with desirable characteristics can be formed, including low resistivity, good adhesion, and oxidation resistance.
  • In some embodiments, the resistivity of the metal carbide film is controlled by selecting appropriate deposition conditions, including the aluminum hydrocarbon reactant, the deposition temperature and the deposition pressure.
  • In another aspect of the invention, methods of making metal carbides with good adhesion properties are provided. In some embodiments, tantalum carbide films are deposited by ALD using tantalum halide precursors and TEA.
  • In other embodiments, the oxidation resistance of a metal carbide film is controlled by controlling the amount of aluminum in the metal carbide film. The amount of aluminum can be controlled by selection of an aluminum hydrocarbon reactant for use in an ALD process as a carburizing agent and by adjusting other reaction conditions.
  • In some embodiments methods of forming a metal carbide thin film with a desired level of oxidation resistance are provided. The methods comprise: depositing a metal carbide thin film by alternately and sequentially contacting a substrate with vapor phase pulses of a metal precursor and an aluminum hydrocarbon compound, wherein one or more reaction conditions are selected to produce a desired concentration of aluminum in the metal carbide thin film, and wherein the concentration of aluminum in the metal carbide is from about 1 to about 30%.
  • In other embodiments, the work function of a gate electrode is determined by controlling the amount of aluminum in a metal carbide film. The gate electrode may be, for example, a control gate in a flash memory structure or a gate electrode in a CMOS transistor. A gate stack can comprise a first gate electrode layer and a second gate electrode layer. The first gate electrode layer comprises a first metal carbide gate electrode material and the second gate electrode layer comprises a second gate electrode material, such as polysilicon, titanium or tantalum nitride or tungsten. Preferably the first and second gate electrode materials are conductive. In preferred embodiments, the first gate electrode material is different from the second gate electrode material. The work function of the gate electrode may be determined by the first metal carbide gate electrode material.
  • Methods for forming a flash memory comprising a metal carbide layer are also provided. In preferred embodiments a dielectric layer (tunnel oxide) is deposited over a substrate and a floating gate is deposited directly over the dielectric layer. The floating gate may comprise, for example, polysilicon. In some embodiments, such as for a TaNOS flash structure, a charge trap layer is used in place of the floating gate. The charge trap layer may be silicon nitride. A barrier oxide, such as AlO2, is deposited over the floating gate or charge trap layer and a control gate is formed over the barrier oxide.
  • In some embodiments the methods for forming a flash memory on a substrate comprise: forming a dielectric layer on the substrate; forming a charge trap layer directly over and adjacent to the dielectric layer; forming a barrier oxide directly over and adjacent to the charge trap layer: forming a metal carbide control gate over the barrier oxide; etching the dielectric layer, charge trap layer, barrier oxide and control gate to form a flash structure; and passivating the flash structure by depositing SiO2, wherein the metal carbide control gate comprises aluminum and during the deposition of SiO2 the aluminum in the metal carbide reacts with oxygen to self-passivate the control gate.
  • Forming the control gate preferably comprises depositing a metal carbide gate electrode layer by ALD using one or more aluminum hydrocarbon compounds, such that the metal carbide layer controls the work function of the control gate. The metal carbide layer is preferably deposited to a thickness of about 1 to 1000 Å, more preferably about 1 to 500 Å, and still more preferably about 25 to 200 Å. The deposition conditions, including temperature, pressure and reactant choice are adjusted to achieve a desired amount of aluminum in the metal carbide layer and thus produce the desired work function and other characteristics. For example, the aluminum content is preferably such that the film has good oxidation resistance and is able to self-passivate during subsequent patterning and/or deposition steps.
  • A further conductive layer, such as a polysilicon, metal or metal nitride layer, for example a titanium nitride or tungsten layer, may be deposited over the first gate electrode layer. The structure is then patterned, etched and passivated, for example with silicon oxide. During the passivation process, the edges of the metal carbide layer are exposed and the aluminum in the metal carbide reacts with oxygen to self-passivate the remaining metal film.
  • All of these embodiments are intended to be within the scope of the invention herein disclosed. These and other embodiments of the present invention will be readily apparent to those skilled in the art from the following detailed description of the preferred embodiments having reference to the attached figure, the invention not being limited to any particular preferred embodiment(s) disclosed.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The invention will be better understood from the Detailed Description of the Preferred Embodiments and from the appended drawing, which is meant to illustrate and not to limit the invention, and wherein:
  • FIG. 1 is a block diagram of a pulsing sequence in an ALD-type process according to some embodiment.
  • FIG. 2 is a schematic illustration of a flash memory structure formed according to some embodiments.
  • FIG. 3 is a schematic illustration of a gate electrode stack in a CMOS transistor.
  • DETAILED DESCRIPTION OF CERTAIN EMBODIMENTS
  • Metal carbide films, e.g. tantalum carbide (TaC) films, can be used to form various structures, such as control electrodes for flash memory structures. In such applications, it is desirable for the films to have good adhesion to underlying materials and also low resistivity and good oxidation resistance.
  • Metal carbide films with desirable properties can be formed by employing aluminum hydrocarbon compounds to carburize a metal film on a substrate. In some embodiments of the present invention, metal carbide films are formed over a substrate in ALD-type processes by contacting the substrate with alternating and sequential pulses of a metal compound and a carbon-containing compound, where the carbon containing compound is an aluminum hydrocarbon compound.
  • Using the methods and compositions described herein, metal carbide films with a controlled aluminum content can be formed on a substrate. A substrate in a reaction space is contacted with a vapor phase metal source chemical (or metal compound) and an aluminum hydrocarbon compound. The films preferably have good adhesion, low resistivity and good oxidation resistance. The characteristics of the metal carbide films including aluminum content, adhesion, resistivity and/or oxidation resistance can be controlled by selecting the appropriate aluminum hydrocarbon reactant. The reaction conditions, such as the reaction temperature, pressure, pulse and purge times, pulsing sequence and post deposition annealing can also be adjusted to achieve films with the desired properties. In some embodiments, the desired film characteristics may be achieved by using a plasma enhanced ALD process.
  • By selecting an appropriate aluminum hydrocarbon compound and appropriate reaction conditions, a metal carbide film with properties that are advantageous to a particular situation can be formed. For example, in some embodiments a film with low resistivity is formed using TMA, TEA or DMAH as the aluminum hydrocarbon compound. Films with good adhesion can be obtained in some embodiments using TEA and a metal halide reactant, such as TaCl5. Oxidation resistant films can be formed in some embodiments by selecting reactants and conditions that provide a desired level of aluminum in a metal carbide film. For example, in some embodiments films with an aluminum concentration of about 1-30%, more preferably about 6-16% are deposited in order to obtain a desired level of oxidation resistance.
  • Although described herein primarily in the context of flash memory applications, the metal carbide films and deposition processes can find use in a variety of contexts, as will be recognized by the skilled artisan. For example, the metal carbide film formed can be a component of an integrated circuit (IC), such as, e.g., a conductive diffusion barrier forming a part of a line in a dual damascene structure, a metal gate electrode in a CMOS transistor, such as an NMOS or PMOS gate electrode (depending on the aluminum concentration), or an anti-reflective coating. In other embodiments, the metal carbide film may form a part of hard coating on a substrate to protect against mechanical wear, or may be used as a component of a corrosion protection layer. In still other embodiments, the metal carbide film can be, e.g., used as part of a chemical reaction catalyst or as an etch stop barrier.
  • Definitions
  • In context of the present disclosure, an “ALD process” or “ALD type process” generally refers to a process for producing a film over a substrate monolayer (molecular layer) by monolayer using self-saturating chemical reactions. The general principles of ALD are disclosed, e.g., in T. Suntola in, e.g. the Handbook of Crystal Growth 3, Thin Films and Epitaxy, Part B: Growth Mechanisms and Dynamics, Chapter 14, Atomic Layer Epitaxy, pp. 601-663, Elsevier Science B.V. 1994, and U.S. Pat. Nos. 4,058,430 and 5,711,811, the disclosures of which are incorporated herein by reference. In an ALD process, gaseous reactants, i.e., precursors or source materials are alternately and sequentially conducted into a reaction space where they contact a substrate to provide a surface reaction. Reaction conditions are selected such that generally only up to about one monolayer (i.e. an atomic layer or a molecular layer) of material is deposited at a time during each pulsing cycle. Gas phase reactions between precursors and any undesired reactions of byproducts are inhibited because precursor pulses are separated from each other and the reaction chamber is purged with an inactive gas (e.g. nitrogen, argon, or hydrogen) and/or evacuated using, e.g., a pumping system between precursor pulses to remove surplus gaseous reactants and reaction byproducts, if any, from the chamber. Thus, the concentration profiles of the reactants in the reaction space with respect to time are not overlapping. However, the skilled artisan will recognize that more than one monolayer may be deposited in one or more ALD cycles despite the separation of reactant pulses.
  • “Plasma-excited species” refers to radicals, ions or other excited species generated via application of energy to a gas. Plasma-excited species may be generated using a direct plasma generator (i.e., “in situ” or “direct” plasma generation) and/or a remote plasma generator (i.e., “ex situ” or “remote” plasma generation). Energy may be applied (or coupled) to a gas via a variety of methods, such as inductive coupling, ultraviolet radiation, microwaves, capacitive coupling, application of RF power, etc. In the absence of coupling energy, plasma generation is terminated. Plasma-excited species include, without limitation, hydrogen and nitrogen radicals.
  • “Plasma parameters” is used to designate one or more plasma generation variables, including, without limitation, plasma generator power, gas pressure, gas (or reactant) flow rate, and plasma pulse duration. As an example, for plasma generation using RF power, plasma parameters include, without limitation, radio frequency (RF) power on time, RF power amplitude, RF power frequency or frequencies (for dual frequency systems), reactant concentration, reactant flow rate, reaction space pressure, total gas flow rate, reactant pulse durations and separations, and RF electrode spacing.
  • “Reaction space” is used to designate a reactor or reaction chamber (“chamber”), or an arbitrarily defined volume therein, in which conditions can be adjusted to effect film growth. The reaction space can be, for example, in a single-wafer ALD reactor or a batch ALD reactor, where deposition on multiple substrates takes place at the same time.
  • “Adsorption” is used to designate a chemical attachment of atoms or molecules on a surface.
  • “Substrate” is any surface on which deposition is desired, and in preferred embodiments can include any workpiece that is suitable for integrated circuit (IC) fabrication. Typical substrates include, without limitation, silicon, silica, coated silicon and high k materials, such as metal oxides.
  • “Surface” is used to designate a boundary between the reaction space and a feature of the substrate.
  • “Film” means a film that is grown on a substrate from elements or compounds that are transported as separate ions, atoms or molecules from a source to the substrate. The thickness of the film will depend upon the application and may vary in a wide range, preferably from one atomic layer to 100 nanometers (nm) or more. In some embodiments, such as where the film serves to set the work function in a flash memory, the thickness may be about 25 Å to 200 Å, although in some embodiments it may be as high as 500 Å or even 1000 Å. In other embodiments the film is less than about 200 Å in thickness, even more preferably less than about 100 Å, and most preferably less than about 50 Å, such as for a CMOS gate application.
  • “Metal carbide film” designates a film comprising at least one metal and carbon. The metal may be a single elemental metal or a plurality of metals, such as a metal alloy. The metal carbide film may be stoichiometric, e.g., TaC, or non-stoichiometric, e.g., TaCx, where ‘x’ is greater than one if the film has excess carbon or less than one if the film is carbon deficient. In preferred embodiments, metal carbide films deposited according to the methods described herein comprise a first metal, carbon, and aluminum. The first metal is typically not aluminum.
  • ALD Methods
  • ALD is based on self-limiting reactions, whereby sequential and alternating pulses of reaction precursors are used to deposit about one atomic (or molecular) monolayer of material per deposition pulse. The deposition conditions and precursors are selected to provide self-saturating reactions, such that an adsorbed layer in one pulse leaves a surface termination that is non-reactive with the gas phase reactants of the same pulse. A subsequent pulse of different reactants reacts with the previous termination to enable continued deposition. Thus, each cycle of alternated pulses typically leaves no more than about one monolayer of the desired material. However, as mentioned above, the skilled artisan will recognize that in one or more ALD cycles more than one monolayer of material may be deposited, for example if some gas phase reactions occur despite separate provisions of the reactants.
  • In a typical ALD-type process for depositing metal carbide films, one deposition cycle comprises exposing the substrate to a first reactant, removing any unreacted first reactant and reaction byproducts from the reaction space, exposing the substrate to a second reactant, followed by a second removal step. The first reactant is preferably a metal precursor and the second reactant is preferably a carburizing (or carbon-contributing) compound (although it is possible to begin the process with either reactant).
  • The metal compound preferably comprises one or more metals selected from the group consisting of titanium (Ti), zirconium (Zr), hafnium (Hf), vanadium (V), niobium (Nb), tantalum (Ta), chromium (Cr), molybdenum (Mo), tungsten (W), manganese (Mn), rhenium (Re), iron (Fe), cobalt (Co), nickel (Ni), copper (Cu), silver (Ag), gold (Au), palladium (Pd), platinum (Pt), rhodium (Rh), iridium (Ir), ruthenium (Ru) and osmium (Os).
  • Typically, halide reactants, such as, e.g., TaCl5 and HfCl4, are used as metal precursors in ALD deposition because these precursors are inexpensive and relatively stable, but at the same time reactive towards different types of surface groups.
  • Carbon-contributing compounds are preferably aluminum hydrocarbon compounds. The aluminum hydrocarbon compound may be, for example, an alkane, alkene or alkyne. In some embodiments the aluminum hydrocarbon compound is selected from the group consisting of trimethyl aluminum (TMA), triethyl aluminum (TEA) and dimethylaluminumhydride (DMAH).
  • The aluminum hydrocarbon compound is preferably selected to achieve desired characteristics in the metal carbide film. These include, without limitation, adhesion, resistivity, oxidation resistance and work function. In addition, by selecting an appropriate aluminum hydrocarbon compound and appropriate deposition conditions, the level of aluminum in the metal carbide film can be controlled. For example, to achieve a higher aluminum concentration in a particular film, TEA may be selected over TMA. In some embodiments, different aluminum hydrocarbon compounds may be used in different ALD deposition cycles to modify the aluminum incorporation in the metal carbide film. For example, in a deposition process to deposit a metal carbide layer a first ALD cycle may use a first aluminum compound and one or more ALD cycles may use a different aluminum compound.
  • The separation of precursors by inert gases, such as Ar, prevents gas-phase reactions between reactants and enables self-saturating surface reactions. Because the reactions self-saturate, strict temperature control of the substrates and precise dosage control of the precursors is not required. However, the substrate temperature is preferably such that an incident gas species does not condense into monolayers nor decompose on the surface. Surplus chemicals and reaction byproducts, if any, are removed from the reaction space before the next reactive chemical pulse is introduced into the chamber. Undesired gaseous molecules can be effectively expelled from the reaction space with the help of an inert purging gas. The purging gas directs the superfluous molecules out of the chamber. A vacuum pump may be used to assist in the purging.
  • According to some embodiments of the invention, an ALD-type process is used to form metal carbide films on a substrate, such as an integrated circuit workpiece. Preferably, each ALD cycle comprises two distinct deposition steps or phases. In a first phase of the deposition cycle (“the metal phase”), a first reactant comprising a metal (i.e., metal source material or chemical) is pulsed to the reaction space and chemisorbs onto the substrate surface, forming no more than about one monolayer on the surface of the substrate. The metal source material in this phase is selected such that, under the preferred conditions, the amount of metal source material that can be bound to the surface is determined by the number of available binding sites and by the physical size of the chemisorbed species (including ligands). The chemisorbed layer left by a pulse of the metal source chemical is self-terminated with a surface that is non-reactive with the remaining chemistry of that pulse. This phenomenon is referred to herein as “self-saturation.” One of skill in the art will recognize that the self-limiting nature of this phase makes the entire ALD cycle self-limiting.
  • The metal source material preferably includes a metal species desired in the film being deposited. In some embodiments, the metal source chemical, also referred to herein as the “metal compound,” is a halide and the adsorbed monolayer is terminated with halogen ligands. In some embodiments, the metal compound is selected from the group consisting of metal bromides, metal chlorides, and metal iodides. As an example, a tantalum-containing metal carbide film can be deposited using a metal compound selected from the group consisting of TaBrw, TaClz, and Talz, where w, x, y, and z are numbers from 1 to 5. In some embodiments, where a tantalum-carbide film is desired, TaCl5 is used as the metal compound.
  • Excess metal source material and reaction byproducts (if any) are removed from the reaction space, e.g., by purging with an inert gas. Excess metal source material and any reaction byproducts may be removed with the aid of a vacuum generated by a pumping system.
  • Maximum step coverage on the workpiece surface is typically obtained when the metal source material forms no more than about a single monolayer in each self-limiting pulse. Due to the size of the chemisorbed species and the number of reactive sites, somewhat less than a monolayer may be deposited in each pulse of metal reactant. Thus, the maximum coverage of metal source material may be less than a monolayer. Depending on the process conditions in some embodiments it may be possible that more than one monolayer of first reaction is found on the substrate surface.
  • In a second phase of the deposition cycle (“carbon-contributing phase”), a second reactant, also referred to herein as a “second source chemical”, is pulsed into the reaction space to react with the metal-containing molecules left on the substrate surface by the preceding pulse. The second source chemical is an aluminum hydrocarbon compound. Preferably, in the second phase carbon is incorporated into the film by the interaction of the second source chemical with the monolayer left by the metal source material. In preferred embodiments, reaction between the second source chemical and the chemisorbed metal species produces a metal carbide film over the substrate.
  • Aluminum may also be incorporated into the film in this second phase. Reaction conditions, including, without limitation, choice of reactant, temperature, pressure and pulse and purge times are adjusted to achieve a desired aluminum content in the film. In some embodiments the aluminum content may vary from about 0% to about 30, more preferably from about 6 to about 16%. In other embodiments the aluminum content may be higher.
  • The aluminum hydrocarbon may be selected from the group consisting of alkanes, alkenes and alkynes. For example, the carbon-containing compound may be TMA, DMAH, or TEA. In some embodiments more than one aluminum hydrocarbon compound may be used. For example, two or more aluminum hydrocarbon compounds may be provided simultaneously in the same pulse. In other embodiments two or more different aluminum hydrocarbon compounds are provided in distinct ALD cycles with a single deposition process.
  • Excess second source chemical and reaction byproducts, if any, are removed from the reaction space by a purging gas pulse and/or vacuum generated by a pumping system. Purging gas is preferably any inert gas, such as, without limitation, argon (Ar) or helium (He). A phase is generally considered to immediately follow another phase if a purge (i.e., purging gas pulse) or other reactant removal step intervenes.
  • Additional reactants may be utilized in some embodiments, for example to reduce the deposited film or to incorporate a further species in the film. In some embodiments a third reactant may be a reducing agent, such as plasma-excited species of hydrogen generated by, e.g., an in situ or remote plasma generator. The reducing agent may be pulsed to the reaction space (or generated in the reaction space) after the metal phase and/or the carbon-contributing phase to reduce the deposited film. The reducing agent can be used, for example, to remove impurities, such as halogen atoms or oxidizing material (e.g., oxygen atoms) in the film and/or the substrate. It may also be used to control the incorporation of aluminum into the metal carbide film, thereby controlling the properties of the film. In some embodiments, thermal ALD and plasma ALD cycles are used in the same deposition process to control aluminum concentration in the deposited film. The ratio of thermal ALD cycles to plasma ALD cycles can be selected to achieve the desired aluminum concentration and/or concentration profile in the thin film.
  • In some embodiments, plasma parameters can be selected to modify the level of incorporation of aluminum into the metal carbide film and/or ratio of tantalum to carbon. That is, in some embodiments, film composition can be controlled as a function of plasma parameters. In addition to composition, other film characteristics such as crystallinity, crystal lattice constant, resistivity and crystal stress can be adjusted by selecting appropriate plasma parameters.
  • In some embodiments, plasma parameters are selected from relationships that have been established between plasma parameters and film composition and characteristics. “Plasma parameters” may include, for example, RF power and RF frequency. One plasma parameter, such as RF power, or multiple plasma parameters, i.e., a set of plasma parameters, such as RF power and RF frequency may be adjusted in one or more ALD cycles to achieve the desired film properties. Plasma parameters are preferably selected to yield a metal carbide film with a desired composition. In some cases plasma parameters are selected to form a gate electrode with a particular composition to yield a desired gate stack work function.
  • In some embodiments, deposition recipes for metal carbide films are determined or designed by selecting plasma parameters. As an example, the RF power may be selected to affect a stoichiometry as desired. As another example, a particular plasma pulse duration or RF power on time can be used to obtain a desired composition. As still another example, the desired composition may be achieved by selecting a combination of RF power, reactant pulse duration, and reactant flow rate.
  • Preferably, the plasma-excited species comprises hydrogen. Plasma-excited species of hydrogen may include, without limitation, hydrogen radicals (H*) and hydrogen cations (e.g., H+, H2 +). Plasma-excited species of hydrogen may be formed in situ or remotely, for example from molecular hydrogen (H2) or hydrogen-containing compounds (e.g., silane, diborane, etc). In some embodiments, one or more of the reactants described herein can be provided as a plasma.
  • Relationships between deposition parameters such as plasma, reactants, etc. and thin film composition can be established by selecting parameter(s) and depositing a metallic carbide film by a particular atomic layer deposition process using the selected parameter(s) until a film of desired thickness is formed. The film composition and characteristics can then be determined and another film deposited using different parameters. This process can be repeated for different parameters to develop relationships between the parameters and film composition.
  • By selecting appropriate reaction conditions, a compound film with a composition as desired can be formed.
  • In one embodiment, formation of a metal carbide film via an ALD-type process comprises one or more deposition cycles, each comprising the steps of:
  • 1. providing a metal compound to the reaction space;
  • 2. purging and/or evacuating excess metal compound and reaction byproducts;
  • 3. providing an aluminum hydrocarbon compound to the reaction space; and
  • 4. purging and/or evacuating excess aluminum hydrocarbon compound and reaction byproducts from the reaction space.
  • Steps 1-4 can be referred to as a thermal ALD cycle. Steps 1-4 can be repeated as necessary to produce a metal carbide film of desired thickness and with a desired aluminum concentration. For example, steps 1-4 may be repeated up to 10, 100 or even 1000 or more times to produce metal carbide layers with uniform thicknesses ranging from one or several atomic layers to 100 nanometers (nm) or more. In some embodiments, steps 1-4 may be repeated until a metal carbide film is formed with a thickness of from about 1 to about 1000 Å, preferably less than about 1000 Å, more preferably less than about 500 Å. In some embodiments the film has a thickness of less than about 300 Å, and in other embodiments less than about 200 Å. In one embodiment, the thickness is preferably between about 100 Å and about 200 Å. In other embodiments the thickness is preferably from about 20 to about 200Å. The skilled artisan will appreciate that the thickness of the metal carbide film can vary depending on the particular application. As an example, for NMOS gate applications, the thickness is typically from about 50 Å to about 500 Å. As another example, for MIM capacitor applications (e.g., DRAM, eDRAM, etc.) the thickness range is typically from about 50 Å to about 200 Å. Further, for applications in which the metal carbide thin film serves to set the work function in a flash memory, the thickness is preferably between about 20 Å and about 200 Å.
  • In some embodiments, steps 1 and 2 are repeated a predetermined number of times prior to steps 3 and 4. For example, steps 1 and 2 may be repeated five times prior to steps 3 and 4. As another example, steps 1 and 2 may be repeated ten times prior to steps 3 and 4. It should be understood that if a metal carbide film with compositional uniformity is desired, the number of times steps 1 and 2 are repeated should not exceed that which will prevent substantial carburization of the metal film. In one embodiment, the metal compound has a low decomposition temperature and the number of times steps 1 and 2 are repeated does not exceed one.
  • As discussed herein, selection of hydrocarbon aluminum reactants can be used to achieve deposition of films with desired characteristics, such as adhesion, resistivity, oxidation resistance and/or work function. In some embodiments multiple aluminum hydrocarbon compounds are used. In addition, various reaction conditions can be manipulated to achieve the desired film qualities and composition. These reaction conditions include, but are not limited to, reaction temperature, source container temperature, pressure, flow rate, plasma parameters and pulse and purge times.
  • In one embodiment, formation of a metal carbide film via an ALD-type process comprises two or more deposition cycles, a first cycle comprising the steps of:
  • 1. providing a metal compound to the reaction space;
  • 2. purging and/or evacuating excess metal compound and reaction byproducts;
  • 3. providing a first aluminum hydrocarbon compound to the reaction space; and
  • 4. purging and/or evacuating excess aluminum hydrocarbon compound and reaction byproducts from the reaction space.
  • and a second deposition cycle comprising the steps of:
  • 5. providing a metal compound to the reaction space;
  • 6. purging and/or evacuating excess metal compound and reaction byproducts;
  • 7. providing a second aluminum hydrocarbon compound to the reaction space; and
  • 8. purging and/or evacuating excess aluminum hydrocarbon compound and reaction byproducts from the reaction space.
  • The first and second cycles need not be consecutive and the ratio of first cycles to second cycles can be selected to achieve the desired composition.
  • In some embodiments, the deposition cycles can begin with any of the reactants. Preferably the first and second aluminum hydrocarbon compounds are different compounds. Preferably the first and second aluminum hydrocarbon compounds comprise TMA, TEA, or DMAH. In some embodiments, the first aluminum hydrocarbon compound comprises TEA and the second hydrocarbon compound comprises TMA. In some embodiments, multiple deposition cycles using TEA are performed followed by multiple deposition cycles using TMA.
  • In some embodiments, the ratios between the first aluminum hydrocarbon pulses and second hydrocarbon pulses are between about 1:100 and 100:1. Preferably the ratio between first and second aluminum hydrocarbon pulses is about 5:1 to about 1:5. In some embodiments the ratio between first and second aluminum hydrocarbon pulses is about 1:1.
  • In some embodiments plasma can be used during the deposition of the metal carbide film. In one embodiment, formation of a metal carbide film via an ALD-type process comprises one or more plasma ALD deposition cycles, each comprising the steps of:
  • 1. providing a metal compound to the reaction space;
  • 2. purging and/or evacuating excess metal compound and reaction byproducts;
  • 3. providing a first aluminum hydrocarbon compound to the reaction space;
  • 4. purging and/or evacuating excess aluminum hydrocarbon compound and reaction byproducts from the reaction space;
  • 5. providing a plasma-excited species to the reaction space; and
  • 6. purging and/or evacuating excess plasma source and reaction byproducts from the reaction space.
  • Steps 1-6 can be referred to as the plasma deposition cycle. In some embodiments the plasma source can be provided after the metal compound and before the aluminum hydrocarbon compound. In some embodiments, the deposition cycle can begin with any of the reactants. Preferably, the plasma-excited species comprises hydrogen.
  • In some embodiments plasma ALD cylces and thermal ALD cycles are used in the same deposition process. The ratio between the thermal ALD cycles and plasma ALD cycles is typically between about 1:100 and 100:1. Preferably the ratio between first and second aluminum hydrocarbon pulses is about 5:1 t about 1:5. In some embodiments the ratio between thermal and plasma ALD cycles is about 1:1.
  • The following general conditions apply to any of the deposition cycles disclosed herein. The reaction temperature is preferably from about 150 to about 550° C., more preferably about 300 to about 400° C. In some embodiments the reaction temperature is about 350 to 375° C.
  • The reaction pressure is from about 0.5 to about 10 torr. In some embodiments the pressure is about 2 to about 7 torr. The pressure is preferably adjusted to achieve a desirable growth rate and acceptable uniformity.
  • In some embodiments the reactant vessel temperature can be selected to provide films with a desired characteristic. In some embodiments, the halide reactant vessel temperature is about 40° C. to about 80° C.. For example, for the deposition of tantalum containing films using a TaCl5 as a metal precursor, the reactant vessel temperature may be from about 45° C. to about 70° C., more preferably about 65° C.
  • The metal reactant pulse time is preferably from about 0.1 to about 20 seconds, more preferably from about 1 to about 10 seconds.
  • The aluminum hydrocarbon compound pulse time is preferably from about 0.1 to about 20 seconds, more preferably from about 0.5 to about 2 seconds. In some embodiments TMA is used as the aluminum hydrocarbon reactant and a pulse time of longer than about 1 second is used, more preferably about 2 seconds. In other embodiments TEA is used as the aluminum hydrocarbon reactant with a pulse time of about 1 second. In other embodiments DMAH is used as the aluminum hydrocarbon reactant with a pulse time of about 1 second. In other embodiments, two or more different aluminum hydrocarbon reactants can be used. In still other embodiments longer pulse times may be used. In some embodiments longer pulse times can be used for the aluminum hydrocarbon compound to affect the saturation of the compound on the substrate.
  • Purge times are generally from about 0.1 to about 10 seconds, more preferably about 2 to about 8 seconds. In some embodiments a purge time of about 6 seconds is used. However, in other embodiments longer purge times may be used. In some embodiments purge times are the same for purging the metal reactant and the aluminum hydrocarbon reactant, while in other embodiments the purge times are different for the different reactants.
  • Flow rates are generally from about 100 to about 400 sccm for the inert purge gas, such as Ar. The carrier flow for both metal precursors and aluminum hydrocarbons is preferably about 100 to about 400 sccm. The carrier gas is preferably an inert gas, such as Ar, and may be the same as or different from the purge gas. The flow rates of the purge and carrier gases can be determined based, in part, on the particular reactor, as will be appreciated by the skilled artisan.
  • With reference to FIG. 1, an exemplary embodiment for forming a metal carbide film by an ALD-type process is illustrated. After initial surface termination, if necessary, a first reactant or source material is supplied or pulsed 10 to the substrate or workpiece. In accordance with a preferred embodiment, the first reactant pulse comprises a carrier gas flow and a metal precursor, preferably a volatile halide compound that is reactive with the workpiece surfaces of interest. The halide compound comprises a metal species that is to form part of the metal carbide film. Accordingly, a metal-containing species adsorbs upon the workpiece surfaces. The first reactant pulse self-saturates the workpiece surfaces such that any excess constituents of the first reactant pulse do not further react with the monolayer formed by this process. Self-saturation is due to ligands, such as halide tails terminating the monolayer, protecting the layer from further reaction. In some embodiments the first reactant is a tantalum halide compound, such as TaCl5.
  • Excess first reactant is then removed 20 from the reaction space. Preferably, step 104 merely entails stopping the flow of the first reactant or chemistry while continuing to flow a carrier gas (e.g., Ar or H2) for a sufficient time to diffuse or purge excess reactants and reactant byproducts from the reaction space, preferably with greater than about two reaction chamber volumes of the purge gas, more preferably with greater than about three chamber volumes. Preferably, the removal 20 comprises continuing to flow purge gas for between about 0.1 seconds and 20 seconds after stopping the flow of the first reactant pulse. Inter-pulse purging is described in a co-pending U.S. patent application, having Ser. No. 09/392,371, filed Sep. 8, 1999 and entitled IMPROVED APPARATUS AND METHOD FOR GROWTH OF A THIN FILM, the disclosure of which is incorporated herein by reference. In other arrangements, the chamber may be pumped down between alternating chemistries. See, for example, PCT publication number WO 96/17107, published Jun. 6, 1996, entitled METHOD AND APPARATUS FOR GROWING THIN FILMS, the disclosure of which is incorporated herein by reference. Together, the adsorption 10 and reactant removal 20 represent the first phase 50 in the deposition cycle. The first phase 50 in the illustrated deposition cycle is thus the metal phase.
  • With continued reference to FIG. 1, a second reactant or source chemical is pulsed 30 to the workpiece. The second chemistry reacts with or adsorbs upon the monolayer left by the first reactant. In some embodiments, the second reactant removes ligands from the metal-containing species deposited in step 10. In the illustrated embodiment, the second reactant is an aluminum hydrocarbon compound that reacts with the layer deposited by the first reactant to form a metal carbide. The aluminum hydrocarbon compound deposits carbon in the metal layer formed in the metal phase. In some embodiments, the aluminum hydrocarbon compound is pulsed with a carrier gas (e.g., H2), preferably an inert carrier gas (e.g., He, Ar).
  • After a time period sufficient to deposit carbon in the growing film, provision of the aluminum hydrocarbon compound is terminated and reaction byproducts (preferably also volatile), if any, are removed 40 from the reaction space, preferably by a purge gas. The removal can be as described for step 20. Together, steps 30 and 40 represent a second phase of the illustrated ALD process, which can also be referred to as the carbon-contributing phase 60.
  • Steps 10-40 may be repeated 70 to form a metal carbide layer of a desired thickness. The repeat step 70 may be excluded if a metal carbide film with a thickness of about one monolayer or less is desired.
  • For the ALD-type processes describe herein, the substrate is preferably maintained at a temperature from about 150° C. to about 550° C., more preferably from about 350° C. to about 400° C. The chamber is preferably maintained at a pressure from about 200 mTorr to about 10 Torr, more preferably from about 1 Torr to about 8 Torr.
  • In some embodiments, the first reactant is a tantalum halide, such as TaCl5, the second reactant is an aluminum hydrocarbon compound such as TMA, DMAH, or TEA, and the film being formed is a tantalum carbide. The tantalum carbide film preferably comprises aluminum. In some embodiments the tantalum carbide film comprises from about 6 to about 16% aluminum.
  • In some embodiments, the film can be annealed after deposition. Annealing the film after deposition can modify the properties of the thin film. For example, annealing can modify the hydrogen and chlorine content of the film. Preferably, during annealing the substrate temperature is about 500° C. to about 1200° C. In some embodiments, the substrate temperature during the annealing step is about 600° C. to about 1000° C. Preferably, the annealing step is carried out in an inert atmosphere. Preferred inert atmospheres for annealing comprise nitrogen, helium, and argon. Preferably the pressure is around atmospheric pressure during the annealing step. In some embodiments, the pressure can be above or below atmospheric pressure. In some embodiments, the annealing atmosphere comprises a low oxygen partial pressure.
  • Flash Memory
  • In some embodiments flash memory structures are provided in which a metal carbide film is deposited by ALD as described herein to form at least a part of the control gate. The main elements of an exemplary flash memory structure are illustrated in FIG. 2. Å dielectric layer (tunnel oxide) 110 is deposited over a substrate 100. The dielectric layer 110 typically SiO2, although in some embodiments it may be a high-k material. High k materials are generally forms of metallic oxides with k values greater than about 7,such as aluminum oxide (Al2O3), zirconium oxide (ZrO2), hafnium oxide (HfO2), tantalum oxide (Ta2O5), barium strontium titanate (BST), strontium bismuth tantalate (SBT), lanthanide oxides, and combinations thereof, such as HfSiOx and HfZrOx. Although typically an oxide, the dielectric layer 1 10 may be another type of material.
  • A floating gate 120 is deposited directly over the dielectric layer. The floating gate 120 may comprise, for example, polysilicon. In some embodiments the floating gate 120 is replaced with a charge trap layer. In some embodiments the charge trap layer is silicon nitride, although other materials are possible.
  • A barrier oxide (or blocking dielectric) 130 is formed over the floating gate or charge trap layer 120. In some embodiments the barrier oxide 130 comprises Al2O3, although other materials such as AlLaOx, AlZrOx and HfLaO can be used. The barrier oxide 130 may also be, for example, an ONO (oxide nitride oxide) structure comprising a bottom dielectric material adjacent to the floating gate or charge trap layer 120, a top dielectric material adjacent to the overlying control gate 140 and an intervening nitride layer located between the top and bottom dielectric material.
  • A control gate 140 is formed over the barrier oxide. Forming control gate 140 preferably comprises depositing a metal carbide gate electrode layer 150 by ALD using one or more aluminum hydrocarbon compounds, such that the metal carbide layer controls the work function of the control gate 140. In preferred embodiments the metal carbide is TaC. The metal carbide layer 150 is preferably deposited to a thickness of about 100 to 200 Å. The deposition conditions, for example temperature, pressure, pulse and purge times, plasma conditions (if used) and reactant choice are adjusted to achieve a desired amount of aluminum in the metal carbide layer 150 and thus produce the desired work function. In addition, the aluminum content is preferably such that the film is able to self-passivate during subsequent patterning and/or deposition steps.
  • In some embodiments the metal carbide layer serves as the entire control gate. In other embodiments, a second upper gate electrode layer 160 is deposited over and adjacent to the first lower gate electrode layer 150. The second gate electrode layer 160 comprises a conductive material, such as polysilicon, titanium nitride and/or a metal, such as tungsten. The upper gate electrode layer 160 may be thicker than the lower gate electrode layer 150. In some embodiments the upper gate electrode layer 160 has a thickness of about 1000 Å. Typically, the upper gate electrode layer 160 does not contribute to the work function of the control gate 140. However, in some embodiments the thickness of the lower gate electrode layer 150 and the upper gate electrode layer 160 are selected so that each contributes to the work function such that a desired work function is achieved.
  • Suitable materials for the dielectric layer 1 10, the floating gate or charge trap layer 120, the barrier oxide 130 and upper gate electrode layer 160 (if present) are known in the art and may be selected by the skilled artisan based on the particular circumstances. The dielectric layer 110 can be deposited by any deposition method know in the art, such as ALD or PEALD. The upper gate electrode layer 160 is preferably deposited by a chemical vapor deposition (CVD) type or physical vapor deposition (PVD) type process. In some embodiments the barrier oxide 130 and the control gate 150 are deposited on the same platform without any air break.
  • The structure is then patterned, etched and passivated, for example with silicon oxide. During the passivation process, the edges of the metal carbide layer are exposed and the aluminum in the metal carbide reacts with oxygen to self-passivate the remaining metal film.
  • Gate Electrodes
  • In some embodiments transistor structures are provided in which a metal carbide film is deposited by ALD as described herein and forms at least a part of the gate electrode. A schematic illustration of a gate stack in a CMOS transistor is provided in FIG. 3. In particular, a semiconductor substrate 200 is shown with a transistor gate stack 210 formed thereover. In the illustrated embodiment, the substrate 200 comprises an upper portion of a single-crystal silicon wafer, though the skilled artisan will appreciate that the substrate can also comprise other semiconductor materials. The gate stack 210 includes a gate electrode layer 220 comprising metal carbide. Sidewall spacers 230 and an insulating layer 240 protect and isolate the electrode 220 in a conventional manner. Also illustrated is a more highly conductive strapping layer 250, typically including metal, over the silicon-containing gate electrode layer 220. The strap 250 facilitates rapid signal propagation among transistor gates across the wafer, connecting the gates to logic circuits. Note that integrated circuit transistors can have a variety of forms that do not all resemble that of FIG. 3. The gate electrode layer 220 of the preferred embodiments, however, will have application to gate electrodes in a variety of transistor types (e.g. heterojunction BiCMOS transistors).
  • At least a portion of the gate electrode 220 is formed by depositing a metal carbide layer by ALD using one or more aluminum hydrocarbon compounds. In some embodiments the metal carbide layer controls the work function of the gate electrode 220. In preferred embodiments the metal carbide comprises TaC. The metal carbide layer is preferably deposited to a thickness of about 20 to 200 Å. The deposition conditions, for example temperature, pressure, pulse and purge times, plasma conditions (if used) and reactant choice are adjusted to achieve a desired amount of aluminum in the metal carbide layer and thus produce the desired work function. In addition, the aluminum content is preferably such that the film is able to self-passivate during subsequent patterning and/or deposition steps.
  • In some embodiments the metal carbide layer serves as the entire gate electrode 220. In other embodiments, a second upper gate electrode layer is deposited over and adjacent to the metal carbide layer. The second upper gate electrode layer comprises a conductive material, such as polysilicon, titanium nitride and/or a metal, such as tungsten. The upper gate electrode layer may be thicker than the metal carbide gate electrode layer. In some embodiments the upper gate electrode layer has a thickness of about 1000 Å. Typically, the upper gate electrode layer does not contribute to the work function of the gate electrode. However, in some embodiments the thickness of the lower gate electrode layer and the upper gate electrode layer are selected so that each contributes to the work function such that a desired work function is achieved. The upper gate electrode layer is preferably deposited by a chemical vapor deposition (CVD) type or physical vapor deposition (PVD) type process.
  • Examples
  • Tantalum carbide films were deposited on silicon dioxide (SiO2), Al2O3, SiN, HfO2 and Ta2O5 substrates by ALD-type processes in both EmerALD and Pulsar reactors. The sequence of steps in the processes included alternately and sequentially pulsing a metal compound (TaCl5), an aluminum hydrocarbon (TMA or TEA) and a purge gas (Ar) into a reaction space containing the substrate. Deposition was conducted under a variety of reaction conditions.
  • The sequence of gas pulses was as follows:
  • (1) TaCl5 pulse;
  • (2) Ar purge;
  • (3) TMA or TEA pulse; and
  • (4) Ar purge.
  • Steps (1)-(4) were repeated to form tantalum carbide films.
  • Tantalum Carbide Deposition using TMA
  • In one experiment, tantalum carbide films were deposited on silicon dioxide (SiO2) from TMA and TaCl5 at a reaction temperature of about 375° C. The sequence of gas pulses and purges (milliseconds, “ms”) were as follows:
  • (1) TaCl5 pulse (1000 ms);
  • (2) Ar purge (3000 ms);
  • (3) TMA pulse (1000 ms); and
  • (4) Ar purge (3000 ms).
  • Steps (1)-(4) were repeated to form a uniform tantalum carbide film with a deposition rate of approximately 3.5 Å/cycle.
  • Tantalum Carbide Deposition using TEA
  • In another experiment, tantalum carbide films were deposited on silicon dioxide (SiO2) from TEA and TaCl5 at a reaction temperature of about 375° C. The sequence of gas pulses and purges (milliseconds, “ms”) were as follows:
  • (1) TaCl5 pulse (1000 ms);
  • (2) Ar purge (3000 ms);
  • (3) TEA pulse (2000 ms); and
  • (4) Ar purge (4000 ms).
  • Steps (1)-(4) were repeated to form a uniform tantalum carbide film with a deposition rate of approximately 4 Å/cycle.
  • TaC Film Properties
  • The resistivity of a 200 Å tantalum carbide film formed according to the procedure outlined in the examples above was about 1200 uohm*cm when TMA was used as the aluminum hydrocarbon and about 700 uohm*cm when TEA was used as the aluminum hydrocarbon reactant.
  • When deposited on an Al2O3 substrate, a TaC film deposited using TMA as the aluminum hydrocarbon compound delaminated from the substrate in scratch and tape tests. However, a TaC film deposited using TEA under otherwise identical conditions showed good adhesion using the same measures.
  • In at least some of the aforesaid embodiments, any element used in an embodiment can interchangeably be used in another embodiment unless such a replacement is not feasible.
  • It will be appreciated by those skilled in the art that various other omissions, additions and modifications may be made to the methods and structures described above without departing from the scope of the invention. All such modifications and changes are intended to fall within the scope of the invention, as defined by the appended claims.

Claims (47)

1. An atomic layer deposition process for forming a metal carbide thin film on a substrate in a reaction space, comprising:
alternately and sequentially contacting the substrate with vapor phase pulses of a first metal precursor and a first aluminum hydrocarbon compound, such that a metal carbide film comprising from about 6 to about 16% aluminum is formed.
2. The method of claim 1, wherein alternately and sequentially contacting the substrate with vapor phase pulses of a metal precursor and an aluminum hydrocarbon compound comprises a thermal ALD cycle comprising:
providing the first metal precursor to the reaction space;
removing excess metal compound and reaction byproducts;
providing the first aluminum hydrocarbon compound to the reaction space; and
removing excess aluminum hydrocarbon compound and reaction byproducts from the reaction space.
3. The method of claim 2, further comprising a plasma ALD cycle comprising providing a plasma-excited species to the reaction space.
4. The method of claim 3, wherein the plasma excited species comprises hydrogen radicals.
5. The method of claim 3, wherein the thermal ALD cycle and plasma ALD cycle are performed in a ratio of about 5:1 to about 1:5.
6. The method of claim 1, wherein the metal precursor is a metal halide.
7. The method of claim 6, wherein the metal halide is a tantalum halide.
8. The method of claim 7, wherein the metal halide is TaCl5.
9. The method of claim 1, wherein the aluminum hydrocarbon compound is selected from the group consisting of alkanes, alkenes and alkynes.
10. The method of claim 9, wherein the aluminum hydrocarbon compound is selected from the group consisting of trimethyl aluminum (TMA), triethyl aluminum (TEA), and dimethylaluminumhydride (DMAH).
11. The method of claim 2, further comprising a second thermal ALD cycle comprising providing a second aluminum hydrocarbon compound to the reaction space, wherein the second aluminum hydrocarbon compound is different from the first aluminum hydrocarbon.
12. The method of claim 10, wherein the thermal ALD cycle and second ALD cycle are performed in a ratio of about 5:1 to about 1:5.
13. The method of claim 1, wherein the metal carbide is tantalum carbide.
14. The method of claim 1, wherein the metal carbide is deposited to a thickness of about 1 to about 1000 Å.
15. The method of claim 14, wherein the metal carbide is deposited to a thickness of about 100 to about 200 Å.
16. The method of claim 1, wherein the atomic layer deposition process is carried out at a temperature of about 150° to about 550° C.
17. The method of claim 16, wherein the atomic layer deposition process is carried out at a temperature of about 350° to about 400° C.
18. The method of claim 1, wherein the atomic layer deposition process is carried out at a pressure of about 2 to 5 Torr.
19. The method of claim 1, wherein the metal carbide thin film serves as a control gate in a flash memory.
20. The method of claim 19, wherein the work function of the control gate is determined by the metal carbide.
21. The method of claim 1, wherein the metal carbide thin film serves as a gate metal for a gate electrode in a CMOS transistor.
22. The method of claim 21, wherein the metal carbide thin film sets the work function of the gate electrode.
23. The method of claim 1, further comprising annealing the thin film at a substrate temperature greater than 500° C.
24. A control gate in a flash memory structure comprising a tantalum carbide layer, wherein the tantalum carbide layer comprises aluminum and wherein the work function of the control gate is determined by the work function of the tantalum carbide layer.
25. The flash memory structure of claim 24, wherein the tantalum carbide layer comprises from about 6 to about 16% aluminum.
26. The flash memory structure of claim 24, wherein the tantalum carbide layer is from about 25 to about 200 Å thick.
27. A method for forming a flash memory on a substrate comprising:
forming a dielectric layer on the substrate;
forming a charge trap layer directly over and adjacent to the dielectric layer;
forming a barrier oxide directly over and adjacent to the charge trap layer:
forming a metal carbide control gate over the barrier oxide;
etching the dielectric layer, charge trap layer, barrier oxide and control gate to form a flash structure; and
passivating the flash structure by depositing SiO2,
wherein the metal carbide control gate comprises aluminum and during the deposition of SiO2 the aluminum in the metal carbide reacts with oxygen to self-passivate the control gate.
28. The method of claim 27, wherein the metal carbide comprises from about 6 to about 16% aluminum.
29. The method of claim 27, wherein the metal carbide control gate is from about 25 to about 200 Å thick.
30. The method of claim 27, wherein the metal carbide control gate is formed by an atomic layer deposition process comprising alternately and sequentially contacting the substrate with a vapor phase pulse of a metal halide and an aluminum hydrocarbon compound.
31. The method of claim 27, wherein the aluminum hydrocarbon compound is selected from the group consisting of trimethyl aluminum (TMA), triethyl aluminum (TEA), and dimethylaluminumhydride (DMAH).
32. The method of claim 27, wherein the metal halide is a tantalum halide.
33. The method of claim 27, wherein the tantalum halide is TaCl5.
34. A method of forming a metal carbide thin film with a desired level of oxidation resistance comprising:
depositing a metal carbide thin film by alternately and sequentially contacting a substrate with vapor phase pulses of a metal precursor and an aluminum hydrocarbon compound, wherein one or more reaction conditions are selected to produce a desired concentration of aluminum in the metal carbide thin film, and wherein the concentration of aluminum in the metal carbide is from about 1 to about 30%.
35. The method of claim 34, wherein the metal carbide thin film comprises from about 6 to about 16% aluminum.
36. The method of claim 34, wherein the one or more reaction conditions are selected from the nature of the aluminum hydrocarbon compound, the reaction temperature, the reaction pressure, the pulse time of the metal precursor, the pulse time of the aluminum hydrocarbon compound, use of a reactant comprising plasma, the pulsing sequence and post deposition annealing.
37. The method of claim 34, wherein the metal precursor is a metal halide.
38. The method of claim 34, wherein the aluminum hydrocarbon compound is selected from the group consisting of alkanes, alkenes and alkynes.
39. The method of claim 38, wherein the aluminum hydrocarbon compound is selected from the group consisting of trimethyl aluminum (TMA), triethyl aluminum (TEA), and dimethylaluminumhydride (DMAH).
40. The method of claim 39, wherein multiple aluminum hydrocarbon compounds are used.
41. The method of claim 39, wherein the ratio between the multiple aluminum hydrocarbon compounds is selected to produce a desired concentration of aluminum in the metal carbide thin film.
42. The method of claim 34, wherein the metal carbide is tantalum carbide.
43. The method of claim 34, wherein the metal carbide is deposited to a thickness of about 1 to about 1000 Å.
44. The method of claim 34, wherein the metal carbide thin film serves as a control gate in a flash memory.
45. The method of claim 44, wherein the work function of the control gate is determined by the metal carbide.
46. The method of claim 34, wherein the atomic layer deposition process is carried out at a pressure of about 0.5 to 10 Torr.
47. The method of claim 34, wherein the atomic layer deposition process is carried out at a temperature of about 150° to about 550° C.
US12/424,470 2008-04-16 2009-04-15 Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds Abandoned US20090315093A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US12/424,470 US20090315093A1 (en) 2008-04-16 2009-04-15 Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US14/069,681 US9631272B2 (en) 2008-04-16 2013-11-01 Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US4555408P 2008-04-16 2008-04-16
US12/424,470 US20090315093A1 (en) 2008-04-16 2009-04-15 Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/069,681 Continuation US9631272B2 (en) 2008-04-16 2013-11-01 Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds

Publications (1)

Publication Number Publication Date
US20090315093A1 true US20090315093A1 (en) 2009-12-24

Family

ID=41199714

Family Applications (2)

Application Number Title Priority Date Filing Date
US12/424,470 Abandoned US20090315093A1 (en) 2008-04-16 2009-04-15 Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US14/069,681 Active 2030-05-03 US9631272B2 (en) 2008-04-16 2013-11-01 Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds

Family Applications After (1)

Application Number Title Priority Date Filing Date
US14/069,681 Active 2030-05-03 US9631272B2 (en) 2008-04-16 2013-11-01 Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds

Country Status (5)

Country Link
US (2) US20090315093A1 (en)
JP (1) JP5551681B2 (en)
KR (1) KR101540077B1 (en)
TW (1) TWI488990B (en)
WO (1) WO2009129332A2 (en)

Cited By (320)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070148350A1 (en) * 2005-10-27 2007-06-28 Antti Rahtu Enhanced thin film deposition
US20110083883A1 (en) * 2009-09-30 2011-04-14 Kyocera Corporation Wiring board and mount structure
US20110100691A1 (en) * 2009-10-30 2011-05-05 Kyocera Corporation Circuit Board and Structure Using the Same
WO2012060983A2 (en) * 2010-10-25 2012-05-10 Asm America, Inc. Ternary metal alloys with tunable stoichiometries
WO2013043501A1 (en) * 2011-09-23 2013-03-28 Applied Materials, Inc. Metal-aluminum alloy films from metal amidinate precursors and aluminum precursors
WO2013070702A1 (en) * 2011-11-08 2013-05-16 Applied Materials, Inc. Deposition of metal films using alane-based precursors
US20130157475A1 (en) * 2011-12-16 2013-06-20 David Thompson Film Deposition Using Tantalum Precursors
US20140024223A1 (en) * 2011-04-07 2014-01-23 Picosun Oy Atomic Layer Deposition with Plasma Source
WO2014066482A1 (en) * 2012-10-23 2014-05-01 Applied Materials, Inc. Deposition of films comprising aluminum alloys with high aluminum content
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
WO2014164742A1 (en) * 2013-03-11 2014-10-09 Intermolecular, Inc Atomic layer deposition of hfaic as a metal gate workfunction material in mos devices
US9064857B2 (en) 2012-12-19 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. N metal for FinFET
US9145612B2 (en) 2012-07-06 2015-09-29 Applied Materials, Inc. Deposition of N-metal films comprising aluminum alloys
US9337295B2 (en) 2013-07-23 2016-05-10 Samsung Electronics Co., Ltd. Semiconductor devices and methods of manufacturing the same
WO2016099755A1 (en) * 2014-12-15 2016-06-23 Applied Materials, Inc. Ultra-thin dielectric diffusion barrier and etch stop layer for advanced interconnect applications
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US20160376704A1 (en) * 2015-06-26 2016-12-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9580800B2 (en) 2014-03-05 2017-02-28 Samsung Electronics Co., Ltd. Method for operating semiconductor manufacturing equipment
US9607904B2 (en) 2013-03-11 2017-03-28 Intermolecular, Inc. Atomic layer deposition of HfAlC as a metal gate workfunction material in MOS devices
US20170138877A1 (en) * 2014-08-29 2017-05-18 Kyocera Corporation Sensor board, lead-bearing sensor board, and sensor device
US9704716B2 (en) 2013-03-13 2017-07-11 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US20180094352A1 (en) * 2016-09-30 2018-04-05 Uchicago Argonne, Llc Systems and methods for metal layer adhesion
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
CN109563620A (en) * 2017-06-12 2019-04-02 株式会社爱发科 The forming method of film
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
CN110349854A (en) * 2018-04-02 2019-10-18 三星电子株式会社 The method for manufacturing semiconductor device
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10600637B2 (en) 2016-05-06 2020-03-24 Asm Ip Holding B.V. Formation of SiOC thin films
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11380697B2 (en) 2020-02-25 2022-07-05 Tokyo Electron Limited Raised pad formations for contacts in three-dimensional structures on microelectronic workpieces
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11959168B2 (en) 2021-04-26 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6039996B2 (en) * 2011-12-09 2016-12-07 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and program
JP6084070B2 (en) * 2013-02-28 2017-02-22 株式会社日立国際電気 Semiconductor device manufacturing method, program, and substrate processing apparatus
US9245742B2 (en) 2013-12-18 2016-01-26 Asm Ip Holding B.V. Sulfur-containing thin films
US10204790B2 (en) 2015-07-28 2019-02-12 Asm Ip Holding B.V. Methods for thin film deposition
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
KR101818610B1 (en) * 2015-11-12 2018-01-16 성균관대학교산학협력단 Metal carbon oxide film comprising carbon, oxygen, and metal and fabrication method thereof
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
KR20190142334A (en) * 2017-04-28 2019-12-26 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Semiconductor device and manufacturing method of semiconductor device
US20200362458A1 (en) * 2019-05-14 2020-11-19 Applied Materials, Inc. Deposition of rhenium-containing thin films

Citations (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3708728A (en) * 1971-06-10 1973-01-02 Int Standard Electric Corp Electrolytic capacitor electrode comprising semiconductor core with film-forming metal coating
US4085430A (en) * 1974-01-24 1978-04-18 U.S. Philips Corporation Thin film magnetic head with a gap formed between a loop shaped core part and a bridging core part
US4282267A (en) * 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4565747A (en) * 1983-11-11 1986-01-21 Research Development Corporation Boron nitride containing titanium nitride, method of producing the same and composite ceramics produced therefrom
US4747367A (en) * 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4761269A (en) * 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4767494A (en) * 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
US4851095A (en) * 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
US4935661A (en) * 1985-06-29 1990-06-19 Stc Plc Pulsed plasma apparatus and process
US5221556A (en) * 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US5281274A (en) * 1990-06-22 1994-01-25 The United States Of America As Represented By The Secretary Of The Navy Atomic layer epitaxy (ALE) apparatus for growing thin films of elemental semiconductors
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5316793A (en) * 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5342652A (en) * 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
US5382333A (en) * 1990-07-30 1995-01-17 Mitsubishi Gas Chemical Company, Inc. Process for producing copper clad laminate
US5438028A (en) * 1993-10-15 1995-08-01 Texaco Inc. Method of enhancing finished catalysts
US5443647A (en) * 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5595784A (en) * 1995-08-01 1997-01-21 Kaim; Robert Titanium nitride and multilayers formed by chemical vapor deposition of titanium halides
US5603771A (en) * 1992-09-24 1997-02-18 Office National D'etudes Et De Recherches Aerospatiales Chemical vapor deposition apparatus activated by a microwave plasma
US5618395A (en) * 1989-10-11 1997-04-08 U.S. Philips Corporation Method of plasma-activated reactive deposition of electrically conducting multicomponent material from a gas phase
US5711811A (en) * 1994-11-28 1998-01-27 Mikrokemia Oy Method and equipment for growing thin films
US5723384A (en) * 1995-11-03 1998-03-03 Samsung Electronics Co., Ltd. Method for manufacturing a capacitor in a semiconductor device using selective tungsten nitride thin film
US5744254A (en) * 1995-05-24 1998-04-28 Virginia Tech Intellectual Properties, Inc. Composite materials including metallic matrix composite reinforcements
US5769950A (en) * 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US5789024A (en) * 1996-05-15 1998-08-04 New Jersey Institute Of Technology Subnanoscale composite, N2-permselective membrane for the separation of volatile organic compounds
US5855680A (en) * 1994-11-28 1999-01-05 Neste Oy Apparatus for growing thin films
US5915004A (en) * 1996-07-11 1999-06-22 Microsoft Corporation Moving a messaging system mailbox
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5946598A (en) * 1996-11-18 1999-08-31 United Microelectronics Corporation Process of fabricating metal gate electrode
US5947710A (en) * 1995-12-07 1999-09-07 Carrier Corporation Rotary compressor with reduced lubrication sensitivity
US6015590A (en) * 1994-11-28 2000-01-18 Neste Oy Method for growing thin films
US6087257A (en) * 1996-11-12 2000-07-11 Samsung Electronics Co., Ltd. Methods of fabricating a selectively deposited tungsten nitride layer and metal wiring using a tungsten nitride layer
US6099904A (en) * 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
US6104074A (en) * 1997-12-11 2000-08-15 Apa Optics, Inc. Schottky barrier detectors for visible-blind ultraviolet detection
US6174809B1 (en) * 1997-12-31 2001-01-16 Samsung Electronics, Co., Ltd. Method for forming metal layer using atomic layer deposition
US6188134B1 (en) * 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6194310B1 (en) * 2000-06-01 2001-02-27 Sharp Laboratories Of America, Inc. Method of forming amorphous conducting diffusion barriers
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6200389B1 (en) * 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6234646B1 (en) * 1998-07-24 2001-05-22 Stanley Electric Co., Ltd. Vehicular signal lamp having a plurality of light-emitting diodes
US6270572B1 (en) * 1998-08-07 2001-08-07 Samsung Electronics Co., Ltd. Method for manufacturing thin film using atomic layer deposition
US20020004293A1 (en) * 2000-05-15 2002-01-10 Soininen Pekka J. Method of growing electrical conductors
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6355561B1 (en) * 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US6380627B1 (en) * 1998-06-26 2002-04-30 The Regents Of The University Of California Low resistance barrier layer for isolating, adhering, and passivating copper metal in semiconductor fabrication
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6416577B1 (en) * 1997-12-09 2002-07-09 Asm Microchemistry Ltd. Method for coating inner surfaces of equipment
US20020104481A1 (en) * 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US20030032281A1 (en) * 2000-03-07 2003-02-13 Werkhoven Christiaan J. Graded thin films
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US20030072975A1 (en) * 2001-10-02 2003-04-17 Shero Eric J. Incorporation of nitrogen into high k dielectric film
US20030082296A1 (en) * 2001-09-14 2003-05-01 Kai Elers Metal nitride deposition by ALD with reduction pulse
US20030104126A1 (en) * 2001-10-10 2003-06-05 Hongbin Fang Method for depositing refractory metal layers employing sequential deposition techniques
US6576053B1 (en) * 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
US20030123216A1 (en) * 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US20030127043A1 (en) * 2001-07-13 2003-07-10 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US6599572B2 (en) * 2000-01-18 2003-07-29 Asm Microchemistry Oy Process for growing metalloid thin films utilizing boron-containing reducing agents
US20030153181A1 (en) * 2002-02-11 2003-08-14 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) * 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US20030161952A1 (en) * 2002-02-26 2003-08-28 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6706115B2 (en) * 2001-03-16 2004-03-16 Asm International N.V. Method for preparing metal nitride thin films
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20050037557A1 (en) * 2003-08-15 2005-02-17 Mark Doczy Transition metal alloys for use as a gate electrode and devices incorporating these alloys
US6863727B1 (en) * 1999-10-15 2005-03-08 Asm International N.V. Method of depositing transition metal nitride thin films
US20050064247A1 (en) * 2003-06-25 2005-03-24 Ajit Sane Composite refractory metal carbide coating on a substrate and method for making thereof
US20050095763A1 (en) * 2003-10-29 2005-05-05 Samavedam Srikanth B. Method of forming an NMOS transistor and structure thereof
US20050095443A1 (en) * 2003-10-31 2005-05-05 Hyungjun Kim Plasma enhanced ALD of tantalum nitride and bilayer
US20050102205A1 (en) * 1998-08-27 2005-05-12 Ntt Docomo, Inc. Communication network
US20050106877A1 (en) * 1999-10-15 2005-05-19 Kai-Erik Elers Method for depositing nanolaminate thin films on sensitive surfaces
US6902763B1 (en) * 1999-10-15 2005-06-07 Asm International N.V. Method for depositing nanolaminate thin films on sensitive surfaces
US6936535B2 (en) * 2000-12-06 2005-08-30 Asm International Nv Copper interconnect structure having stuffed diffusion barrier
US20060019494A1 (en) * 2002-03-04 2006-01-26 Wei Cao Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US20060049931A1 (en) * 2004-09-07 2006-03-09 Minoru Sugimura Crime preventing device
US20060063395A1 (en) * 2004-09-17 2006-03-23 Dongbuanam Semiconductor Inc. Manufacturing method of a semiconductor device
US7045406B2 (en) * 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
US20060147626A1 (en) * 2004-12-30 2006-07-06 Blomberg Tom E Method of pulsing vapor precursors in an ALD reactor
US20060165892A1 (en) * 2005-01-27 2006-07-27 Applied Materials, Inc. Ruthenium containing layer deposition method
US20070018342A1 (en) * 2005-07-20 2007-01-25 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US20070069177A1 (en) * 2005-09-29 2007-03-29 Peters David W Organometallic compounds, processes for the preparation thereof and methods of use thereof
US7211508B2 (en) * 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US7211507B2 (en) * 2004-06-02 2007-05-01 International Business Machines Corporation PE-ALD of TaN diffusion barrier region on low-k materials
US20070148350A1 (en) * 2005-10-27 2007-06-28 Antti Rahtu Enhanced thin film deposition
US20080102204A1 (en) * 2006-11-01 2008-05-01 Kai-Erik Elers Vapor deposition of metal carbide films
US20080113110A1 (en) * 2006-10-25 2008-05-15 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US20080182411A1 (en) * 2007-01-26 2008-07-31 Asm America, Inc. Plasma-enhanced ald of tantalum nitride films
US7416981B2 (en) * 2004-10-14 2008-08-26 Samsung Electronics Co., Ltd. Method of forming metal layer used in the fabrication of semiconductor device
US7666474B2 (en) * 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films

Family Cites Families (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (en) 1974-11-29 1977-05-31 Sateko Oy PROCEDURE AND PERFORMANCE OF LAYING BETWEEN THE STORAGE IN A LABOR PACKAGE
JPS5833841A (en) 1981-08-24 1983-02-28 Hitachi Ltd Semiconductor device
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US4981724A (en) 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
JPH0831454B2 (en) 1989-04-21 1996-03-27 日本電気株式会社 Method for manufacturing semiconductor device
JP2822536B2 (en) 1990-02-14 1998-11-11 住友電気工業株式会社 Method for forming cubic boron nitride thin film
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5356673A (en) 1991-03-18 1994-10-18 Jet Process Corporation Evaporation system and method for gas jet deposition of thin film materials
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
CA2059818C (en) 1991-08-21 1995-01-03 Gokichi Hatouchi Apparatus for storing articles
JPH05335257A (en) 1992-06-03 1993-12-17 Showa Shell Sekiyu Kk Formation of p-type silicon carbide
JPH0637041A (en) 1992-07-15 1994-02-10 Hitachi Ltd Forming method for wiring member
JPH0669157A (en) 1992-08-21 1994-03-11 Sony Corp Method for forming titanium film
JP3356531B2 (en) 1994-02-15 2002-12-16 東京エレクトロン株式会社 Method for forming boron-containing polysilicon film
DE69531170T2 (en) 1994-11-30 2004-05-06 Micron Technology, Inc. Uneven semiconductor storage capacitor
US5780164A (en) 1994-12-12 1998-07-14 The Dow Chemical Company Computer disk substrate, the process for making same, and the material made therefrom
WO1996018756A1 (en) 1994-12-16 1996-06-20 Nkt Research Center A/S A PA-CVD PROCESS FOR DEPOSITION OF A SOLID METAL-CONTAINING FILM ONTO A SUBSTRATE CONTAINING AT LEAST 50 % of Fe or WC
DE59503388D1 (en) 1994-12-27 1998-10-01 Siemens Ag METHOD FOR PRODUCING BORED, SINGLE-CRYSTALLINE SILICON CARBIDE
US6006763A (en) 1995-01-11 1999-12-28 Seiko Epson Corporation Surface treatment method
JPH08264530A (en) 1995-03-20 1996-10-11 Fujitsu Ltd Method and system for fabricating semiconductor device
DE19514081A1 (en) 1995-04-13 1996-10-17 Siemens Ag Method of making electrical contact on a SiC surface
JPH0987857A (en) 1995-09-27 1997-03-31 Res Dev Corp Of Japan Carbide coating method by plasma cvd
TW328971B (en) 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
US6509098B1 (en) 1995-11-17 2003-01-21 Massachusetts Institute Of Technology Poly(ethylene oxide) coated surfaces
US5946594A (en) 1996-01-02 1999-08-31 Micron Technology, Inc. Chemical vapor deposition of titanium from titanium tetrachloride and hydrocarbon reactants
US5965004A (en) 1996-03-13 1999-10-12 Sterling Pulp Chemicals, Ltd. Chlorine dioxide generation for water treatment
CA2172870A1 (en) 1996-03-28 1997-09-29 Michael Lambert Connectors for a modular building set
FI100758B (en) 1996-09-11 1998-02-13 Planar Internat Oy Ltd Methods to Form a Luminescence Layer of ZnS: Mn for Thin Film Electroluminescence Components
JP3353874B2 (en) 1996-09-24 2002-12-03 シャープ株式会社 Semiconductor device and manufacturing method thereof
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (en) 1997-07-31 2000-10-16 윤종용 Integrate circuit device having buffer layer containing metal oxide stabilized by low temperature treatment and fabricating method thereof
KR100261017B1 (en) 1997-08-19 2000-08-01 윤종용 Method for forming metal wiring of semiconductor device
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100274603B1 (en) 1997-10-01 2001-01-15 윤종용 Method and apparatus for fabricating semiconductor device
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
KR100287174B1 (en) 1998-03-17 2001-04-16 윤종용 Method for synthesis of thin film of multi-element oxide and nitride
DE19832245A1 (en) 1998-07-17 2000-01-20 Heidelberger Druckmasch Ag Procedure to operate image reproduction device, image data detection device, image data processing device, image output and transfer device
ATE408035T1 (en) 1999-02-11 2008-09-15 Hardide Ltd TUNGSTEN CARBIDE COATINGS AND METHOD FOR THE PRODUCTION THEREOF
JP2002536549A (en) 1999-02-12 2002-10-29 ゲレスト インコーポレイテッド Chemical vapor deposition of tungsten nitride
KR20000060438A (en) 1999-03-16 2000-10-16 이경수 Method for forming aluminum oxide films
KR100273473B1 (en) 1999-04-06 2000-11-15 이경수 Method for forming a thin film
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6613383B1 (en) 1999-06-21 2003-09-02 Regents Of The University Of Colorado Atomic layer controlled deposition on particle surfaces
FI117942B (en) 1999-10-14 2007-04-30 Asm Int Process for making oxide thin films
JP5173098B2 (en) 1999-10-15 2013-03-27 エーエスエム インターナショナル エヌ.ヴェー. Conformal lining layer for damascene metallization
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
AU1088401A (en) 1999-10-15 2001-04-30 Asm Microchemistry Oy Deposition of transition metal carbides
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
KR20010075977A (en) 2000-01-21 2001-08-11 윤덕용 Method for Growth of Hexagonal MN Thin Films Using Single-Source Precursors
US6137706A (en) 2000-02-19 2000-10-24 Pulizzi Engineering Inc Dual-input, automatic-switching power supply
KR20010088044A (en) 2000-03-10 2001-09-26 윤종용 Active antenna apparatus of satelite portable phone
WO2001078123A1 (en) 2000-04-11 2001-10-18 Genitech Co., Ltd. Method of forming metal interconnects
DE10018156A1 (en) 2000-04-12 2001-10-25 Bosch Gmbh Robert Electric motor
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
EP2293322A1 (en) 2000-06-08 2011-03-09 Genitech, Inc. Method for forming a metal nitride layer
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
KR100532081B1 (en) 2001-05-14 2005-11-30 엘지.필립스 엘시디 주식회사 Rework method for indium tin oxide in tft-lcd
KR100404342B1 (en) 2001-07-10 2003-11-03 주식회사 아펙스 Ultraviolet Irradiation Apparatus
US20040206008A1 (en) 2001-07-16 2004-10-21 Chien-Min Sung SiCN compositions and methods
US7098131B2 (en) 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
US7138336B2 (en) 2001-08-06 2006-11-21 Asm Genitech Korea Ltd. Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof
ES2217229T3 (en) 2001-08-06 2004-11-01 Degussa Ag ORGANOSILICIO COMPOUNDS.
DE10140256B4 (en) 2001-08-07 2012-09-06 Bos Gmbh & Co. Kg Protective device for a loading space of a vehicle
KR100405259B1 (en) 2001-10-19 2003-11-12 홍영기 sling of fire fighting water gun
KR100441568B1 (en) 2001-11-16 2004-07-23 한스타 디스플레이 코퍼레이션 Thermal dissipation structure
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US20040129212A1 (en) 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
KR100505043B1 (en) 2002-05-25 2005-07-29 삼성전자주식회사 Method for forming a capacitor
KR20030093575A (en) 2002-06-03 2003-12-11 주식회사 하이닉스반도체 Method for fabricating capacitor using high selectivity nitride
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7144806B1 (en) 2002-10-23 2006-12-05 Novellus Systems, Inc. ALD of tantalum using a hydride reducing agent
US7198820B2 (en) * 2003-02-06 2007-04-03 Planar Systems, Inc. Deposition of carbon- and transition metal-containing thin films
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
KR100773755B1 (en) * 2004-11-18 2007-11-09 주식회사 아이피에스 A method for depositing thin film using ALD
KR100640550B1 (en) 2005-01-26 2006-10-31 주식회사 아이피에스 a method for depositing thin film using ALD
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
JP4931171B2 (en) 2005-03-03 2012-05-16 株式会社アルバック Method for forming tantalum nitride film
US8486845B2 (en) 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7459392B2 (en) 2005-03-31 2008-12-02 Intel Corporation Noble metal barrier and seed layer for semiconductors
US7220671B2 (en) * 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
US7407876B2 (en) * 2006-03-20 2008-08-05 Tokyo Electron Limited Method of plasma enhanced atomic layer deposition of TaC and TaCN films having good adhesion to copper
JP4838766B2 (en) * 2006-10-20 2011-12-14 古河機械金属株式会社 Group III nitride semiconductor substrate manufacturing method and group III nitride semiconductor substrate
US8795771B2 (en) 2006-10-27 2014-08-05 Sean T. Barry ALD of metal-containing films using cyclopentadienyl compounds
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3708728A (en) * 1971-06-10 1973-01-02 Int Standard Electric Corp Electrolytic capacitor electrode comprising semiconductor core with film-forming metal coating
US4085430A (en) * 1974-01-24 1978-04-18 U.S. Philips Corporation Thin film magnetic head with a gap formed between a loop shaped core part and a bridging core part
US4282267A (en) * 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4565747A (en) * 1983-11-11 1986-01-21 Research Development Corporation Boron nitride containing titanium nitride, method of producing the same and composite ceramics produced therefrom
US4935661A (en) * 1985-06-29 1990-06-19 Stc Plc Pulsed plasma apparatus and process
US5769950A (en) * 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4747367A (en) * 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4761269A (en) * 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4767494A (en) * 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
US5221556A (en) * 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US4851095A (en) * 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
US5618395A (en) * 1989-10-11 1997-04-08 U.S. Philips Corporation Method of plasma-activated reactive deposition of electrically conducting multicomponent material from a gas phase
US5281274A (en) * 1990-06-22 1994-01-25 The United States Of America As Represented By The Secretary Of The Navy Atomic layer epitaxy (ALE) apparatus for growing thin films of elemental semiconductors
US5382333A (en) * 1990-07-30 1995-01-17 Mitsubishi Gas Chemical Company, Inc. Process for producing copper clad laminate
US5342652A (en) * 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5316793A (en) * 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5603771A (en) * 1992-09-24 1997-02-18 Office National D'etudes Et De Recherches Aerospatiales Chemical vapor deposition apparatus activated by a microwave plasma
US5443647A (en) * 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5438028A (en) * 1993-10-15 1995-08-01 Texaco Inc. Method of enhancing finished catalysts
US6200389B1 (en) * 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
US6015590A (en) * 1994-11-28 2000-01-18 Neste Oy Method for growing thin films
US5855680A (en) * 1994-11-28 1999-01-05 Neste Oy Apparatus for growing thin films
US5711811A (en) * 1994-11-28 1998-01-27 Mikrokemia Oy Method and equipment for growing thin films
US5744254A (en) * 1995-05-24 1998-04-28 Virginia Tech Intellectual Properties, Inc. Composite materials including metallic matrix composite reinforcements
US5595784A (en) * 1995-08-01 1997-01-21 Kaim; Robert Titanium nitride and multilayers formed by chemical vapor deposition of titanium halides
US5723384A (en) * 1995-11-03 1998-03-03 Samsung Electronics Co., Ltd. Method for manufacturing a capacitor in a semiconductor device using selective tungsten nitride thin film
US5947710A (en) * 1995-12-07 1999-09-07 Carrier Corporation Rotary compressor with reduced lubrication sensitivity
US5789024A (en) * 1996-05-15 1998-08-04 New Jersey Institute Of Technology Subnanoscale composite, N2-permselective membrane for the separation of volatile organic compounds
US5915004A (en) * 1996-07-11 1999-06-22 Microsoft Corporation Moving a messaging system mailbox
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6087257A (en) * 1996-11-12 2000-07-11 Samsung Electronics Co., Ltd. Methods of fabricating a selectively deposited tungsten nitride layer and metal wiring using a tungsten nitride layer
US5946598A (en) * 1996-11-18 1999-08-31 United Microelectronics Corporation Process of fabricating metal gate electrode
US6099904A (en) * 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
US6206967B1 (en) * 1997-12-02 2001-03-27 Applied Materials, Inc. Low resistivity W using B2H6 nucleation step
US6416577B1 (en) * 1997-12-09 2002-07-09 Asm Microchemistry Ltd. Method for coating inner surfaces of equipment
US6104074A (en) * 1997-12-11 2000-08-15 Apa Optics, Inc. Schottky barrier detectors for visible-blind ultraviolet detection
US6174809B1 (en) * 1997-12-31 2001-01-16 Samsung Electronics, Co., Ltd. Method for forming metal layer using atomic layer deposition
US6380627B1 (en) * 1998-06-26 2002-04-30 The Regents Of The University Of California Low resistance barrier layer for isolating, adhering, and passivating copper metal in semiconductor fabrication
US6234646B1 (en) * 1998-07-24 2001-05-22 Stanley Electric Co., Ltd. Vehicular signal lamp having a plurality of light-emitting diodes
US6270572B1 (en) * 1998-08-07 2001-08-07 Samsung Electronics Co., Ltd. Method for manufacturing thin film using atomic layer deposition
US6188134B1 (en) * 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US20050102205A1 (en) * 1998-08-27 2005-05-12 Ntt Docomo, Inc. Communication network
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6576053B1 (en) * 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
US20060079090A1 (en) * 1999-10-15 2006-04-13 Kai-Erik Elers Method for depositing nanolaminate thin films on sensitive surfaces
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US20040130029A1 (en) * 1999-10-15 2004-07-08 Ivo Raaijmakers Conformal lining layers for damascene metallization
US7749871B2 (en) * 1999-10-15 2010-07-06 Asm International N.V. Method for depositing nanolaminate thin films on sensitive surfaces
US20050106877A1 (en) * 1999-10-15 2005-05-19 Kai-Erik Elers Method for depositing nanolaminate thin films on sensitive surfaces
US6863727B1 (en) * 1999-10-15 2005-03-08 Asm International N.V. Method of depositing transition metal nitride thin films
US6902763B1 (en) * 1999-10-15 2005-06-07 Asm International N.V. Method for depositing nanolaminate thin films on sensitive surfaces
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6599572B2 (en) * 2000-01-18 2003-07-29 Asm Microchemistry Oy Process for growing metalloid thin films utilizing boron-containing reducing agents
US6534395B2 (en) * 2000-03-07 2003-03-18 Asm Microchemistry Oy Method of forming graded thin films using alternating pulses of vapor phase reactants
US20030032281A1 (en) * 2000-03-07 2003-02-13 Werkhoven Christiaan J. Graded thin films
US20020004293A1 (en) * 2000-05-15 2002-01-10 Soininen Pekka J. Method of growing electrical conductors
US6194310B1 (en) * 2000-06-01 2001-02-27 Sharp Laboratories Of America, Inc. Method of forming amorphous conducting diffusion barriers
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6355561B1 (en) * 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US20020104481A1 (en) * 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6936535B2 (en) * 2000-12-06 2005-08-30 Asm International Nv Copper interconnect structure having stuffed diffusion barrier
US6706115B2 (en) * 2001-03-16 2004-03-16 Asm International N.V. Method for preparing metal nitride thin films
US20030127043A1 (en) * 2001-07-13 2003-07-10 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20030082296A1 (en) * 2001-09-14 2003-05-01 Kai Elers Metal nitride deposition by ALD with reduction pulse
US6986914B2 (en) * 2001-09-14 2006-01-17 Asm International N.V. Metal nitride deposition by ALD with reduction pulse
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US20030072975A1 (en) * 2001-10-02 2003-04-17 Shero Eric J. Incorporation of nitrogen into high k dielectric film
US20030104126A1 (en) * 2001-10-10 2003-06-05 Hongbin Fang Method for depositing refractory metal layers employing sequential deposition techniques
US20030123216A1 (en) * 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US20030153181A1 (en) * 2002-02-11 2003-08-14 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) * 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US20030161952A1 (en) * 2002-02-26 2003-08-28 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US20060019494A1 (en) * 2002-03-04 2006-01-26 Wei Cao Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US7045406B2 (en) * 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
US7211508B2 (en) * 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US20050064247A1 (en) * 2003-06-25 2005-03-24 Ajit Sane Composite refractory metal carbide coating on a substrate and method for making thereof
US20050037557A1 (en) * 2003-08-15 2005-02-17 Mark Doczy Transition metal alloys for use as a gate electrode and devices incorporating these alloys
US20050095763A1 (en) * 2003-10-29 2005-05-05 Samavedam Srikanth B. Method of forming an NMOS transistor and structure thereof
US20050095443A1 (en) * 2003-10-31 2005-05-05 Hyungjun Kim Plasma enhanced ALD of tantalum nitride and bilayer
US7211507B2 (en) * 2004-06-02 2007-05-01 International Business Machines Corporation PE-ALD of TaN diffusion barrier region on low-k materials
US20060049931A1 (en) * 2004-09-07 2006-03-09 Minoru Sugimura Crime preventing device
US20060063395A1 (en) * 2004-09-17 2006-03-23 Dongbuanam Semiconductor Inc. Manufacturing method of a semiconductor device
US7416981B2 (en) * 2004-10-14 2008-08-26 Samsung Electronics Co., Ltd. Method of forming metal layer used in the fabrication of semiconductor device
US20060147626A1 (en) * 2004-12-30 2006-07-06 Blomberg Tom E Method of pulsing vapor precursors in an ALD reactor
US20060165892A1 (en) * 2005-01-27 2006-07-27 Applied Materials, Inc. Ruthenium containing layer deposition method
US20070018342A1 (en) * 2005-07-20 2007-01-25 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US20070069177A1 (en) * 2005-09-29 2007-03-29 Peters David W Organometallic compounds, processes for the preparation thereof and methods of use thereof
US20070148350A1 (en) * 2005-10-27 2007-06-28 Antti Rahtu Enhanced thin film deposition
US20080113110A1 (en) * 2006-10-25 2008-05-15 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US20080102204A1 (en) * 2006-11-01 2008-05-01 Kai-Erik Elers Vapor deposition of metal carbide films
US20080182411A1 (en) * 2007-01-26 2008-07-31 Asm America, Inc. Plasma-enhanced ald of tantalum nitride films
US7666474B2 (en) * 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films

Cited By (440)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9831094B2 (en) 2005-10-27 2017-11-28 Asm International N.V. Enhanced thin film deposition
US9127351B2 (en) * 2005-10-27 2015-09-08 Asm International N.V. Enhanced thin film deposition
US10297444B2 (en) 2005-10-27 2019-05-21 Asm International N.V. Enhanced thin film deposition
US8993055B2 (en) * 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US10964534B2 (en) * 2005-10-27 2021-03-30 Asm International Enhanced thin film deposition
US20130183445A1 (en) * 2005-10-27 2013-07-18 Asm International N.V. Enhanced thin film deposition
US20070148350A1 (en) * 2005-10-27 2007-06-28 Antti Rahtu Enhanced thin film deposition
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110083883A1 (en) * 2009-09-30 2011-04-14 Kyocera Corporation Wiring board and mount structure
US8853557B2 (en) * 2009-09-30 2014-10-07 Kyocera Corporation Wiring board and mount structure
US8513535B2 (en) * 2009-10-30 2013-08-20 Kyocera Corporation Circuit board and structure using the same
US20110100691A1 (en) * 2009-10-30 2011-05-05 Kyocera Corporation Circuit Board and Structure Using the Same
WO2012060983A2 (en) * 2010-10-25 2012-05-10 Asm America, Inc. Ternary metal alloys with tunable stoichiometries
WO2012060983A3 (en) * 2010-10-25 2012-06-21 Asm America, Inc. Ternary metal alloys with tunable stoichiometries
US9868131B2 (en) 2011-04-07 2018-01-16 Picosun Oy Atomic layer deposition with plasma source
US9095869B2 (en) * 2011-04-07 2015-08-04 Picosun Oy Atomic layer deposition with plasma source
US20140024223A1 (en) * 2011-04-07 2014-01-23 Picosun Oy Atomic Layer Deposition with Plasma Source
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
WO2013043501A1 (en) * 2011-09-23 2013-03-28 Applied Materials, Inc. Metal-aluminum alloy films from metal amidinate precursors and aluminum precursors
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US8927059B2 (en) 2011-11-08 2015-01-06 Applied Materials, Inc. Deposition of metal films using alane-based precursors
WO2013070702A1 (en) * 2011-11-08 2013-05-16 Applied Materials, Inc. Deposition of metal films using alane-based precursors
US9721787B2 (en) * 2011-12-16 2017-08-01 Applied Materials, Inc. Film deposition using tantalum precursors
US20130157475A1 (en) * 2011-12-16 2013-06-20 David Thompson Film Deposition Using Tantalum Precursors
US9145612B2 (en) 2012-07-06 2015-09-29 Applied Materials, Inc. Deposition of N-metal films comprising aluminum alloys
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9683287B2 (en) 2012-10-23 2017-06-20 Applied Materials, Inc. Deposition of films comprising aluminum alloys with high aluminum content
KR102197565B1 (en) * 2012-10-23 2020-12-31 어플라이드 머티어리얼스, 인코포레이티드 Deposition of films comprising aluminum alloys with high aluminum content
KR20150076213A (en) * 2012-10-23 2015-07-06 어플라이드 머티어리얼스, 인코포레이티드 Deposition of films comprising aluminum alloys with high aluminum content
WO2014066482A1 (en) * 2012-10-23 2014-05-01 Applied Materials, Inc. Deposition of films comprising aluminum alloys with high aluminum content
CN104718314A (en) * 2012-10-23 2015-06-17 应用材料公司 Deposition of films comprising aluminum alloys with high aluminum content
US9064857B2 (en) 2012-12-19 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. N metal for FinFET
US9293334B2 (en) 2012-12-19 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. N metal for FinFET and methods of forming
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
WO2014164742A1 (en) * 2013-03-11 2014-10-09 Intermolecular, Inc Atomic layer deposition of hfaic as a metal gate workfunction material in mos devices
US9607904B2 (en) 2013-03-11 2017-03-28 Intermolecular, Inc. Atomic layer deposition of HfAlC as a metal gate workfunction material in MOS devices
US9704716B2 (en) 2013-03-13 2017-07-11 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US10074541B2 (en) 2013-03-13 2018-09-11 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US9111749B2 (en) 2013-03-14 2015-08-18 Asm Ip Holdings B.V. Silane or borane treatment of metal thin films
US9236247B2 (en) 2013-03-14 2016-01-12 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
TWI564944B (en) * 2013-03-14 2017-01-01 Asm Ip控股公司 Method of reducing oxidation at interface between different metal-containing thin film and method for depositing metal layer over etch stop layer
US9583348B2 (en) 2013-03-14 2017-02-28 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US9337295B2 (en) 2013-07-23 2016-05-10 Samsung Electronics Co., Ltd. Semiconductor devices and methods of manufacturing the same
KR102060834B1 (en) * 2013-07-23 2019-12-30 삼성전자주식회사 Semiconductor device and method of manufacturing the same
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9580800B2 (en) 2014-03-05 2017-02-28 Samsung Electronics Co., Ltd. Method for operating semiconductor manufacturing equipment
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11823976B2 (en) 2014-04-17 2023-11-21 ASM IP Holding, B.V. Fluorine-containing conductive films
US11450591B2 (en) 2014-04-17 2022-09-20 Asm Ip Holding B.V. Fluorine-containing conductive films
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US20170138877A1 (en) * 2014-08-29 2017-05-18 Kyocera Corporation Sensor board, lead-bearing sensor board, and sensor device
US10408776B2 (en) * 2014-08-29 2019-09-10 Kyocera Corporation Sensor board, lead-bearing sensor board, and sensor device
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10636889B2 (en) 2014-10-23 2020-04-28 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US11139383B2 (en) 2014-10-23 2021-10-05 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
WO2016099755A1 (en) * 2014-12-15 2016-06-23 Applied Materials, Inc. Ultra-thin dielectric diffusion barrier and etch stop layer for advanced interconnect applications
CN107112278A (en) * 2014-12-15 2017-08-29 应用材料公司 Ultra-thin dielectric diffusion impervious layer and etch stop layer for advanced interconnection applications
US9613908B2 (en) 2014-12-15 2017-04-04 Applied Materials, Inc. Ultra-thin dielectric diffusion barrier and etch stop layer for advanced interconnect applications
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160376704A1 (en) * 2015-06-26 2016-12-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US20220149175A1 (en) * 2015-06-26 2022-05-12 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11926895B2 (en) * 2015-06-26 2024-03-12 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10458018B2 (en) * 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US11362222B2 (en) 2015-10-16 2022-06-14 Asm Ip Holding B.V. Photoactive devices and materials
US10861986B2 (en) 2015-10-16 2020-12-08 Asm Ip Holding B.V. Photoactive devices and materials
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US10510529B2 (en) 2015-11-12 2019-12-17 Asm Ip Holding B.V. Formation of SiOCN thin films
US11107673B2 (en) 2015-11-12 2021-08-31 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US10424476B2 (en) 2015-11-12 2019-09-24 Asm Ip Holding B.V. Formation of SiOCN thin films
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10600637B2 (en) 2016-05-06 2020-03-24 Asm Ip Holding B.V. Formation of SiOC thin films
US11562900B2 (en) 2016-05-06 2023-01-24 Asm Ip Holding B.V. Formation of SiOC thin films
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US20180094352A1 (en) * 2016-09-30 2018-04-05 Uchicago Argonne, Llc Systems and methods for metal layer adhesion
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US11195845B2 (en) 2017-04-13 2021-12-07 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US11776807B2 (en) 2017-05-05 2023-10-03 ASM IP Holding, B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11189482B2 (en) * 2017-06-12 2021-11-30 Ulvac, Inc. Thin film formation method
CN109563620B (en) * 2017-06-12 2021-05-07 株式会社爱发科 Method for forming thin film
CN109563620A (en) * 2017-06-12 2019-04-02 株式会社爱发科 The forming method of film
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
CN110349854A (en) * 2018-04-02 2019-10-18 三星电子株式会社 The method for manufacturing semiconductor device
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US20210328036A1 (en) * 2018-05-11 2021-10-21 Asm Ip Holding B.V. Method for forming a doped metal carbide film on a substrate and related semiconductor device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11380697B2 (en) 2020-02-25 2022-07-05 Tokyo Electron Limited Raised pad formations for contacts in three-dimensional structures on microelectronic workpieces
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11961741B2 (en) 2021-03-04 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2021-04-26 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11959171B2 (en) 2022-07-18 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process

Also Published As

Publication number Publication date
TW201000667A (en) 2010-01-01
US20140127405A1 (en) 2014-05-08
JP2011520251A (en) 2011-07-14
JP5551681B2 (en) 2014-07-16
KR101540077B1 (en) 2015-07-28
TWI488990B (en) 2015-06-21
WO2009129332A3 (en) 2010-01-21
WO2009129332A2 (en) 2009-10-22
KR20100134676A (en) 2010-12-23
US9631272B2 (en) 2017-04-25

Similar Documents

Publication Publication Date Title
US9631272B2 (en) Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US10964534B2 (en) Enhanced thin film deposition
US11926895B2 (en) Structures including metal carbide material, devices including the structures, and methods of forming same
US11501966B2 (en) Selective layer formation using deposition and removing
US7611751B2 (en) Vapor deposition of metal carbide films
US8268409B2 (en) Plasma-enhanced deposition of metal carbide films
Leskelä et al. Atomic layer deposition (ALD): from precursors to thin film structures
US9466574B2 (en) Plasma-enhanced atomic layer deposition of conductive material over dielectric layers
US7595270B2 (en) Passivated stoichiometric metal nitride films
US7713874B2 (en) Periodic plasma annealing in an ALD-type process
KR20170017779A (en) Selective deposition of aluminum and nitrogen containing material

Legal Events

Date Code Title Description
AS Assignment

Owner name: ASM AMERICA, INC., ARIZONA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LI, DONG;MARCUS, STEVEN;HAUKKA, SUVI P.;AND OTHERS;REEL/FRAME:023033/0052;SIGNING DATES FROM 20090611 TO 20090706

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION