US20090269507A1 - Selective cobalt deposition on copper surfaces - Google Patents

Selective cobalt deposition on copper surfaces Download PDF

Info

Publication number
US20090269507A1
US20090269507A1 US12/111,921 US11192108A US2009269507A1 US 20090269507 A1 US20090269507 A1 US 20090269507A1 US 11192108 A US11192108 A US 11192108A US 2009269507 A1 US2009269507 A1 US 2009269507A1
Authority
US
United States
Prior art keywords
cobalt
substrate
during
exposing
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/111,921
Inventor
Sang-Ho Yu
Kevin Moraes
Seshadri Ganguli
Hua Chung
See-Eng Phan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US12/111,921 priority Critical patent/US20090269507A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: YU, SANG-HO, CHUNG, HUA, GANGULI, SESHADRI, MORAES, KEVIN, PHAN, SEE-ENG
Priority to KR1020177033840A priority patent/KR101938841B1/en
Priority to TW098114236A priority patent/TWI441939B/en
Priority to CN2009801135107A priority patent/CN102007573B/en
Priority to TW103116576A priority patent/TWI530580B/en
Priority to KR1020167022431A priority patent/KR101764163B1/en
Priority to KR1020177021040A priority patent/KR101802452B1/en
Priority to JP2011507595A priority patent/JP6146948B2/en
Priority to PCT/US2009/042030 priority patent/WO2009134840A2/en
Priority to KR1020107026817A priority patent/KR101654001B1/en
Publication of US20090269507A1 publication Critical patent/US20090269507A1/en
Priority to US14/682,218 priority patent/US20150325446A1/en
Priority to US15/598,687 priority patent/US11384429B2/en
Priority to US17/834,633 priority patent/US20220298625A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • C23C16/0218Pretreatment of the material to be coated by heating in a reactive atmosphere
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material

Definitions

  • Embodiments of the invention generally relate to a metallization process for manufacturing semiconductor devices, more particularly, embodiments relate to preventing copper dewetting by depositing cobalt materials on a substrate.
  • Copper is the current metal of choice for use in multilevel metallization processes that are crucial to semiconductor device manufacturing.
  • the multilevel interconnects that drive the manufacturing processes require planarization of high aspect ratio apertures including contacts, vias, lines, and other features. Filling the features without creating voids or deforming the feature geometry is more difficult when the features have higher aspect ratios. Reliable formation of interconnects is also more difficult as manufacturers strive to increase circuit density and quality.
  • PVD processes to deposit cobalt are often hard to control precise deposition thicknesses.
  • CVD processes usually suffer from poor conformality and contaminants in the deposited cobalt layer.
  • ALD processes have several advantages over other vapor deposition processes, such as very conformal films and the ability to deposit into high aspect ratio vias. However, the deposition rates of an ALD process are often too slow, so that ALD processes are not often used in commercial applications.
  • EM electromigration
  • Embodiments of the invention provide processes to selectively form a cobalt layer on a copper surface over exposed dielectric surfaces.
  • a method for capping a copper surface on a substrate includes positioning a substrate within a processing chamber, wherein the substrate contains a contaminated copper surface and a dielectric surface, exposing the contaminated copper surface to a reducing agent while forming a metallic copper surface during a pre-treatment process, exposing the substrate to a cobalt precursor gas to selectively form a cobalt capping layer over the metallic copper surface while leaving exposed the dielectric surface during a vapor deposition process, and depositing a dielectric barrier layer over the cobalt capping layer and the dielectric surface.
  • the method further includes chemically reducing copper oxides on the contaminated copper surface to form the metallic copper surface during the pre-treatment process.
  • the contaminated copper surface may be exposed to the reducing agent and a plasma is ignited during the pre-treatment process, the reducing agent may contain a reagent such as nitrogen (N 2 ), ammonia (NH 3 ), hydrogen (H 2 ), an ammonia/nitrogen mixture, or combinations thereof.
  • the contaminated copper surface may be exposed to the plasma for a time period within a range from about 5 seconds to about 15 seconds.
  • the reducing agent contains hydrogen gas
  • the pre-treatment process is a thermal process
  • the substrate is heated to a temperature within a range from about 200° C. to about 400° C. during the thermal process.
  • the method further includes exposing the cobalt capping layer to a reagent and a plasma during a post-treatment process prior to depositing the dielectric barrier layer.
  • the reagent may contain nitrogen, ammonia, hydrogen, an ammonia/nitrogen mixture, or combinations thereof.
  • a deposition-treatment cycle includes performing the vapor deposition process and subsequently the post-treatment process, and the deposition-treatment cycle is performed 2, 3, or more times to deposit multiple cobalt capping layers.
  • Each of the cobalt capping layers may be deposited to a thickness within a range from about 3 ⁇ to about 5 ⁇ during each of the deposition-treatment cycles.
  • the overall cobalt capping material or cobalt capping layer may have a thickness within a range from about 4 ⁇ to about 20 ⁇ . In some examples, the cobalt capping layer has a thickness of less than about 10 ⁇ .
  • the substrate may be exposed to a deposition gas containing the cobalt precursor gas and hydrogen gas during the vapor deposition process, the vapor deposition process is a thermal chemical vapor deposition process or an atomic layer deposition process.
  • the cobalt precursor gas contains a cobalt precursor which has the general chemical formula (CO) x Co y L z , wherein X is 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, or 12; Y is 1, 2, 3, 4, or 5; Z is 1, 2, 3, 4, 5, 6, 7, or 8; and L is a ligand independently selected from cyclopentadienyl, alkylcyclopentadienyl, methylcyclopentadienyl, pentamethylcyclopentadienyl, pentadienyl, alkylpentadienyl, cyclobutadienyl, butadienyl, allyl, ethylene, propylene, alkenes, dialkenes, alkynes, nitrosyl,
  • the cobalt precursor gas may contain a cobalt precursor selected from the group consisting of tricarbonyl allyl cobalt, cyclopentadienyl cobalt bis(carbonyl), methylcyclopentadienyl cobalt bis(carbonyl), ethylcyclopentadienyl cobalt bis(carbonyl), pentamethylcyclopentadienyl cobalt bis(carbonyl), dicobalt octa(carbonyl), nitrosyl cobalt tris(carbonyl), bis(cyclopentadienyl) cobalt, (cyclopentadienyl) cobalt (cyclohexadienyl), cyclopentadienyl cobalt (1,3-hexadienyl), (cyclobutadienyl) cobalt (cyclopentadienyl), bis(methylcyclopentadienyl) cobalt, (cyclopentadienyl) co
  • a method for capping a copper surface on a substrate includes positioning a substrate within a processing chamber, wherein the substrate contains a copper oxide surface and a dielectric surface, exposing the copper oxide surface to an ammonia plasma or a hydrogen plasma while forming a metallic copper surface during a pre-treatment process, exposing the substrate to a cobalt precursor gas to selectively form a cobalt capping layer over the metallic copper surface while leaving exposed the dielectric surface during a vapor deposition process, exposing the cobalt capping layer to a plasma during a post-treatment process, and depositing a dielectric barrier layer over the cobalt capping layer and the dielectric surface.
  • a deposition-treatment cycle is formed by performing the vapor deposition process and subsequently the post-treatment process.
  • the deposition-treatment cycle may be performed 2, 3, or more times to deposit multiple cobalt capping layers.
  • Each of the cobalt capping layers may be deposited to a thickness within a range from about 3 ⁇ to about 5 ⁇ during each of the deposition-treatment cycles.
  • the copper oxide surface may be exposed to the ammonia plasma or the hydrogen plasma for a time period within a range from about 5 seconds to about 15 seconds during a pre-treatment process.
  • the plasma may be exposed to the cobalt capping layer during the post-treatment process contains nitrogen, ammonia, an ammonia/nitrogen mixture, or hydrogen.
  • a method for capping a copper surface on a substrate includes positioning a substrate within a processing chamber, wherein the substrate contains a copper oxide surface and a dielectric surface, exposing the copper oxide surface to an ammonia plasma or a hydrogen plasma while forming a metallic copper surface during a pre-treatment process, exposing the substrate to a cobalt precursor gas and hydrogen gas to selectively form a cobalt capping layer over the metallic copper surface while leaving exposed the dielectric surface during a vapor deposition process, and exposing the cobalt capping layer to a plasma and a reagent selected from the group consisting of nitrogen, ammonia, hydrogen, an ammonia/nitrogen mixture, and combinations thereof during a post-treatment process.
  • a method for capping a copper surface on a substrate includes positioning a substrate within a processing chamber, wherein the substrate contains a contaminated copper surface and a dielectric surface, exposing the contaminated copper surface to a reducing agent while forming a metallic copper surface during a pre-treatment process, and depositing a cobalt capping material over the metallic copper surface while leaving exposed the dielectric surface during a deposition-treatment cycle.
  • the deposition-treatment cycle includes exposing the substrate to a cobalt precursor gas to selectively form a first cobalt layer over the metallic copper surface while leaving exposed the dielectric surface during a vapor deposition process, exposing the first cobalt layer to a plasma containing nitrogen, ammonia, an ammonia/nitrogen mixture, or hydrogen during a treatment process, exposing the substrate to the cobalt precursor gas to selectively form a second cobalt layer over the first cobalt layer while leaving exposed the dielectric surface during the vapor deposition process, and exposing the second cobalt layer to the plasma during the treatment process.
  • the method further provides depositing a dielectric barrier layer over the cobalt capping material and the dielectric surface.
  • the method provides exposing the substrate to the cobalt precursor gas to selectively form a third cobalt layer over the second cobalt layer while leaving exposed the dielectric surface during the vapor deposition process, and exposing the third cobalt layer to the plasma during the treatment process.
  • FIG. 1 depicts a flow chart illustrating a treatment and deposition process according to an embodiment described herein;
  • FIGS. 2A-2E depict schematic views of a substrate at different process steps according to an embodiment described herein.
  • FIG. 3 depicts a flow chart illustrating a deposition process according to another embodiment described herein.
  • Embodiments of the invention provide a method that utilizes a cobalt capping layer or material to prevent copper diffusion and dewetting in interconnect boundary regions.
  • the transition metal for example, cobalt, improves copper boundary region properties to promote adhesion, decrease diffusion and agglomeration, and encourage uniform roughness and wetting of the substrate surface during processing.
  • a cobalt capping layer may be selectively deposited on a copper contact or surface on a substrate while leaving exposed dielectric surfaces on the substrate.
  • FIG. 1 depicts a flow chart illustrating process 100 according to an embodiment of the invention.
  • Process 100 may be used to clean and cap a copper contact surface on a substrate post a polishing process.
  • steps 110 - 140 of process 100 may be used on substrate 200 , depicted in FIGS. 2A-2E .
  • Process 100 includes exposing a substrate to pre-treatment process (step 110 ), depositing a cobalt capping layer on exposed copper surfaces of the substrate (step 120 ), exposing the substrate to post-treatment process (step 130 ), and depositing a dielectric barrier layer on the substrate (step 140 ).
  • FIG. 2A depicts substrate 200 containing dielectric layer 204 disposed over underlayer 202 after being exposed to a polishing process.
  • Copper contacts 208 are disposed within dielectric layer 204 and are separated from dielectric layer 204 by barrier layer 206 .
  • Dielectric layer 204 contains a dielectric material, such as a low-k dielectric material.
  • dielectric layer 204 contains a low-k dielectric material, such as a silicon carbide oxide material or a carbon doped silicon oxide material, for example, BLACK DIAMOND® II low-k dielectric material, available from Applied Materials, Inc., located in Santa Clara, Calif.
  • Barrier layer 206 may be conformally deposited into the aperture within dielectric layer 204 .
  • Barrier layer 206 may be formed or deposited by a PVD process, an ALD, or a CVD process, and may have a thickness within a range from about 5 ⁇ to about 50 ⁇ , preferably, from about 10 ⁇ to about 30 ⁇ .
  • Barrier layer 206 may contain titanium, titanium nitride, tantalum, tantalum nitride, tungsten, tungsten nitride, suicides thereof, derivatives thereof, or combinations thereof.
  • barrier layer 206 may contain a tantalum/tantalum nitride bilayer or titanium/titanium nitride bilayer.
  • barrier layer 206 contains tantalum nitride and metallic tantalum layers deposited by PVD processes.
  • Contaminants 212 usually contain copper oxides formed during or after the polishing process.
  • the exposed surfaces of copper contacts 208 may be oxidized by peroxides, water, or other reagents in the polishing solution or by oxygen within the ambient air.
  • Contaminants 212 may also include moisture, polishing solution remnants including surfactants and other additives, or particles of polished away materials.
  • contaminants 212 may be removed from substrate field 210 by exposing substrate 200 to a pre-treatment process. Copper surfaces 214 are exposed once contaminants 212 are treated or removed from copper contacts 208 , as illustrated in FIG. 2B . Copper oxides may be chemically reduced by exposing substrate 200 to a reducing agent.
  • the pre-treatment process exposes substrate 200 to the reducing agent during a thermal process or a plasma process.
  • the reducing agent may have a liquid state, a gas state, a plasma state, or combinations thereof.
  • Reducing agent that are useful during the pre-treatment process include hydrogen (e.g., H 2 or atomic-H), ammonia (NH 3 ), a hydrogen and ammonia mixture (H 2 /NH 3 ), atomic-N, hydrazine (N 2 H 4 ), alcohols (e.g., methanol, ethanol, or propanol), derivatives thereof, plasmas thereof, or combinations thereof.
  • Substrate 200 may be exposed to a plasma formed in situ or remotely during the pre-treatment process.
  • substrate 200 is exposed to a thermal pre-treatment process to remove contaminants 212 from copper contacts 208 while forming copper surfaces 214 .
  • Substrate 200 may be positioned within a processing chamber, exposed to a reducing agent, and heated to a temperature within a range from about 200° C. to about 800° C., preferably, from about 250° C. to about 600° C., and more preferably, from about 300° C. to about 500° C.
  • Substrate 200 may be heated for a time period within a range from about 2 minutes to about 20 minutes, preferably, from about 5 minutes to about 15 minutes.
  • substrate 200 may be heated to about 500° C. in a processing chamber containing a hydrogen atmosphere for about 12 minutes.
  • substrate 200 is exposed to a plasma pre-treatment process to remove contaminants 212 from copper contacts 208 while forming copper surfaces 214 .
  • Substrate 200 may be positioned within a processing chamber, exposed to a reducing agent, and heated to a temperature within a range from about 100° C. to about 400° C., preferably, from about 125° C. to about 350° C., and more preferably, from about 150° C. to about 300° C., such as about 200° C. or about 250° C.
  • the processing chamber may produce an in situ plasma or be equipped with a remote plasma source (RPS).
  • RPS remote plasma source
  • substrate 200 may be exposed to the plasma (e.g., in situ or remotely) for a time period within a range from about 2 seconds to about 60 seconds, preferably, from about 3 seconds to about 30 seconds, preferably, from about 5 seconds to about 15 seconds, such as about 10 seconds.
  • the plasma may be produced at a power within the range from about 200 watts to about 1,000 watts, preferably, from about 400 watts to about 800 watts.
  • substrate 200 may be exposed to hydrogen gas while a plasma is generated at 400 watts for about 10 seconds at about 5 Torr.
  • substrate 200 may be exposed to ammonia gas while a plasma is generated at 800 watts for about 20 seconds at about 5 Torr.
  • substrate 200 may be exposed to a hydrogen and ammonia gaseous mixture while a plasma is generated at 400 watts for about 15 seconds at about 5 Torr.
  • cobalt capping layer 216 may be selectively deposited or formed on copper surfaces 214 while leaving bare the exposed surfaces of dielectric layer 204 across substrate field 210 , as illustrated in FIG. 2C . Therefore, along substrate field 210 , cobalt capping layer 216 is selectively deposited on copper surfaces 214 while leaving the surfaces of dielectric layer 204 free or at least substantially free of cobalt capping layer 216 .
  • cobalt capping layer 216 may be a continuous layer or a discontinuous layer across copper surfaces 214 , but is a continuous layer after multiple deposition cycles.
  • Contaminants 218 may collect throughout substrate field 210 , such as on cobalt capping layer 216 as well as the surfaces of dielectric layer 204 , as depicted in FIG. 2C . Contaminants 218 may include by-products from the deposition process, such as carbon, organic residue, precursor residue, and other undesirable materials collected on substrate field 210 .
  • Substrate 200 may be exposed to a plasma formed in situ or remotely during the post-treatment process at step 130 of process 100 .
  • the post-treatment process removes or reduces the amount of contaminants from substrate 200 while further densifying cobalt capping layer 216 .
  • the post-treatment process may expose substrate 200 and cobalt capping layer 216 to a reducing agent during the plasma process.
  • Reducing agent that are useful during the post-treatment process include hydrogen (e.g., H 2 or atomic-H), ammonia (NH 3 ), a hydrogen and ammonia mixture (H 2 /NH 3 ), nitrogen (e.g., N 2 or atomic-N), hydrazine (N 2 H 4 ), derivatives thereof, plasmas thereof, or combinations thereof.
  • Cobalt capping layer 216 may be exposed to the plasma during the post-treatment process for a time period within a range from about 2 seconds to about 60 seconds, preferably, from about 3 seconds to about 30 seconds, and more preferably, from about 5 seconds to about 15 seconds.
  • the cobalt capping layer is exposed to a hydrogen plasma, formed by igniting hydrogen gas in situ or remotely of the processing chamber.
  • the cobalt capping layer is exposed to an ammonia plasma, formed by igniting ammonia gas in situ or remotely of the processing chamber.
  • the cobalt capping layer is exposed to a hydrogen/ammonia plasma, formed by igniting a mixture of hydrogen gas and ammonia gas in situ or remotely of the processing chamber.
  • a plasma may be generated external from the processing chamber, such as by a remote plasma source (RPS) system, or preferably, the plasma may be generated in situ a plasma capable deposition chamber, such as a PE-CVD chamber during a plasma treatment process, such as in steps 130 or 330 .
  • the plasma may be generated from a microwave (MW) frequency generator or a radio frequency (RF) generator.
  • MW microwave
  • RF radio frequency
  • an in situ plasma is generated by a RF generator.
  • the processing chamber may be pressurized during the plasma treatment process at a pressure within a range from about 0.1 Torr to about 80 Torr, preferably from about 0.5 Torr to about 10 Torr, and more preferably, from about 1 Torr to about 5 Torr.
  • the chamber or the substrate may be heated to a temperature of less than about 500° C., preferably within a range from about 100° C. to about 450° C., and more preferably, from about 150° C. to about 400° C., for example, about 300° C.
  • a plasma may be ignited within the processing chamber for an in situ plasma process, or alternative, may be formed by an external source, such as a RPS system.
  • the RF generator may be set at a frequency within a range from about 100 kHz to about 60 MHz.
  • a RF generator with a frequency of 13.56 MHz, may be set to have a power output within a range from about 100 watts to about 1,000 watts, preferably, from about 250 watts to about 600 watts, and more preferably, from about 300 watts to about 500 watts.
  • a RF generator with a frequency of 350 kHz, may be set to have a power output within a range from about 200 watts to about 2,000 watts, preferably, from about 500 watts to about 1,500 watts, and more preferably, from about 800 watts to about 1,200 watts, for example, about 1,000 watts.
  • a surface of substrate may be exposed to a plasma having a power per surface area value within a range from about 0.01 watts/cm 2 to about 10.0 watts/cm 2 , preferably, from about 0.05 watts/cm 2 to about 6.0 watts/cm 2 .
  • step 120 is repeated at least once, two times, or more.
  • Step 120 may be performed one time to form a single layer of cobalt capping layer 216 , or performed multiple times to form multiple layers of cobalt capping layer 216 , such as 2, 3, 4, 5, or more layers of cobalt capping layer 216 .
  • steps 120 and 130 are sequentially repeated at least once, if not, 2, 3, 4 or more times.
  • Cobalt capping layer 216 may be deposited having a thickness within a range from about 2 ⁇ to about 30 ⁇ , preferably, from about 3 ⁇ to about 25 ⁇ , more preferably, from about 4 ⁇ to about 20 ⁇ , and more preferably, from about 5 ⁇ to about 10 ⁇ , such as about 7 ⁇ or about 8 ⁇ .
  • Cobalt capping layer 216 may be deposited by thermal decomposition of a cobalt containing precursor carried by an inert gas during step 120 .
  • a reducing gas may be co-flowed or alternately pulsed into the processing chamber along with the cobalt precursor.
  • the substrate may be heated to a temperature within a range from about 50° C. to about 600° C., preferably, from about 100° C. to about 500° C., and more preferably, from about 200° C. to about 400° C.
  • cobalt capping layer 216 may be deposited by exposing the substrate to a cobalt containing precursor gas in an ALD or CVD process.
  • FIG. 3 depicts a flow-chart of process 300 which may be used to form cobalt-containing materials, such as cobalt capping layer 216 .
  • process 300 includes exposing a substrate to a deposition gas to form a cobalt capping material (step 310 ), optionally purging the deposition chamber (step 320 ), exposing the substrate to a plasma treatment process (step 330 ), purging the deposition chamber (step 340 ), and determining if a predetermined thickness of the cobalt capping material has been formed on the substrate (step 350 ).
  • the cycle of steps 310 - 350 may be repeated if the cobalt capping material has not been formed having the predetermined thickness.
  • the cycle of steps 310 and 330 may be repeated if the cobalt capping material has not been formed having the predetermined thickness.
  • process 300 may be stopped once the cobalt capping material has been formed having the predetermined thickness.
  • a method for capping a copper surface on a substrate includes exposing the substrate to a cobalt precursor gas and hydrogen gas to selectively form a cobalt capping layer over the metallic copper surface while leaving exposed the dielectric surface during a vapor deposition process, and exposing the cobalt capping layer to a plasma and a reagent, such as nitrogen, ammonia, hydrogen, an ammonia/nitrogen mixture, or combinations thereof during a post-treatment process.
  • a method for capping a copper surface on a substrate includes depositing a cobalt capping material over the metallic copper surface while leaving exposed the dielectric surface during a deposition-treatment cycle.
  • the deposition-treatment cycle includes exposing the substrate to a cobalt precursor gas to selectively form a first cobalt layer over the metallic copper surface while leaving exposed the dielectric surface during a vapor deposition process, exposing the first cobalt layer to a plasma containing nitrogen, ammonia, an ammonia/nitrogen mixture, or hydrogen during a treatment process.
  • the method further provides exposing the substrate to the cobalt precursor gas to selectively form a second cobalt layer over the first cobalt layer while leaving exposed the dielectric surface during the vapor deposition process, and exposing the second cobalt layer to the plasma during the treatment process.
  • the method provides exposing the substrate to the cobalt precursor gas to selectively form a third cobalt layer over the second cobalt layer while leaving exposed the dielectric surface during the vapor deposition process, and exposing the third cobalt layer to the plasma during the treatment process.
  • Suitable cobalt precursors for forming cobalt-containing materials include cobalt carbonyl complexes, cobalt amidinates compounds, cobaltocene compounds, cobalt dienyl complexes, cobalt nitrosyl complexes, derivatives thereof, complexes thereof, plasma thereof, or combinations thereof.
  • cobalt materials may be deposited by CVD and ALD processes further described in commonly assigned U.S. Pat. No. 7,264,846 and U.S. Ser. No. 10/443,648, filed May 22, 2003, and published as US 2005-0220998, which are herein incorporated by reference.
  • cobalt carbonyl compounds or complexes may be utilized as cobalt precursors.
  • Cobalt carbonyl compounds or complexes have the general chemical formula (CO) x Co y L z , where X may be 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, or 12, Y may be 1, 2, 3, 4, or 5, and Z may be 1, 2, 3, 4, 5, 6, 7, or 8.
  • the group L is absent, one ligand or multiple ligands, that may be the same ligand or different ligands, and include cyclopentadienyl, alkylcyclopentadienyl (e.g., methylcyclopentadienyl or pentamethylcyclopentadienyl), pentadienyl, alkylpentadienyl, cyclobutadienyl, butadienyl, ethylene, allyl (or propylene), alkenes, dialkenes, alkynes, acetylene, bytylacetylene, nitrosyl, ammonia, derivatives thereof, complexes thereof, plasma thereof, or combinations thereof.
  • alkylcyclopentadienyl e.g., methylcyclopentadienyl or pentamethylcyclopentadienyl
  • pentadienyl alkylpentadienyl
  • Some exemplary cobalt carbonyl complexes include cyclopentadienyl cobalt bis(carbonyl) (CpCo(CO) 2 ), tricarbonyl allyl cobalt ((CO) 3 Co(CH 2 CH ⁇ CH 2 )), dicobalt hexacarbonyl bytylacetylene (CCTBA, (CO) 6 Co 2 (HC ⁇ C t Bu)), dicobalt hexacarbonyl methylbytylacetylene ((CO) 6 Co 2 (MeC ⁇ C t Bu)), dicobalt hexacarbonyl phenylacetylene ((CO) 6 Co 2 (HC ⁇ CPh)), hexacarbonyl methylphenylacetylene ((CO) 6 Co 2 (MeC ⁇ CPh)), dicobalt hexacarbonyl methylacetylene ((CO) 6 Co 2 (HC ⁇ CMe)), dicobalt hexacarbonyl dimethylacetylene
  • cobalt amidinates or cobalt amido complexes may be utilized as cobalt precursors.
  • Cobalt amido complexes have the general chemical formula (RR′N) x Co, where X may be 1, 2, or 3, and R and R′ are independently hydrogen, methyl, ethyl, propyl, butyl, alkyl, silyl, alkylsilyl, derivatives thereof, or combinations thereof.
  • Some exemplary cobalt amido complexes include bis(di(butyldimethylsilyl)amido) cobalt (((BuMe 2 Si) 2 N) 2 Co), bis(di(ethyidimethylsilyl)amido) cobalt (((EtMe 2 Si) 2 N) 2 Co), bis(di(propyidimethylsilyl)amido) cobalt (((PrMe 2 Si) 2 N) 2 Co), bis(di(trimethylsilyl)amido) cobalt (((Me 3 Si) 2 N) 2 Co), tris(di(trimethylsilyl)amido) cobalt (((Me 3 Si) 2 N) 3 Co), derivatives thereof, complexes thereof, plasma thereof, or combinations thereof.
  • Some exemplary cobalt precursors include methylcyclopentadienyl cobalt bis(carbonyl) (MeCpCo(CO) 2 ), ethylcyclopentadienyl cobalt bis(carbonyl) (EtCpCo(CO) 2 ), pentamethylcyclopentadienyl cobalt bis(carbonyl) (Me 5 CpCo(CO) 2 ), dicobalt octa(carbonyl) (Co 2 (CO) 8 ), nitrosyl cobalt tris(carbonyl) ((ON)Co(CO) 3 ), bis(cyclopentadienyl) cobalt, (cyclopentadienyl) cobalt (cyclohexadienyl), cyclopentadienyl cobalt ( 1 , 3 -hexadienyl), (cyclobutadienyl) cobalt (cyclopentadienyl), bis(methylcyclopentadie
  • Suitable reagents, including reducing agents, that are useful to form cobalt-containing materials (e.g., metallic cobalt, cobalt capping layers, or cobalt alloys) by processes described herein include hydrogen (e.g., H 2 or atomic-H), atomic-N, ammonia (NH 3 ), hydrazine (N 2 H 4 ), a hydrogen and ammonia mixture (H 2 /NH 3 ), borane (BH 3 ), diborane (B 2 H 6 ), triethylborane (Et 3 B), silane (SiH 4 ), disilane (Si 2 H 6 ), trisilane (Si 3 H 8 ), tetrasilane (Si 4 H 10 ), methyl silane (SiCH 6 ), dimethylsilane (SiC 2 H 8 ), phosphine (PH 3 ), derivatives thereof, plasmas thereof, or combinations thereof.
  • hydrogen e.g., H 2 or atomic-H
  • dielectric barrier layer 220 may be deposited over cobalt capping layer 216 and on substrate 200 , as depicted in FIG. 2E .
  • Dielectric barrier layer 220 having a low dielectric constant may be deposited on substrate 200 , across substrate field 210 , and over cobalt capping layer 216 .
  • Dielectric barrier layer 220 may contain a low-k dielectric material, such as silicon carbide, silicon nitride, silicon oxide, silicon oxynitride, silicon carbide oxide or carbon doped silicon oxide material, derivatives thereof, or combinations thereof.
  • BLOK® low-k dielectric material available from Applied Materials, Inc., located in Santa Clara, Calif., may be utilized as a low-k dielectric material for dielectric barrier layer 220 .
  • An example of a suitable material for dielectric barrier layer 220 is a silicon carbide based film formed using CVD or plasma enhanced CVD (PE-CVD) processes such as the processes described in commonly assigned U.S. Pat. Nos. 6,537,733, 6,790,788, and 6,890,850, which are herein incorporated by reference.
  • PE-CVD plasma enhanced CVD
  • An ALD processing chamber used during embodiments described herein is available from Applied Materials, Inc., located in Santa Clara, Calif. A detailed description of an ALD processing chamber may be found in commonly assigned U.S. Pat. Nos. 6,916,398 and 6,878,206, commonly assigned U.S. Ser. No. 10/281,079, filed on Oct. 25, 2002, and published as U.S. Pub. No. 2003-0121608, and commonly assigned U.S. Ser. Nos. 11/556,745, 11/556,752, 11/556,756, 11/556,758, 11/556,763, each filed Nov. 6, 2006, and published as U.S. Pub. Nos.
  • a chamber configured to operate in both an ALD mode as well as a conventional CVD mode may be used to deposit cobalt-containing materials is described in commonly assigned U.S. Pat. No. 7,204,886, which is incorporated herein by reference in its entirety.
  • a detailed description of an ALD process for forming cobalt-containing materials is further disclosed in commonly assigned U.S. Ser. No. 10/443,648, filed on May 22, 2003, and published as U.S. Pub. No. 2005-0220998, and commonly assigned U.S. Pat. No.
  • a chamber configured to operate in both an ALD mode as well as a conventional CVD mode that may be used to deposit cobalt-containing materials is the TXZ® showerhead and CVD chamber available from Applied Materials, Inc., located in Santa Clara, Calif.
  • Substrate surface refers to any substrate or material surface formed on a substrate upon which film processing is performed during a fabrication process.
  • a substrate surface on which processing may be performed include materials such as monocrystalline, polycrystalline or amorphous silicon, strained silicon, silicon on insulator (SOI), doped silicon, silicon germanium, germanium, gallium arsenide, glass, sapphire, silicon oxide, silicon nitride, silicon oxynitride, and/or carbon doped silicon oxides, such as SiO x C y , for example, BLACK DIAMOND® low-k dielectric, available from Applied Materials, Inc., located in Santa Clara, Calif.
  • SOI silicon on insulator
  • Substrates may have various dimensions, such as 200 mm or 300 mm diameter wafers, as well as, rectangular or square panes. Unless otherwise noted, embodiments and examples described herein are preferably conducted on substrates with a 200 mm diameter or a 300 mm diameter, more preferably, a 300 mm diameter. Embodiments of the processes described herein deposit cobalt silicide materials, metallic cobalt materials, and other cobalt-containing materials on many substrates and surfaces, especially, silicon-containing dielectric materials.
  • Substrates on which embodiments of the invention may be useful include, but are not limited to semiconductor wafers, such as crystalline silicon (e.g., Si ⁇ 100> or Si ⁇ 111>), silicon oxide, strained silicon, silicon germanium, doped or undoped polysilicon, doped or undoped silicon wafers, and patterned or non-patterned wafers. Substrates may be exposed to a pre-treatment process to polish, etch, reduce, oxidize, hydroxylate, anneal, and/or bake the substrate surface.

Abstract

Embodiments of the invention provide processes to selectively form a cobalt layer on a copper surface over exposed dielectric surfaces. In one embodiment, a method for capping a copper surface on a substrate is provided which includes positioning a substrate within a processing chamber, wherein the substrate contains a contaminated copper surface and a dielectric surface, exposing the contaminated copper surface to a reducing agent while forming a copper surface during a pre-treatment process, exposing the substrate to a cobalt precursor gas to selectively form a cobalt capping layer over the copper surface while leaving exposed the dielectric surface during a vapor deposition process, and depositing a dielectric barrier layer over the cobalt capping layer and the dielectric surface. In another embodiment, a deposition-treatment cycle includes performing the vapor deposition process and subsequently a post-treatment process, which deposition-treatment cycle may be repeated to form multiple cobalt capping layers.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • Embodiments of the invention generally relate to a metallization process for manufacturing semiconductor devices, more particularly, embodiments relate to preventing copper dewetting by depositing cobalt materials on a substrate.
  • 2. Description of the Related Art
  • Copper is the current metal of choice for use in multilevel metallization processes that are crucial to semiconductor device manufacturing. The multilevel interconnects that drive the manufacturing processes require planarization of high aspect ratio apertures including contacts, vias, lines, and other features. Filling the features without creating voids or deforming the feature geometry is more difficult when the features have higher aspect ratios. Reliable formation of interconnects is also more difficult as manufacturers strive to increase circuit density and quality.
  • As the use of copper has permeated the marketplace because of its relative low cost and processing properties, semiconductor manufacturers continue to look for ways to improve the boundary regions between copper and dielectric material by reducing copper diffusion and dewetting. Several processing methods have been developed to manufacture copper interconnects as feature sizes have decreased. Each processing method may increase the likelihood of errors such as copper diffusion across boundary regions, copper crystalline structure deformation, and dewetting. Physical vapor deposition (PVD), chemical vapor deposition (CVD), atomic layer deposition (ALD), chemical mechanical polishing (CMP), electrochemical plating (ECP), electrochemical mechanical polishing (ECMP), and other methods of depositing and removing copper layers utilize mechanical, electrical, or chemical methods to manipulate the copper that forms the interconnects. Barrier and capping layers may be deposited to contain the copper.
  • In the past, a layer of tantalum, tantalum nitride, or copper alloy with tin, aluminum, or magnesium was used to provide a barrier layer or an adhesion promoter between copper and other materials. These options are costly or only partially effective or both. As the copper atoms along the boundary regions experience changes in temperature, pressure, atmospheric conditions, or other process variables common during multiple step semiconductor processing, the copper may migrate along the boundary regions and become agglomerated copper. The copper may also be less uniformly dispersed along the boundary regions and become dewetted copper. These changes in the boundary region include stress migration and electromigration of the copper atoms. The stress migration and electromigration of copper across the dielectric layers or other structures increases the resistivity of the resulting structures and reduces the reliability of the resulting devices.
  • Barrier layers containing cobalt have been deposited by PVD, CVD, and ALD processes. PVD processes to deposit cobalt are often hard to control precise deposition thicknesses. CVD processes usually suffer from poor conformality and contaminants in the deposited cobalt layer. During a typical ALD process, a cobalt precursor and a reducing agent are sequentially exposed to a substrate to form the desired cobalt layer. ALD processes have several advantages over other vapor deposition processes, such as very conformal films and the ability to deposit into high aspect ratio vias. However, the deposition rates of an ALD process are often too slow, so that ALD processes are not often used in commercial applications.
  • Therefore, a need exists to enhance the stability and adhesion of copper-containing layers, especially for copper seed layers. Also, a need exists to improve the electromigration (EM) reliability of copper-containing layer, especially for copper line formations, while preventing the diffusion of copper into neighboring materials, such as dielectric materials. A further need exists for an improved vapor deposition process to deposit cobalt materials.
  • SUMMARY OF THE INVENTION
  • Embodiments of the invention provide processes to selectively form a cobalt layer on a copper surface over exposed dielectric surfaces. In one embodiment, a method for capping a copper surface on a substrate is provided which includes positioning a substrate within a processing chamber, wherein the substrate contains a contaminated copper surface and a dielectric surface, exposing the contaminated copper surface to a reducing agent while forming a metallic copper surface during a pre-treatment process, exposing the substrate to a cobalt precursor gas to selectively form a cobalt capping layer over the metallic copper surface while leaving exposed the dielectric surface during a vapor deposition process, and depositing a dielectric barrier layer over the cobalt capping layer and the dielectric surface.
  • In some examples, the method further includes chemically reducing copper oxides on the contaminated copper surface to form the metallic copper surface during the pre-treatment process. The contaminated copper surface may be exposed to the reducing agent and a plasma is ignited during the pre-treatment process, the reducing agent may contain a reagent such as nitrogen (N2), ammonia (NH3), hydrogen (H2), an ammonia/nitrogen mixture, or combinations thereof. In some examples, the contaminated copper surface may be exposed to the plasma for a time period within a range from about 5 seconds to about 15 seconds. In another example, the reducing agent contains hydrogen gas, the pre-treatment process is a thermal process, and the substrate is heated to a temperature within a range from about 200° C. to about 400° C. during the thermal process.
  • In other examples, the method further includes exposing the cobalt capping layer to a reagent and a plasma during a post-treatment process prior to depositing the dielectric barrier layer. The reagent may contain nitrogen, ammonia, hydrogen, an ammonia/nitrogen mixture, or combinations thereof.
  • In another embodiment, a deposition-treatment cycle includes performing the vapor deposition process and subsequently the post-treatment process, and the deposition-treatment cycle is performed 2, 3, or more times to deposit multiple cobalt capping layers. Each of the cobalt capping layers may be deposited to a thickness within a range from about 3 Å to about 5 Å during each of the deposition-treatment cycles. The overall cobalt capping material or cobalt capping layer may have a thickness within a range from about 4 Å to about 20 Å. In some examples, the cobalt capping layer has a thickness of less than about 10 Å.
  • The substrate may be exposed to a deposition gas containing the cobalt precursor gas and hydrogen gas during the vapor deposition process, the vapor deposition process is a thermal chemical vapor deposition process or an atomic layer deposition process. wherein the cobalt precursor gas contains a cobalt precursor which has the general chemical formula (CO)xCoyLz, wherein X is 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, or 12; Y is 1, 2, 3, 4, or 5; Z is 1, 2, 3, 4, 5, 6, 7, or 8; and L is a ligand independently selected from cyclopentadienyl, alkylcyclopentadienyl, methylcyclopentadienyl, pentamethylcyclopentadienyl, pentadienyl, alkylpentadienyl, cyclobutadienyl, butadienyl, allyl, ethylene, propylene, alkenes, dialkenes, alkynes, nitrosyl, ammonia, derivatives thereof, or combinations thereof. The cobalt precursor gas may contain a cobalt precursor selected from the group consisting of tricarbonyl allyl cobalt, cyclopentadienyl cobalt bis(carbonyl), methylcyclopentadienyl cobalt bis(carbonyl), ethylcyclopentadienyl cobalt bis(carbonyl), pentamethylcyclopentadienyl cobalt bis(carbonyl), dicobalt octa(carbonyl), nitrosyl cobalt tris(carbonyl), bis(cyclopentadienyl) cobalt, (cyclopentadienyl) cobalt (cyclohexadienyl), cyclopentadienyl cobalt (1,3-hexadienyl), (cyclobutadienyl) cobalt (cyclopentadienyl), bis(methylcyclopentadienyl) cobalt, (cyclopentadienyl) cobalt (5-methylcyclopentadienyl), bis(ethylene) cobalt (pentamethylcyclopentadienyl), derivatives thereof, complexes thereof, plasmas thereof, or combinations thereof. In one example, the cobalt precursor contains cyclopentadienyl cobalt bis(carbonyl).
  • In another embodiment, a method for capping a copper surface on a substrate is provided which includes positioning a substrate within a processing chamber, wherein the substrate contains a copper oxide surface and a dielectric surface, exposing the copper oxide surface to an ammonia plasma or a hydrogen plasma while forming a metallic copper surface during a pre-treatment process, exposing the substrate to a cobalt precursor gas to selectively form a cobalt capping layer over the metallic copper surface while leaving exposed the dielectric surface during a vapor deposition process, exposing the cobalt capping layer to a plasma during a post-treatment process, and depositing a dielectric barrier layer over the cobalt capping layer and the dielectric surface.
  • In some examples, a deposition-treatment cycle is formed by performing the vapor deposition process and subsequently the post-treatment process. The deposition-treatment cycle may be performed 2, 3, or more times to deposit multiple cobalt capping layers. Each of the cobalt capping layers may be deposited to a thickness within a range from about 3 Å to about 5 Å during each of the deposition-treatment cycles.
  • In another example, the copper oxide surface may be exposed to the ammonia plasma or the hydrogen plasma for a time period within a range from about 5 seconds to about 15 seconds during a pre-treatment process. The plasma may be exposed to the cobalt capping layer during the post-treatment process contains nitrogen, ammonia, an ammonia/nitrogen mixture, or hydrogen.
  • In another embodiment, a method for capping a copper surface on a substrate is provided which includes positioning a substrate within a processing chamber, wherein the substrate contains a copper oxide surface and a dielectric surface, exposing the copper oxide surface to an ammonia plasma or a hydrogen plasma while forming a metallic copper surface during a pre-treatment process, exposing the substrate to a cobalt precursor gas and hydrogen gas to selectively form a cobalt capping layer over the metallic copper surface while leaving exposed the dielectric surface during a vapor deposition process, and exposing the cobalt capping layer to a plasma and a reagent selected from the group consisting of nitrogen, ammonia, hydrogen, an ammonia/nitrogen mixture, and combinations thereof during a post-treatment process.
  • In another embodiment, a method for capping a copper surface on a substrate is provided which includes positioning a substrate within a processing chamber, wherein the substrate contains a contaminated copper surface and a dielectric surface, exposing the contaminated copper surface to a reducing agent while forming a metallic copper surface during a pre-treatment process, and depositing a cobalt capping material over the metallic copper surface while leaving exposed the dielectric surface during a deposition-treatment cycle. In one example, the deposition-treatment cycle includes exposing the substrate to a cobalt precursor gas to selectively form a first cobalt layer over the metallic copper surface while leaving exposed the dielectric surface during a vapor deposition process, exposing the first cobalt layer to a plasma containing nitrogen, ammonia, an ammonia/nitrogen mixture, or hydrogen during a treatment process, exposing the substrate to the cobalt precursor gas to selectively form a second cobalt layer over the first cobalt layer while leaving exposed the dielectric surface during the vapor deposition process, and exposing the second cobalt layer to the plasma during the treatment process. The method further provides depositing a dielectric barrier layer over the cobalt capping material and the dielectric surface.
  • In some examples, the method provides exposing the substrate to the cobalt precursor gas to selectively form a third cobalt layer over the second cobalt layer while leaving exposed the dielectric surface during the vapor deposition process, and exposing the third cobalt layer to the plasma during the treatment process.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1 depicts a flow chart illustrating a treatment and deposition process according to an embodiment described herein;
  • FIGS. 2A-2E depict schematic views of a substrate at different process steps according to an embodiment described herein; and
  • FIG. 3 depicts a flow chart illustrating a deposition process according to another embodiment described herein.
  • DETAILED DESCRIPTION
  • Embodiments of the invention provide a method that utilizes a cobalt capping layer or material to prevent copper diffusion and dewetting in interconnect boundary regions. The transition metal, for example, cobalt, improves copper boundary region properties to promote adhesion, decrease diffusion and agglomeration, and encourage uniform roughness and wetting of the substrate surface during processing. Embodiments provide that a cobalt capping layer may be selectively deposited on a copper contact or surface on a substrate while leaving exposed dielectric surfaces on the substrate.
  • FIG. 1 depicts a flow chart illustrating process 100 according to an embodiment of the invention. Process 100 may be used to clean and cap a copper contact surface on a substrate post a polishing process. In one embodiment, steps 110-140 of process 100 may be used on substrate 200, depicted in FIGS. 2A-2E. Process 100 includes exposing a substrate to pre-treatment process (step 110), depositing a cobalt capping layer on exposed copper surfaces of the substrate (step 120), exposing the substrate to post-treatment process (step 130), and depositing a dielectric barrier layer on the substrate (step 140).
  • FIG. 2A depicts substrate 200 containing dielectric layer 204 disposed over underlayer 202 after being exposed to a polishing process. Copper contacts 208 are disposed within dielectric layer 204 and are separated from dielectric layer 204 by barrier layer 206. Dielectric layer 204 contains a dielectric material, such as a low-k dielectric material. In one example, dielectric layer 204 contains a low-k dielectric material, such as a silicon carbide oxide material or a carbon doped silicon oxide material, for example, BLACK DIAMOND® II low-k dielectric material, available from Applied Materials, Inc., located in Santa Clara, Calif.
  • Barrier layer 206 may be conformally deposited into the aperture within dielectric layer 204. Barrier layer 206 may be formed or deposited by a PVD process, an ALD, or a CVD process, and may have a thickness within a range from about 5 Å to about 50 Å, preferably, from about 10 Å to about 30 Å. Barrier layer 206 may contain titanium, titanium nitride, tantalum, tantalum nitride, tungsten, tungsten nitride, suicides thereof, derivatives thereof, or combinations thereof. In some embodiments, barrier layer 206 may contain a tantalum/tantalum nitride bilayer or titanium/titanium nitride bilayer. In one example, barrier layer 206 contains tantalum nitride and metallic tantalum layers deposited by PVD processes.
  • During the polishing process, such as a chemical mechanical polishing (CMP) process, the upper surface of copper contacts 208 are exposed across substrate field 210 and contaminants 212 are formed on copper contacts 212. Contaminants 212 usually contain copper oxides formed during or after the polishing process. The exposed surfaces of copper contacts 208 may be oxidized by peroxides, water, or other reagents in the polishing solution or by oxygen within the ambient air. Contaminants 212 may also include moisture, polishing solution remnants including surfactants and other additives, or particles of polished away materials.
  • At step 110 of process 100, contaminants 212 may be removed from substrate field 210 by exposing substrate 200 to a pre-treatment process. Copper surfaces 214 are exposed once contaminants 212 are treated or removed from copper contacts 208, as illustrated in FIG. 2B. Copper oxides may be chemically reduced by exposing substrate 200 to a reducing agent. The pre-treatment process exposes substrate 200 to the reducing agent during a thermal process or a plasma process. The reducing agent may have a liquid state, a gas state, a plasma state, or combinations thereof. Reducing agent that are useful during the pre-treatment process include hydrogen (e.g., H2 or atomic-H), ammonia (NH3), a hydrogen and ammonia mixture (H2/NH3), atomic-N, hydrazine (N2H4), alcohols (e.g., methanol, ethanol, or propanol), derivatives thereof, plasmas thereof, or combinations thereof. Substrate 200 may be exposed to a plasma formed in situ or remotely during the pre-treatment process.
  • In one embodiment, substrate 200 is exposed to a thermal pre-treatment process to remove contaminants 212 from copper contacts 208 while forming copper surfaces 214. Substrate 200 may be positioned within a processing chamber, exposed to a reducing agent, and heated to a temperature within a range from about 200° C. to about 800° C., preferably, from about 250° C. to about 600° C., and more preferably, from about 300° C. to about 500° C. Substrate 200 may be heated for a time period within a range from about 2 minutes to about 20 minutes, preferably, from about 5 minutes to about 15 minutes. For example, substrate 200 may be heated to about 500° C. in a processing chamber containing a hydrogen atmosphere for about 12 minutes.
  • In another embodiment, substrate 200 is exposed to a plasma pre-treatment process to remove contaminants 212 from copper contacts 208 while forming copper surfaces 214. Substrate 200 may be positioned within a processing chamber, exposed to a reducing agent, and heated to a temperature within a range from about 100° C. to about 400° C., preferably, from about 125° C. to about 350° C., and more preferably, from about 150° C. to about 300° C., such as about 200° C. or about 250° C. The processing chamber may produce an in situ plasma or be equipped with a remote plasma source (RPS). In one embodiment, substrate 200 may be exposed to the plasma (e.g., in situ or remotely) for a time period within a range from about 2 seconds to about 60 seconds, preferably, from about 3 seconds to about 30 seconds, preferably, from about 5 seconds to about 15 seconds, such as about 10 seconds. The plasma may be produced at a power within the range from about 200 watts to about 1,000 watts, preferably, from about 400 watts to about 800 watts. In one example, substrate 200 may be exposed to hydrogen gas while a plasma is generated at 400 watts for about 10 seconds at about 5 Torr. In another example, substrate 200 may be exposed to ammonia gas while a plasma is generated at 800 watts for about 20 seconds at about 5 Torr. In another example, substrate 200 may be exposed to a hydrogen and ammonia gaseous mixture while a plasma is generated at 400 watts for about 15 seconds at about 5 Torr.
  • At step 120 of process 100, cobalt capping layer 216 may be selectively deposited or formed on copper surfaces 214 while leaving bare the exposed surfaces of dielectric layer 204 across substrate field 210, as illustrated in FIG. 2C. Therefore, along substrate field 210, cobalt capping layer 216 is selectively deposited on copper surfaces 214 while leaving the surfaces of dielectric layer 204 free or at least substantially free of cobalt capping layer 216. Initially, cobalt capping layer 216 may be a continuous layer or a discontinuous layer across copper surfaces 214, but is a continuous layer after multiple deposition cycles.
  • Contaminants 218 may collect throughout substrate field 210, such as on cobalt capping layer 216 as well as the surfaces of dielectric layer 204, as depicted in FIG. 2C. Contaminants 218 may include by-products from the deposition process, such as carbon, organic residue, precursor residue, and other undesirable materials collected on substrate field 210.
  • Substrate 200 may be exposed to a plasma formed in situ or remotely during the post-treatment process at step 130 of process 100. The post-treatment process removes or reduces the amount of contaminants from substrate 200 while further densifying cobalt capping layer 216. The post-treatment process may expose substrate 200 and cobalt capping layer 216 to a reducing agent during the plasma process. Reducing agent that are useful during the post-treatment process include hydrogen (e.g., H2 or atomic-H), ammonia (NH3), a hydrogen and ammonia mixture (H2/NH3), nitrogen (e.g., N2 or atomic-N), hydrazine (N2H4), derivatives thereof, plasmas thereof, or combinations thereof. Cobalt capping layer 216 may be exposed to the plasma during the post-treatment process for a time period within a range from about 2 seconds to about 60 seconds, preferably, from about 3 seconds to about 30 seconds, and more preferably, from about 5 seconds to about 15 seconds.
  • In one example, the cobalt capping layer is exposed to a hydrogen plasma, formed by igniting hydrogen gas in situ or remotely of the processing chamber. In another example, the cobalt capping layer is exposed to an ammonia plasma, formed by igniting ammonia gas in situ or remotely of the processing chamber. In another example, the cobalt capping layer is exposed to a hydrogen/ammonia plasma, formed by igniting a mixture of hydrogen gas and ammonia gas in situ or remotely of the processing chamber.
  • A plasma may be generated external from the processing chamber, such as by a remote plasma source (RPS) system, or preferably, the plasma may be generated in situ a plasma capable deposition chamber, such as a PE-CVD chamber during a plasma treatment process, such as in steps 130 or 330. The plasma may be generated from a microwave (MW) frequency generator or a radio frequency (RF) generator. In a preferred example, an in situ plasma is generated by a RF generator. The processing chamber may be pressurized during the plasma treatment process at a pressure within a range from about 0.1 Torr to about 80 Torr, preferably from about 0.5 Torr to about 10 Torr, and more preferably, from about 1 Torr to about 5 Torr. Also, the chamber or the substrate may be heated to a temperature of less than about 500° C., preferably within a range from about 100° C. to about 450° C., and more preferably, from about 150° C. to about 400° C., for example, about 300° C.
  • During treatment processes, a plasma may be ignited within the processing chamber for an in situ plasma process, or alternative, may be formed by an external source, such as a RPS system. The RF generator may be set at a frequency within a range from about 100 kHz to about 60 MHz. In one example, a RF generator, with a frequency of 13.56 MHz, may be set to have a power output within a range from about 100 watts to about 1,000 watts, preferably, from about 250 watts to about 600 watts, and more preferably, from about 300 watts to about 500 watts. In one example, a RF generator, with a frequency of 350 kHz, may be set to have a power output within a range from about 200 watts to about 2,000 watts, preferably, from about 500 watts to about 1,500 watts, and more preferably, from about 800 watts to about 1,200 watts, for example, about 1,000 watts. A surface of substrate may be exposed to a plasma having a power per surface area value within a range from about 0.01 watts/cm2 to about 10.0 watts/cm2, preferably, from about 0.05 watts/cm2 to about 6.0 watts/cm2.
  • In another embodiment, step 120 is repeated at least once, two times, or more. Step 120 may be performed one time to form a single layer of cobalt capping layer 216, or performed multiple times to form multiple layers of cobalt capping layer 216, such as 2, 3, 4, 5, or more layers of cobalt capping layer 216. In another embodiment, steps 120 and 130 are sequentially repeated at least once, if not, 2, 3, 4 or more times. Cobalt capping layer 216 may be deposited having a thickness within a range from about 2 Å to about 30 Å, preferably, from about 3 Å to about 25 Å, more preferably, from about 4 Å to about 20 Å, and more preferably, from about 5 Å to about 10 Å, such as about 7 Å or about 8 Å. In one example, two cycles of steps 120 and 130 and performed to form cobalt capping layer 216 with a thickness of about 7 Å. In another example, three cycles of steps 120 and 130 and performed to form cobalt capping layer 216 with a thickness of about 8 Å.
  • Cobalt capping layer 216 may be deposited by thermal decomposition of a cobalt containing precursor carried by an inert gas during step 120. A reducing gas may be co-flowed or alternately pulsed into the processing chamber along with the cobalt precursor. The substrate may be heated to a temperature within a range from about 50° C. to about 600° C., preferably, from about 100° C. to about 500° C., and more preferably, from about 200° C. to about 400° C. Alternatively, cobalt capping layer 216 may be deposited by exposing the substrate to a cobalt containing precursor gas in an ALD or CVD process.
  • FIG. 3 depicts a flow-chart of process 300 which may be used to form cobalt-containing materials, such as cobalt capping layer 216. In one embodiment, process 300 includes exposing a substrate to a deposition gas to form a cobalt capping material (step 310), optionally purging the deposition chamber (step 320), exposing the substrate to a plasma treatment process (step 330), purging the deposition chamber (step 340), and determining if a predetermined thickness of the cobalt capping material has been formed on the substrate (step 350). In one embodiment, the cycle of steps 310-350 may be repeated if the cobalt capping material has not been formed having the predetermined thickness. In another embodiment, the cycle of steps 310 and 330 may be repeated if the cobalt capping material has not been formed having the predetermined thickness. Alternately, process 300 may be stopped once the cobalt capping material has been formed having the predetermined thickness.
  • In one embodiment, a method for capping a copper surface on a substrate is provided which includes exposing the substrate to a cobalt precursor gas and hydrogen gas to selectively form a cobalt capping layer over the metallic copper surface while leaving exposed the dielectric surface during a vapor deposition process, and exposing the cobalt capping layer to a plasma and a reagent, such as nitrogen, ammonia, hydrogen, an ammonia/nitrogen mixture, or combinations thereof during a post-treatment process.
  • In another embodiment, a method for capping a copper surface on a substrate is provided which includes depositing a cobalt capping material over the metallic copper surface while leaving exposed the dielectric surface during a deposition-treatment cycle. In one example, the deposition-treatment cycle includes exposing the substrate to a cobalt precursor gas to selectively form a first cobalt layer over the metallic copper surface while leaving exposed the dielectric surface during a vapor deposition process, exposing the first cobalt layer to a plasma containing nitrogen, ammonia, an ammonia/nitrogen mixture, or hydrogen during a treatment process. The method further provides exposing the substrate to the cobalt precursor gas to selectively form a second cobalt layer over the first cobalt layer while leaving exposed the dielectric surface during the vapor deposition process, and exposing the second cobalt layer to the plasma during the treatment process.
  • In some examples, the method provides exposing the substrate to the cobalt precursor gas to selectively form a third cobalt layer over the second cobalt layer while leaving exposed the dielectric surface during the vapor deposition process, and exposing the third cobalt layer to the plasma during the treatment process.
  • Suitable cobalt precursors for forming cobalt-containing materials (e.g., metallic cobalt or cobalt alloys) by CVD or ALD processes described herein include cobalt carbonyl complexes, cobalt amidinates compounds, cobaltocene compounds, cobalt dienyl complexes, cobalt nitrosyl complexes, derivatives thereof, complexes thereof, plasma thereof, or combinations thereof. In some embodiments, cobalt materials may be deposited by CVD and ALD processes further described in commonly assigned U.S. Pat. No. 7,264,846 and U.S. Ser. No. 10/443,648, filed May 22, 2003, and published as US 2005-0220998, which are herein incorporated by reference.
  • In some embodiments, cobalt carbonyl compounds or complexes may be utilized as cobalt precursors. Cobalt carbonyl compounds or complexes have the general chemical formula (CO)xCoyLz, where X may be 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, or 12, Y may be 1, 2, 3, 4, or 5, and Z may be 1, 2, 3, 4, 5, 6, 7, or 8. The group L is absent, one ligand or multiple ligands, that may be the same ligand or different ligands, and include cyclopentadienyl, alkylcyclopentadienyl (e.g., methylcyclopentadienyl or pentamethylcyclopentadienyl), pentadienyl, alkylpentadienyl, cyclobutadienyl, butadienyl, ethylene, allyl (or propylene), alkenes, dialkenes, alkynes, acetylene, bytylacetylene, nitrosyl, ammonia, derivatives thereof, complexes thereof, plasma thereof, or combinations thereof. Some exemplary cobalt carbonyl complexes include cyclopentadienyl cobalt bis(carbonyl) (CpCo(CO)2), tricarbonyl allyl cobalt ((CO)3Co(CH2CH═CH2)), dicobalt hexacarbonyl bytylacetylene (CCTBA, (CO)6Co2(HC≡CtBu)), dicobalt hexacarbonyl methylbytylacetylene ((CO)6Co2(MeC≡CtBu)), dicobalt hexacarbonyl phenylacetylene ((CO)6Co2(HC≡CPh)), hexacarbonyl methylphenylacetylene ((CO)6Co2(MeC≡CPh)), dicobalt hexacarbonyl methylacetylene ((CO)6Co2(HC≡CMe)), dicobalt hexacarbonyl dimethylacetylene ((CO)6Co2(MeC≡CMe)), derivatives thereof, complexes thereof, plasma thereof, or combinations thereof.
  • In another embodiment, cobalt amidinates or cobalt amido complexes may be utilized as cobalt precursors. Cobalt amido complexes have the general chemical formula (RR′N)xCo, where X may be 1, 2, or 3, and R and R′ are independently hydrogen, methyl, ethyl, propyl, butyl, alkyl, silyl, alkylsilyl, derivatives thereof, or combinations thereof. Some exemplary cobalt amido complexes include bis(di(butyldimethylsilyl)amido) cobalt (((BuMe2Si)2N)2Co), bis(di(ethyidimethylsilyl)amido) cobalt (((EtMe2Si)2N)2Co), bis(di(propyidimethylsilyl)amido) cobalt (((PrMe2Si)2N)2Co), bis(di(trimethylsilyl)amido) cobalt (((Me3Si)2N)2Co), tris(di(trimethylsilyl)amido) cobalt (((Me3Si)2N)3Co), derivatives thereof, complexes thereof, plasma thereof, or combinations thereof.
  • Some exemplary cobalt precursors include methylcyclopentadienyl cobalt bis(carbonyl) (MeCpCo(CO)2), ethylcyclopentadienyl cobalt bis(carbonyl) (EtCpCo(CO)2), pentamethylcyclopentadienyl cobalt bis(carbonyl) (Me5CpCo(CO)2), dicobalt octa(carbonyl) (Co2(CO)8), nitrosyl cobalt tris(carbonyl) ((ON)Co(CO)3), bis(cyclopentadienyl) cobalt, (cyclopentadienyl) cobalt (cyclohexadienyl), cyclopentadienyl cobalt (1,3-hexadienyl), (cyclobutadienyl) cobalt (cyclopentadienyl), bis(methylcyclopentadienyl) cobalt, (cyclopentadienyl) cobalt (5-methylcyclopentadienyl), bis(ethylene) cobalt (pentamethylcyclopentadienyl), cobalt tetracarbonyl iodide, cobalt tetracarbonyl trichlorosilane, carbonyl chloride tris(trimethylphosphine) cobalt, cobalt tricarbonyl-hydrotributylphosphine, acetylene dicobalt hexacarbonyl, acetylene dicobalt pentacarbonyl triethylphosphine, derivatives thereof, complexes thereof, plasma thereof, or combinations thereof.
  • Suitable reagents, including reducing agents, that are useful to form cobalt-containing materials (e.g., metallic cobalt, cobalt capping layers, or cobalt alloys) by processes described herein include hydrogen (e.g., H2 or atomic-H), atomic-N, ammonia (NH3), hydrazine (N2H4), a hydrogen and ammonia mixture (H2/NH3), borane (BH3), diborane (B2H6), triethylborane (Et3B), silane (SiH4), disilane (Si2H6), trisilane (Si3H8), tetrasilane (Si4H10), methyl silane (SiCH6), dimethylsilane (SiC2H8), phosphine (PH3), derivatives thereof, plasmas thereof, or combinations thereof.
  • During step 140 of process 100, dielectric barrier layer 220 may be deposited over cobalt capping layer 216 and on substrate 200, as depicted in FIG. 2E. Dielectric barrier layer 220 having a low dielectric constant may be deposited on substrate 200, across substrate field 210, and over cobalt capping layer 216. Dielectric barrier layer 220 may contain a low-k dielectric material, such as silicon carbide, silicon nitride, silicon oxide, silicon oxynitride, silicon carbide oxide or carbon doped silicon oxide material, derivatives thereof, or combinations thereof. In one example, BLOK® low-k dielectric material, available from Applied Materials, Inc., located in Santa Clara, Calif., may be utilized as a low-k dielectric material for dielectric barrier layer 220. An example of a suitable material for dielectric barrier layer 220 is a silicon carbide based film formed using CVD or plasma enhanced CVD (PE-CVD) processes such as the processes described in commonly assigned U.S. Pat. Nos. 6,537,733, 6,790,788, and 6,890,850, which are herein incorporated by reference.
  • An ALD processing chamber used during embodiments described herein is available from Applied Materials, Inc., located in Santa Clara, Calif. A detailed description of an ALD processing chamber may be found in commonly assigned U.S. Pat. Nos. 6,916,398 and 6,878,206, commonly assigned U.S. Ser. No. 10/281,079, filed on Oct. 25, 2002, and published as U.S. Pub. No. 2003-0121608, and commonly assigned U.S. Ser. Nos. 11/556,745, 11/556,752, 11/556,756, 11/556,758, 11/556,763, each filed Nov. 6, 2006, and published as U.S. Pub. Nos. 2007-0119379, 2007-0119371, 2007-0128862, 2007-0128863, and 2007-0128864, which are hereby incorporated by reference in their entirety. In another embodiment, a chamber configured to operate in both an ALD mode as well as a conventional CVD mode may be used to deposit cobalt-containing materials is described in commonly assigned U.S. Pat. No. 7,204,886, which is incorporated herein by reference in its entirety. A detailed description of an ALD process for forming cobalt-containing materials is further disclosed in commonly assigned U.S. Ser. No. 10/443,648, filed on May 22, 2003, and published as U.S. Pub. No. 2005-0220998, and commonly assigned U.S. Pat. No. 7,264,846, which are hereby incorporated by reference in their entirety. In other embodiments, a chamber configured to operate in both an ALD mode as well as a conventional CVD mode that may be used to deposit cobalt-containing materials is the TXZ® showerhead and CVD chamber available from Applied Materials, Inc., located in Santa Clara, Calif.
  • “Substrate surface” or “substrate,” as used herein, refers to any substrate or material surface formed on a substrate upon which film processing is performed during a fabrication process. For example, a substrate surface on which processing may be performed include materials such as monocrystalline, polycrystalline or amorphous silicon, strained silicon, silicon on insulator (SOI), doped silicon, silicon germanium, germanium, gallium arsenide, glass, sapphire, silicon oxide, silicon nitride, silicon oxynitride, and/or carbon doped silicon oxides, such as SiOxCy, for example, BLACK DIAMOND® low-k dielectric, available from Applied Materials, Inc., located in Santa Clara, Calif. Substrates may have various dimensions, such as 200 mm or 300 mm diameter wafers, as well as, rectangular or square panes. Unless otherwise noted, embodiments and examples described herein are preferably conducted on substrates with a 200 mm diameter or a 300 mm diameter, more preferably, a 300 mm diameter. Embodiments of the processes described herein deposit cobalt silicide materials, metallic cobalt materials, and other cobalt-containing materials on many substrates and surfaces, especially, silicon-containing dielectric materials. Substrates on which embodiments of the invention may be useful include, but are not limited to semiconductor wafers, such as crystalline silicon (e.g., Si<100> or Si<111>), silicon oxide, strained silicon, silicon germanium, doped or undoped polysilicon, doped or undoped silicon wafers, and patterned or non-patterned wafers. Substrates may be exposed to a pre-treatment process to polish, etch, reduce, oxidize, hydroxylate, anneal, and/or bake the substrate surface.
  • While the foregoing is directed to embodiments of the invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (25)

1. A method for capping a copper surface on a substrate, comprising:
positioning a substrate within a processing chamber, wherein the substrate comprises a contaminated copper surface and a dielectric surface;
exposing the contaminated copper surface to a reducing agent while forming a metallic copper surface during a pre-treatment process;
exposing the substrate to a cobalt precursor gas to selectively form a cobalt capping layer over the metallic copper surface while leaving exposed the dielectric surface during a vapor deposition process; and
depositing a dielectric barrier layer over the cobalt capping layer and the dielectric surface.
2. The method of claim 1, further comprising chemically reducing copper oxides on the contaminated copper surface to form the metallic copper surface during the pre-treatment process.
3. The method of claim 1, wherein the contaminated copper surface is exposed to the reducing agent and a plasma is ignited during the pre-treatment process, the reducing agent comprises a reagent selected from the group consisting of nitrogen (N2), ammonia (NH3), hydrogen (H2), ammonia/nitrogen mixture, and combinations thereof.
4. The method of claim 3, wherein the contaminated copper surface is exposed to the plasma for a time period within a range from about 5 seconds to about 15 seconds.
5. The method of claim 1, wherein the reducing agent comprises hydrogen gas, the pre-treatment process is a thermal process, and the substrate is heated to a temperature within a range from about 200° C. to about 400° C. during the thermal process.
6. The method of claim 1, further comprising exposing the cobalt capping layer to a reagent and a plasma during a post-treatment process prior to depositing the dielectric barrier layer, the reagent is selected from the group consisting of nitrogen (N2), ammonia (NH3), hydrogen (H2), ammonia/nitrogen mixture, and combinations thereof.
7. The method of claim 6, wherein a deposition-treatment cycle comprises performing the vapor deposition process and subsequently the post-treatment process, and the deposition-treatment cycle is performed 2, 3, or more times to deposit multiple cobalt capping layers.
8. The method of claim 7, wherein each of the cobalt capping layers is deposited to a thickness within a range from about 3 Å to about 5 Å during each of the deposition-treatment cycles.
9. The method of claim 1, wherein the cobalt capping layer has a thickness within a range from about 4 Å to about 20 Å.
10. The method of claim 1, wherein the cobalt capping layer has a thickness of less than about 10 Å.
11. The method of claim 10, wherein the substrate is exposed to a deposition gas comprising the cobalt precursor gas and hydrogen gas during the vapor deposition process, the vapor deposition process is a thermal chemical vapor deposition process or an atomic layer deposition process.
12. The method of claim 1, wherein the cobalt precursor gas comprises a cobalt precursor which has the general chemical formula (CO)xCoyLz, wherein:
X is 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, or 12;
Y is 1, 2, 3, 4, or 5;
Z is 1, 2, 3, 4, 5, 6, 7, or 8; and
L is a ligand independently selected from the group consisting of cyclopentadienyl, alkylcyclopentadienyl, methylcyclopentadienyl, pentamethylcyclopentadienyl, pentadienyl, alkylpentadienyl, cyclobutadienyl, butadienyl, allyl, ethylene, propylene, alkenes, dialkenes, alkynes, nitrosyl, ammonia, derivatives thereof, and combinations thereof.
13. The method of claim 1, wherein the cobalt precursor gas comprises a cobalt precursor selected from the group consisting of tricarbonyl allyl cobalt, cyclopentadienyl cobalt bis(carbonyl), methylcyclopentadienyl cobalt bis(carbonyl), ethylcyclopentadienyl cobalt bis(carbonyl), pentamethylcyclopentadienyl cobalt bis(carbonyl), dicobalt octa(carbonyl), nitrosyl cobalt tris(carbonyl), bis(cyclopentadienyl) cobalt, (cyclopentadienyl) cobalt (cyclohexadienyl), cyclopentadienyl cobalt (1,3-hexadienyl), (cyclobutadienyl) cobalt (cyclopentadienyl), bis(methylcyclopentadienyl) cobalt, (cyclopentadienyl) cobalt (5-methylcyclopentadienyl), bis(ethylene) cobalt (pentamethylcyclopentadienyl), derivatives thereof, complexes thereof, plasmas thereof, and combinations thereof.
14. The method of claim 13, wherein the cobalt precursor comprises cyclopentadienyl cobalt bis(carbonyl).
15. A method for capping a copper surface on a substrate, comprising:
positioning a substrate within a processing chamber, wherein the substrate comprises a copper oxide surface and a dielectric surface;
exposing the copper oxide surface to an ammonia plasma or a hydrogen plasma while forming a metallic copper surface during a pre-treatment process;
exposing the substrate to a cobalt precursor gas to selectively form a cobalt capping layer over the metallic copper surface while leaving exposed the dielectric surface during a vapor deposition process;
exposing the cobalt capping layer to a plasma during a post-treatment process; and
depositing a dielectric barrier layer over the cobalt capping layer and the dielectric surface.
16. The method of claim 15, wherein a deposition-treatment cycle comprises performing the vapor deposition process and subsequently the post-treatment process, and the deposition-treatment cycle is performed 2, 3, or more times to deposit multiple cobalt capping layers.
17. The method of claim 16, wherein each of the cobalt capping layers is deposited to a thickness within a range from about 3 Å to about 5 Å during each of the deposition-treatment cycles.
18. The method of claim 15, wherein the copper oxide surface is exposed to the ammonia plasma or the hydrogen plasma for a time period within a range from about 5 seconds to about 15 seconds during a pre-treatment process.
19. The method of claim 15, wherein a reagent and the plasma are exposed to the cobalt capping layer during the post-treatment process, and the reagent is selected from the group consisting of nitrogen (N2), ammonia (NH3), hydrogen (H2), ammonia/nitrogen mixture, and combinations thereof.
20. A method for capping a copper surface on a substrate, comprising:
positioning a substrate within a processing chamber, wherein the substrate comprises a copper oxide surface and a dielectric surface;
exposing the copper oxide surface to an ammonia plasma or a hydrogen plasma while forming a metallic copper surface during a pre-treatment process;
exposing the substrate to a cobalt precursor gas and hydrogen gas to selectively form a cobalt capping layer over the metallic copper surface while leaving exposed the dielectric surface during a vapor deposition process; and
exposing the cobalt capping layer to a plasma and a reagent selected from the group consisting of nitrogen (N2), ammonia (NH3), hydrogen (H2), ammonia/nitrogen mixture, and combinations thereof during a post-treatment process.
21. The method of claim 20, wherein a deposition-treatment cycle comprises performing the vapor deposition process and subsequently the post-treatment process, and the deposition-treatment cycle is performed 2, 3, or more times to deposit multiple cobalt capping layers.
22. The method of claim 21, wherein each of the cobalt capping layers is deposited to a thickness within a range from about 3 Å to about 5 Å during each of the deposition-treatment cycles.
23. The method of claim 20, further comprising depositing a dielectric barrier layer over the cobalt capping layer and the dielectric surface.
24. A method for capping a copper surface on a substrate, comprising:
positioning a substrate within a processing chamber, wherein the substrate comprises a contaminated copper surface and a dielectric surface;
exposing the contaminated copper surface to a reducing agent while forming a metallic copper surface during a pre-treatment process;
depositing a cobalt capping material over the metallic copper surface while leaving exposed the dielectric surface during a deposition-treatment cycle, comprising:
exposing the substrate to a cobalt precursor gas to selectively form a first cobalt layer over the metallic copper surface while leaving exposed the dielectric surface during a vapor deposition process;
exposing the first cobalt layer to a plasma comprising nitrogen, ammonia, an ammonia/nitrogen mixture, or hydrogen during a treatment process;
exposing the substrate to the cobalt precursor gas to selectively form a second cobalt layer over the first cobalt layer while leaving exposed the dielectric surface during the vapor deposition process;
exposing the second cobalt layer to the plasma during the treatment process; and
depositing a dielectric barrier layer over the cobalt capping material and the dielectric surface.
25. The method of claim 24, further comprising:
exposing the substrate to the cobalt precursor gas to selectively form a third cobalt layer over the second cobalt layer while leaving exposed the dielectric surface during the vapor deposition process; and
exposing the third cobalt layer to the plasma during the treatment process.
US12/111,921 2008-04-29 2008-04-29 Selective cobalt deposition on copper surfaces Abandoned US20090269507A1 (en)

Priority Applications (13)

Application Number Priority Date Filing Date Title
US12/111,921 US20090269507A1 (en) 2008-04-29 2008-04-29 Selective cobalt deposition on copper surfaces
KR1020107026817A KR101654001B1 (en) 2008-04-29 2009-04-29 Selective cobalt deposition on copper surfaces
KR1020177021040A KR101802452B1 (en) 2008-04-29 2009-04-29 A method for capping a copper surface on a substrate
PCT/US2009/042030 WO2009134840A2 (en) 2008-04-29 2009-04-29 Selective cobalt deposition on copper surfaces
CN2009801135107A CN102007573B (en) 2008-04-29 2009-04-29 Selective cobalt deposition on copper surfaces
TW103116576A TWI530580B (en) 2008-04-29 2009-04-29 Selective cobalt deposition on copper surfaces
KR1020167022431A KR101764163B1 (en) 2008-04-29 2009-04-29 A method for capping a copper surface on a substrate
KR1020177033840A KR101938841B1 (en) 2008-04-29 2009-04-29 A method for capping a copper surface on a substrate
JP2011507595A JP6146948B2 (en) 2008-04-29 2009-04-29 Selective cobalt deposition on copper surfaces.
TW098114236A TWI441939B (en) 2008-04-29 2009-04-29 Selective cobalt deposition on copper surfaces
US14/682,218 US20150325446A1 (en) 2008-04-29 2015-04-09 Selective cobalt deposition on copper surfaces
US15/598,687 US11384429B2 (en) 2008-04-29 2017-05-18 Selective cobalt deposition on copper surfaces
US17/834,633 US20220298625A1 (en) 2008-04-29 2022-06-07 Selective cobalt deposition on copper surfaces

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/111,921 US20090269507A1 (en) 2008-04-29 2008-04-29 Selective cobalt deposition on copper surfaces

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/682,218 Continuation US20150325446A1 (en) 2008-04-29 2015-04-09 Selective cobalt deposition on copper surfaces

Publications (1)

Publication Number Publication Date
US20090269507A1 true US20090269507A1 (en) 2009-10-29

Family

ID=41215285

Family Applications (4)

Application Number Title Priority Date Filing Date
US12/111,921 Abandoned US20090269507A1 (en) 2008-04-29 2008-04-29 Selective cobalt deposition on copper surfaces
US14/682,218 Abandoned US20150325446A1 (en) 2008-04-29 2015-04-09 Selective cobalt deposition on copper surfaces
US15/598,687 Active US11384429B2 (en) 2008-04-29 2017-05-18 Selective cobalt deposition on copper surfaces
US17/834,633 Pending US20220298625A1 (en) 2008-04-29 2022-06-07 Selective cobalt deposition on copper surfaces

Family Applications After (3)

Application Number Title Priority Date Filing Date
US14/682,218 Abandoned US20150325446A1 (en) 2008-04-29 2015-04-09 Selective cobalt deposition on copper surfaces
US15/598,687 Active US11384429B2 (en) 2008-04-29 2017-05-18 Selective cobalt deposition on copper surfaces
US17/834,633 Pending US20220298625A1 (en) 2008-04-29 2022-06-07 Selective cobalt deposition on copper surfaces

Country Status (6)

Country Link
US (4) US20090269507A1 (en)
JP (1) JP6146948B2 (en)
KR (4) KR101802452B1 (en)
CN (1) CN102007573B (en)
TW (2) TWI441939B (en)
WO (1) WO2009134840A2 (en)

Cited By (345)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102074500A (en) * 2009-11-12 2011-05-25 诺发系统有限公司 Uv and reducing treatment for K recovery and surface clean in semiconductor processing
WO2011156705A2 (en) * 2010-06-10 2011-12-15 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20120161319A1 (en) * 2010-12-23 2012-06-28 Stmicroelectronics Pte Ltd. Ball grid array method and structure
US8524600B2 (en) 2011-03-31 2013-09-03 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
US20130260555A1 (en) * 2012-03-28 2013-10-03 Bhushan N. ZOPE Method of enabling seamless cobalt gap-fill
CN103972156A (en) * 2013-02-06 2014-08-06 中芯国际集成电路制造(上海)有限公司 Semiconductor interconnecting structure and manufacturing method thereof
WO2014189671A1 (en) * 2013-05-24 2014-11-27 Applied Materials, Inc. Cobalt selectivity improvement in selective cobalt process sequence
US20150028483A1 (en) * 2013-07-23 2015-01-29 Semiconductor Manufacturing International (Shanghai) Corporation Novel method for electromigration and adhesion using two selective deposition
US20150093891A1 (en) * 2013-09-27 2015-04-02 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US20150206798A1 (en) * 2014-01-17 2015-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect Structure And Method of Forming
US20150221596A1 (en) * 2014-02-05 2015-08-06 Applied Materials, Inc. Dielectric/metal barrier integration to prevent copper diffusion
US20150221542A1 (en) * 2014-02-03 2015-08-06 Lam Research Corporation Methods and apparatus for selective deposition of cobalt in semiconductor processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9184060B1 (en) 2014-11-14 2015-11-10 Lam Research Corporation Plated metal hard mask for vertical NAND hole etch
US20150380272A1 (en) * 2014-06-30 2015-12-31 Lam Research Corporation Liner and barrier applications for subtractive metal integration
US20150380296A1 (en) * 2014-06-25 2015-12-31 Lam Research Corporation Cleaning of carbon-based contaminants in metal interconnects for interconnect capping applications
WO2016033145A1 (en) 2014-08-27 2016-03-03 Applied Materials, Inc. Selective deposition with alcohol selective reduction and protection
WO2016040077A1 (en) * 2014-09-14 2016-03-17 Entergris, Inc. Cobalt deposition selectivity on copper and dielectrics
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9449872B1 (en) * 2015-04-13 2016-09-20 Shanghai Huali Microelectronics Corporation Method for forming cobalt barrier layer and metal interconnection process
US9487860B2 (en) 2014-11-10 2016-11-08 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method for forming cobalt containing films
US9502255B2 (en) 2014-10-17 2016-11-22 Lam Research Corporation Low-k damage repair and pore sealing agents with photosensitive end groups
US9540408B2 (en) 2012-09-25 2017-01-10 Entegris, Inc. Cobalt precursors for low temperature ALD or CVD of cobalt-based thin films
US20170092535A1 (en) * 2015-09-29 2017-03-30 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device
US20170110370A1 (en) * 2011-06-24 2017-04-20 Tessera, Inc. Systems and methods for producing flat surfaces in interconnect structures
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
CN107078036A (en) * 2014-11-07 2017-08-18 应用材料公司 The method of thermosetting selectivity cobalt layers
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US9873946B2 (en) 2005-04-26 2018-01-23 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US9905458B2 (en) 2014-12-03 2018-02-27 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device having a via structure and an interconnection structure
US9947582B1 (en) 2017-06-02 2018-04-17 Asm Ip Holding B.V. Processes for preventing oxidation of metal thin films
WO2018085257A1 (en) * 2016-11-01 2018-05-11 Versum Materials Us, Llc Cobalt compounds, method of making and method of use thereof
US20180144973A1 (en) * 2016-11-01 2018-05-24 Applied Materials, Inc. Electromigration Improvement Using Tungsten For Selective Cobalt Deposition On Copper Surfaces
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US20180151505A1 (en) * 2016-11-29 2018-05-31 Semiconductor Manufacturing International (Shanghai) Corporation Interconnection structures and fabrication methods thereof
US10014255B2 (en) 2016-03-14 2018-07-03 International Business Machines Corporation Contacts having a geometry to reduce resistance
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10157827B2 (en) 2016-06-29 2018-12-18 International Business Machines Corporation Semiconductor contact
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10214812B2 (en) * 2015-05-13 2019-02-26 National Oilwell DHT, L.P. Cutter elements for drill bits and methods for fabricating same
US10242879B2 (en) 2017-04-20 2019-03-26 Lam Research Corporation Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition
US20190148224A1 (en) * 2017-11-16 2019-05-16 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US20190148150A1 (en) * 2017-11-13 2019-05-16 Applied Materials, Inc. Methods for forming capping protection for an interconnection structure
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10395916B2 (en) 2016-09-10 2019-08-27 Applied Materials, Inc. In-situ pre-clean for selectivity improvement for selective deposition
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
CN111133558A (en) * 2017-09-21 2020-05-08 应用材料公司 Method and apparatus for filling substrate features with cobalt
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741411B2 (en) 2015-02-23 2020-08-11 Asm Ip Holding B.V. Removal of surface passivation
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804193B2 (en) 2016-04-12 2020-10-13 Tessera, Inc. Semiconductor interconnect structure with double conductors
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10872765B2 (en) 2018-05-02 2020-12-22 Asm Ip Holding B.V. Selective layer formation using deposition and removing
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US20210091033A1 (en) * 2018-08-13 2021-03-25 Yangtze Memory Technologies Co., Ltd. Bonding contacts having capping layer and method for forming the same
US10961624B2 (en) 2019-04-02 2021-03-30 Gelest Technologies, Inc. Process for pulsed thin film deposition
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11028480B2 (en) 2018-03-19 2021-06-08 Applied Materials, Inc. Methods of protecting metallic components against corrosion using chromium-containing thin films
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11124874B2 (en) 2018-10-25 2021-09-21 Applied Materials, Inc. Methods for depositing metallic iridium and iridium silicide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11145506B2 (en) 2018-10-02 2021-10-12 Asm Ip Holding B.V. Selective passivation and selective deposition
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11239421B2 (en) 2020-01-24 2022-02-01 International Business Machines Corporation Embedded BEOL memory device with top electrode pillar
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361978B2 (en) 2018-07-25 2022-06-14 Applied Materials, Inc. Gas delivery module
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11404313B2 (en) 2017-04-26 2022-08-02 Applied Materials, Inc. Selective tungsten deposition at low temperatures
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11462417B2 (en) 2017-08-18 2022-10-04 Applied Materials, Inc. High pressure and high temperature anneal chamber
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11498938B2 (en) * 2015-04-30 2022-11-15 Seastar Chemicals Ulc Organometallic compounds useful for chemical phase deposition
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US20220367259A1 (en) * 2020-01-24 2022-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k dielectric damage prevention
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527421B2 (en) 2017-11-11 2022-12-13 Micromaterials, LLC Gas delivery system for high pressure processing chamber
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11581183B2 (en) 2018-05-08 2023-02-14 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610773B2 (en) 2017-11-17 2023-03-21 Applied Materials, Inc. Condenser system for high pressure processing system
US11608557B2 (en) 2020-03-30 2023-03-21 Asm Ip Holding B.V. Simultaneous selective deposition of two different materials on two different surfaces
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11643720B2 (en) 2020-03-30 2023-05-09 Asm Ip Holding B.V. Selective deposition of silicon oxide on metal surfaces
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11694912B2 (en) 2017-08-18 2023-07-04 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11705337B2 (en) 2017-05-25 2023-07-18 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11732353B2 (en) 2019-04-26 2023-08-22 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11739429B2 (en) 2020-07-03 2023-08-29 Applied Materials, Inc. Methods for refurbishing aerospace components
US11749555B2 (en) 2018-12-07 2023-09-05 Applied Materials, Inc. Semiconductor processing system
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11881411B2 (en) 2018-03-09 2024-01-23 Applied Materials, Inc. High pressure annealing process for metal containing materials
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898240B2 (en) 2020-03-30 2024-02-13 Asm Ip Holding B.V. Selective deposition of silicon oxide on dielectric surfaces relative to metal surfaces
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11952658B2 (en) 2022-10-24 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101069440B1 (en) 2010-04-16 2011-09-30 주식회사 하이닉스반도체 Metal pattern in semiconductor device and the method for fabricating of the same
JP2012175073A (en) * 2011-02-24 2012-09-10 Tokyo Electron Ltd Deposition method and storage medium
JP6584150B2 (en) * 2014-06-09 2019-10-02 東ソー株式会社 Cobalt complex and method for producing the same, cobalt-containing thin film and method for producing the same
CN104152863B (en) * 2014-08-27 2019-10-25 上海华力微电子有限公司 A method of it improving cobalt barrier deposition and selects ratio
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
JP6710204B2 (en) * 2014-10-15 2020-06-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Multilayer dielectric stack for plasma damage protection
KR102321209B1 (en) * 2014-11-03 2021-11-02 삼성전자주식회사 Semiconductor device and method of fabricating the same
KR20160122399A (en) * 2015-04-14 2016-10-24 (주)디엔에프 method of manufacturing a cobalt-containing thin film and a cobalt-containing thin film manufactured thereby
JP6537365B2 (en) * 2015-06-22 2019-07-03 東ソー株式会社 Substituted cyclopentadienyl cobalt complex and method for producing the same, cobalt-containing thin film and method for producing the same
US9460959B1 (en) * 2015-10-02 2016-10-04 Applied Materials, Inc. Methods for pre-cleaning conductive interconnect structures
US10355204B2 (en) 2017-03-07 2019-07-16 International Business Machines Corporation Selective growth of seed layer for magneto-resistive random access memory
TWI729285B (en) * 2017-06-14 2021-06-01 荷蘭商Asm Ip控股公司 Selective deposition of metallic films
KR102592166B1 (en) * 2017-12-15 2023-10-19 버슘머트리얼즈 유에스, 엘엘씨 Disubstituted alkyne dicobalt hexacarbonyl compounds, method of making and method of use thereof
TWI672390B (en) * 2017-12-21 2019-09-21 美商慧盛材料美國責任有限公司 Disubstituted alkyne dicobalt hexacarbonyl compounds, method of making and method of use thereof
TWI810808B (en) * 2017-12-22 2023-08-01 美商應用材料股份有限公司 Methods for depositing blocking layers on conductive surfaces
JP7164349B2 (en) * 2018-07-31 2022-11-01 株式会社アルバック Co film manufacturing method
KR102034602B1 (en) * 2019-07-30 2019-10-22 (주)디엔에프 method of manufacturing a cobalt-containing thin film and a cobalt-containing thin film manufactured thereby
US20210062330A1 (en) * 2019-08-30 2021-03-04 Applied Materials, Inc. Selective cobalt deposition on copper surfaces

Citations (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6294836B1 (en) * 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
US20020004293A1 (en) * 2000-05-15 2002-01-10 Soininen Pekka J. Method of growing electrical conductors
US6346477B1 (en) * 2001-01-09 2002-02-12 Research Foundation Of Suny - New York Method of interlayer mediated epitaxy of cobalt silicide from low temperature chemical vapor deposition of cobalt
US20020076837A1 (en) * 2000-11-30 2002-06-20 Juha Hujanen Thin films for magnetic device
US20020081381A1 (en) * 2000-10-10 2002-06-27 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
US6444263B1 (en) * 2000-09-15 2002-09-03 Cvc Products, Inc. Method of chemical-vapor deposition of a material
US20020197856A1 (en) * 1997-11-05 2002-12-26 Kimihiro Matsuse Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US20030022487A1 (en) * 2001-07-25 2003-01-30 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6627995B2 (en) * 2000-03-03 2003-09-30 Cvc Products, Inc. Microelectronic interconnect material with adhesion promotion layer and fabrication method
US20040005753A1 (en) * 2000-05-15 2004-01-08 Juhana Kostamo Method of growing electrical conductors
US20040077158A1 (en) * 2002-10-17 2004-04-22 Hyeon-Ill Um Method of manufacturing semiconductor device through salicide process
US20040105934A1 (en) * 2002-06-04 2004-06-03 Mei Chang Ruthenium layer formation for copper film deposition
US20040203233A1 (en) * 2003-04-08 2004-10-14 Sang-Bom Kang Compositions for depositing a metal layer and methods of forming a metal layer using the same
US20040211665A1 (en) * 2001-07-25 2004-10-28 Yoon Ki Hwan Barrier formation using novel sputter-deposition method
US20040241321A1 (en) * 2002-06-04 2004-12-02 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US20050085031A1 (en) * 2003-10-15 2005-04-21 Applied Materials, Inc. Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers
US20050124154A1 (en) * 2001-12-28 2005-06-09 Hyung-Sang Park Method of forming copper interconnections for semiconductor integrated circuits on a substrate
US6936528B2 (en) * 2002-10-17 2005-08-30 Samsung Electronics Co., Ltd. Method of forming cobalt silicide film and method of manufacturing semiconductor device having cobalt silicide film
US20050208754A1 (en) * 2003-08-04 2005-09-22 Juhana Kostamo Method of growing electrical conductors
US20050220998A1 (en) * 2002-06-04 2005-10-06 Applied Materials, Inc. Noble metal layer formation for copper film deposition
US20060199372A1 (en) * 2005-03-01 2006-09-07 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
US20070048991A1 (en) * 2005-08-23 2007-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Copper interconnect structures and fabrication method thereof
US7211506B2 (en) * 2003-07-15 2007-05-01 Samsung Electronics Co., Ltd. Methods of forming cobalt layers for semiconductor devices
US20070119371A1 (en) * 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US20070184655A1 (en) * 2004-11-08 2007-08-09 Tel Epion Inc. Copper Interconnect Wiring and Method and Apparatus for Forming Thereof
US20070202254A1 (en) * 2001-07-25 2007-08-30 Seshadri Ganguli Process for forming cobalt-containing materials
US20070292615A1 (en) * 2005-08-31 2007-12-20 Lam Research Corporation Processes and systems for engineering a silicon-type surface for selective metal deposition to form a metal silicide
US20080135914A1 (en) * 2006-06-30 2008-06-12 Krishna Nety M Nanocrystal formation
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US7446032B2 (en) * 2003-01-15 2008-11-04 Novellus Systems, Inc. Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
US20090004850A1 (en) * 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US7473634B2 (en) * 2006-09-28 2009-01-06 Tokyo Electron Limited Method for integrated substrate processing in copper metallization
US20090134521A1 (en) * 2007-11-27 2009-05-28 Interuniversitair Microelektronica Centrum Vzw Integrated circuit and manufacturing method of copper germanide and copper silicide as copper capping layer
US7648899B1 (en) * 2008-02-28 2010-01-19 Novellus Systems, Inc. Interfacial layers for electromigration resistance improvement in damascene interconnects

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2738333B2 (en) * 1995-03-30 1998-04-08 日本電気株式会社 Method for manufacturing semiconductor device
US6355571B1 (en) * 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
EP1077274A1 (en) * 1999-08-17 2001-02-21 Applied Materials, Inc. Lid cooling mechanism and method for optimized deposition of low-k dielectric using tri methylsilane-ozone based processes
US6399489B1 (en) 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
US6943451B2 (en) * 2001-07-02 2005-09-13 International Business Machines Corporation Semiconductor devices containing a discontinuous cap layer and methods for forming same
JP4198906B2 (en) * 2001-11-15 2008-12-17 株式会社ルネサステクノロジ Semiconductor device and manufacturing method of semiconductor device
TW559933B (en) 2002-09-25 2003-11-01 Applied Materials Inc An apparatus and a method for reducing copper oxide on a copper layer
JP3992588B2 (en) 2002-10-23 2007-10-17 東京エレクトロン株式会社 Deposition method
KR20040039591A (en) * 2002-11-04 2004-05-12 주식회사 하이닉스반도체 Method for forming a copper anti-diffusion film and Method for manufacturing a copper metal line using the same
US6917108B2 (en) 2002-11-14 2005-07-12 International Business Machines Corporation Reliable low-k interconnect structure with hybrid dielectric
WO2004064147A2 (en) 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
JP4401656B2 (en) * 2003-01-10 2010-01-20 パナソニック株式会社 Manufacturing method of semiconductor device
KR101352995B1 (en) * 2003-02-14 2014-01-21 어플라이드 머티어리얼스, 인코포레이티드 Cleaning of native oxide with hydrogen-containing radicals
JP2005029821A (en) * 2003-07-09 2005-02-03 Tokyo Electron Ltd Film-forming method
JP4467571B2 (en) * 2003-09-19 2010-05-26 アプライド マテリアルズ インコーポレイテッド Apparatus and method for detecting an electroless deposition endpoint
CN1890401A (en) * 2003-10-17 2007-01-03 应用材料公司 Selective self-initiating electroless capping of copper with cobalt-containing alloys
US7256111B2 (en) * 2004-01-26 2007-08-14 Applied Materials, Inc. Pretreatment for electroless deposition
US7119019B2 (en) * 2004-03-31 2006-10-10 Intel Corporation Capping of copper structures in hydrophobic ILD using aqueous electro-less bath
US9117860B2 (en) * 2006-08-30 2015-08-25 Lam Research Corporation Controlled ambient system for interface engineering
US20070184656A1 (en) * 2004-11-08 2007-08-09 Tel Epion Inc. GCIB Cluster Tool Apparatus and Method of Operation
TW200704794A (en) 2005-03-18 2007-02-01 Applied Materials Inc Process for electroless copper deposition
US8771804B2 (en) * 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US20070099417A1 (en) 2005-10-28 2007-05-03 Applied Materials, Inc. Adhesion and minimizing oxidation on electroless CO alloy films for integration with low K inter-metal dielectric and etch stop
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
MY171542A (en) * 2006-08-30 2019-10-17 Lam Res Corp Processes and integrated systems for engineering a substrate surface for metal deposition
KR101506352B1 (en) * 2006-08-30 2015-03-26 램 리써치 코포레이션 Processes and integrated systems for engineering a substrate surface for metal deposition
CN105304479B (en) * 2009-10-23 2018-06-01 哈佛大学校长及研究员协会 For the self-aligned barrier layers and capping layer of interconnection
US9633861B2 (en) * 2013-03-12 2017-04-25 Applied Materials, Inc. Cu/barrier interface enhancement
WO2014189671A1 (en) * 2013-05-24 2014-11-27 Applied Materials, Inc. Cobalt selectivity improvement in selective cobalt process sequence
US9601431B2 (en) * 2014-02-05 2017-03-21 Applied Materials, Inc. Dielectric/metal barrier integration to prevent copper diffusion
US10043709B2 (en) * 2014-11-07 2018-08-07 Applied Materials, Inc. Methods for thermally forming a selective cobalt layer
KR102493327B1 (en) * 2014-11-21 2023-01-27 어플라이드 머티어리얼스, 인코포레이티드 Alcohol assisted ald film deposition
CN104795358B (en) * 2015-04-13 2018-06-22 上海华力微电子有限公司 The forming method on cobalt barrier layer and metal interconnection process
TW201819665A (en) * 2016-09-10 2018-06-01 美商應用材料股份有限公司 In-situ pre-clean for selectivity improvement for selective deposition
US20180144973A1 (en) * 2016-11-01 2018-05-24 Applied Materials, Inc. Electromigration Improvement Using Tungsten For Selective Cobalt Deposition On Copper Surfaces
US10290540B2 (en) * 2016-11-01 2019-05-14 Versum Materials Us, Llc Disubstituted alkyne dicobalt hexacarbonyl compounds, method of making and method of use thereof
US11440929B2 (en) * 2018-06-19 2022-09-13 Versum Materials Us, Llc Bis(diazadiene)cobalt compounds, method of making and method of use thereof

Patent Citations (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020197856A1 (en) * 1997-11-05 2002-12-26 Kimihiro Matsuse Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US6861356B2 (en) * 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US6812126B1 (en) * 1998-12-22 2004-11-02 Cvc Products, Inc. Method for fabricating a semiconductor chip interconnect
US6365502B1 (en) * 1998-12-22 2002-04-02 Cvc Products, Inc. Microelectronic interconnect material with adhesion promotion layer and fabrication method
US6294836B1 (en) * 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
US6645847B2 (en) * 1998-12-22 2003-11-11 Cvc Products, Inc. Microelectronic interconnect material with adhesion promotion layer and fabrication method
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6627995B2 (en) * 2000-03-03 2003-09-30 Cvc Products, Inc. Microelectronic interconnect material with adhesion promotion layer and fabrication method
US7955979B2 (en) * 2000-05-15 2011-06-07 Asm International N.V. Method of growing electrical conductors
US20020004293A1 (en) * 2000-05-15 2002-01-10 Soininen Pekka J. Method of growing electrical conductors
US20040005753A1 (en) * 2000-05-15 2004-01-08 Juhana Kostamo Method of growing electrical conductors
US6444263B1 (en) * 2000-09-15 2002-09-03 Cvc Products, Inc. Method of chemical-vapor deposition of a material
US20020081381A1 (en) * 2000-10-10 2002-06-27 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
US6527855B2 (en) * 2000-10-10 2003-03-04 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
US20020076837A1 (en) * 2000-11-30 2002-06-20 Juha Hujanen Thin films for magnetic device
US6346477B1 (en) * 2001-01-09 2002-02-12 Research Foundation Of Suny - New York Method of interlayer mediated epitaxy of cobalt silicide from low temperature chemical vapor deposition of cobalt
US20070202254A1 (en) * 2001-07-25 2007-08-30 Seshadri Ganguli Process for forming cobalt-containing materials
US20030022487A1 (en) * 2001-07-25 2003-01-30 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US20090004850A1 (en) * 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20040211665A1 (en) * 2001-07-25 2004-10-28 Yoon Ki Hwan Barrier formation using novel sputter-deposition method
US20060276020A1 (en) * 2001-07-25 2006-12-07 Yoon Ki H Deposition methods for barrier and tungsten materials
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6740585B2 (en) * 2001-07-25 2004-05-25 Applied Materials, Inc. Barrier formation using novel sputter deposition method with PVD, CVD, or ALD
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US20050124154A1 (en) * 2001-12-28 2005-06-09 Hyung-Sang Park Method of forming copper interconnections for semiconductor integrated circuits on a substrate
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US20050220998A1 (en) * 2002-06-04 2005-10-06 Applied Materials, Inc. Noble metal layer formation for copper film deposition
US20040241321A1 (en) * 2002-06-04 2004-12-02 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US20060153973A1 (en) * 2002-06-04 2006-07-13 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US20040105934A1 (en) * 2002-06-04 2004-06-03 Mei Chang Ruthenium layer formation for copper film deposition
US6936528B2 (en) * 2002-10-17 2005-08-30 Samsung Electronics Co., Ltd. Method of forming cobalt silicide film and method of manufacturing semiconductor device having cobalt silicide film
US20040077158A1 (en) * 2002-10-17 2004-04-22 Hyeon-Ill Um Method of manufacturing semiconductor device through salicide process
US20050196960A1 (en) * 2002-10-17 2005-09-08 Kyeong-Mo Koo Method of forming metal silicide film and method of manufacturing semiconductor device having metal silicide film
US7446032B2 (en) * 2003-01-15 2008-11-04 Novellus Systems, Inc. Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
US20040203233A1 (en) * 2003-04-08 2004-10-14 Sang-Bom Kang Compositions for depositing a metal layer and methods of forming a metal layer using the same
US7211506B2 (en) * 2003-07-15 2007-05-01 Samsung Electronics Co., Ltd. Methods of forming cobalt layers for semiconductor devices
US7067407B2 (en) * 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US20050208754A1 (en) * 2003-08-04 2005-09-22 Juhana Kostamo Method of growing electrical conductors
US20050085031A1 (en) * 2003-10-15 2005-04-21 Applied Materials, Inc. Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers
US20070184655A1 (en) * 2004-11-08 2007-08-09 Tel Epion Inc. Copper Interconnect Wiring and Method and Apparatus for Forming Thereof
US20060199372A1 (en) * 2005-03-01 2006-09-07 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
US7265048B2 (en) * 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
US20070048991A1 (en) * 2005-08-23 2007-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Copper interconnect structures and fabrication method thereof
US20070292615A1 (en) * 2005-08-31 2007-12-20 Lam Research Corporation Processes and systems for engineering a silicon-type surface for selective metal deposition to form a metal silicide
US20070119370A1 (en) * 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US20070128864A1 (en) * 2005-11-04 2007-06-07 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US20070119371A1 (en) * 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US20070128863A1 (en) * 2005-11-04 2007-06-07 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US20070128862A1 (en) * 2005-11-04 2007-06-07 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US20080135914A1 (en) * 2006-06-30 2008-06-12 Krishna Nety M Nanocrystal formation
US7473634B2 (en) * 2006-09-28 2009-01-06 Tokyo Electron Limited Method for integrated substrate processing in copper metallization
US20090134521A1 (en) * 2007-11-27 2009-05-28 Interuniversitair Microelektronica Centrum Vzw Integrated circuit and manufacturing method of copper germanide and copper silicide as copper capping layer
US7648899B1 (en) * 2008-02-28 2010-01-19 Novellus Systems, Inc. Interfacial layers for electromigration resistance improvement in damascene interconnects

Cited By (483)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US9873946B2 (en) 2005-04-26 2018-01-23 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
CN102074500A (en) * 2009-11-12 2011-05-25 诺发系统有限公司 Uv and reducing treatment for K recovery and surface clean in semiconductor processing
US9679808B2 (en) 2010-06-10 2017-06-13 Asm International N.V. Selective formation of metallic films on metallic surfaces
WO2011156705A2 (en) * 2010-06-10 2011-12-15 Asm International N.V. Selective formation of metallic films on metallic surfaces
WO2011156705A3 (en) * 2010-06-10 2012-04-05 Asm International N.V. Selective formation of metallic films on metallic surfaces
US10049924B2 (en) 2010-06-10 2018-08-14 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9257303B2 (en) 2010-06-10 2016-02-09 Asm International N.V. Selective formation of metallic films on metallic surfaces
US8956971B2 (en) 2010-06-10 2015-02-17 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20120161319A1 (en) * 2010-12-23 2012-06-28 Stmicroelectronics Pte Ltd. Ball grid array method and structure
US8765601B2 (en) 2011-03-31 2014-07-01 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
US8524600B2 (en) 2011-03-31 2013-09-03 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10804151B2 (en) 2011-06-24 2020-10-13 Tessera, Inc. Systems and methods for producing flat surfaces in interconnect structures
US9812360B2 (en) * 2011-06-24 2017-11-07 Tessera, Inc. Systems and methods for producing flat surfaces in interconnect structures
US20170110370A1 (en) * 2011-06-24 2017-04-20 Tessera, Inc. Systems and methods for producing flat surfaces in interconnect structures
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US11056385B2 (en) 2011-12-09 2021-07-06 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US10157786B2 (en) 2011-12-09 2018-12-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9502289B2 (en) 2011-12-09 2016-11-22 Asm International N.V. Selective formation of metallic films on metallic surfaces
CN104205302A (en) * 2012-03-28 2014-12-10 应用材料公司 Method of enabling seamless cobalt gap-fill
US9330939B2 (en) * 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
CN106887380A (en) * 2012-03-28 2017-06-23 应用材料公司 The method for realizing seamless cobalt gap filling
US20160247718A1 (en) * 2012-03-28 2016-08-25 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9842769B2 (en) * 2012-03-28 2017-12-12 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US10269633B2 (en) 2012-03-28 2019-04-23 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
TWI579961B (en) * 2012-03-28 2017-04-21 應用材料股份有限公司 Method of enabling seamless cobalt gap-fill
US20130260555A1 (en) * 2012-03-28 2013-10-03 Bhushan N. ZOPE Method of enabling seamless cobalt gap-fill
US10329663B2 (en) 2012-09-25 2019-06-25 Entegris, Inc. Cobalt precursors for low temperature ALD or CVD of cobalt-based thin films
US9540408B2 (en) 2012-09-25 2017-01-10 Entegris, Inc. Cobalt precursors for low temperature ALD or CVD of cobalt-based thin films
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
CN103972156A (en) * 2013-02-06 2014-08-06 中芯国际集成电路制造(上海)有限公司 Semiconductor interconnecting structure and manufacturing method thereof
US9105695B2 (en) 2013-05-24 2015-08-11 Applied Materials, Inc. Cobalt selectivity improvement in selective cobalt process sequence
US9478460B2 (en) 2013-05-24 2016-10-25 Applied Materials, Inc. Cobalt selectivity improvement in selective cobalt process sequence
WO2014189671A1 (en) * 2013-05-24 2014-11-27 Applied Materials, Inc. Cobalt selectivity improvement in selective cobalt process sequence
US20150028483A1 (en) * 2013-07-23 2015-01-29 Semiconductor Manufacturing International (Shanghai) Corporation Novel method for electromigration and adhesion using two selective deposition
US9824918B2 (en) * 2013-07-23 2017-11-21 Semiconductor Manufacturing International (Shanghai) Corporation Method for electromigration and adhesion using two selective deposition
US10699946B2 (en) * 2013-09-27 2020-06-30 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9685371B2 (en) * 2013-09-27 2017-06-20 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US20150093891A1 (en) * 2013-09-27 2015-04-02 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US20150206798A1 (en) * 2014-01-17 2015-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect Structure And Method of Forming
US9153482B2 (en) * 2014-02-03 2015-10-06 Lam Research Corporation Methods and apparatus for selective deposition of cobalt in semiconductor processing
TWI656577B (en) * 2014-02-03 2019-04-11 美商蘭姆研究公司 Methods and apparatus for selective deposition of cobalt in semiconductor processing
US20150221542A1 (en) * 2014-02-03 2015-08-06 Lam Research Corporation Methods and apparatus for selective deposition of cobalt in semiconductor processing
US10456808B2 (en) 2014-02-04 2019-10-29 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US11213853B2 (en) 2014-02-04 2022-01-04 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US10008448B2 (en) 2014-02-05 2018-06-26 Applied Materials, Inc. Dielectric/metal barrier integration to prevent copper diffusion
US9601431B2 (en) * 2014-02-05 2017-03-21 Applied Materials, Inc. Dielectric/metal barrier integration to prevent copper diffusion
WO2015119760A1 (en) * 2014-02-05 2015-08-13 Applied Materials, Inc. Dielectric/metal barrier integration to prevent copper diffusion
US20150221596A1 (en) * 2014-02-05 2015-08-06 Applied Materials, Inc. Dielectric/metal barrier integration to prevent copper diffusion
TWI694501B (en) * 2014-02-05 2020-05-21 美商應用材料股份有限公司 Dielectric/metal barrier integration to prevent copper diffusion
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11047040B2 (en) 2014-04-16 2021-06-29 Asm Ip Holding B.V. Dual selective deposition
US10443123B2 (en) 2014-04-16 2019-10-15 Asm Ip Holding B.V. Dual selective deposition
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US11525184B2 (en) 2014-04-16 2022-12-13 Asm Ip Holding B.V. Dual selective deposition
CN105225925A (en) * 2014-06-25 2016-01-06 朗姆研究公司 The clean of the carbon back pollutant in the metal interconnecting piece of application is covered for cross tie part
US20150380296A1 (en) * 2014-06-25 2015-12-31 Lam Research Corporation Cleaning of carbon-based contaminants in metal interconnects for interconnect capping applications
US20150380272A1 (en) * 2014-06-30 2015-12-31 Lam Research Corporation Liner and barrier applications for subtractive metal integration
US10199235B2 (en) 2014-06-30 2019-02-05 Lam Research Corporation Liner and barrier applications for subtractive metal integration
CN105225945A (en) * 2014-06-30 2016-01-06 朗姆研究公司 The lining integrated for Subtractive metal and barrier application
US9899234B2 (en) * 2014-06-30 2018-02-20 Lam Research Corporation Liner and barrier applications for subtractive metal integration
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
WO2016033145A1 (en) 2014-08-27 2016-03-03 Applied Materials, Inc. Selective deposition with alcohol selective reduction and protection
KR20170057253A (en) * 2014-09-14 2017-05-24 엔테그리스, 아이엔씨. Cobalt deposition selectivity on copper and dielectrics
KR102487441B1 (en) * 2014-09-14 2023-01-12 엔테그리스, 아이엔씨. Cobalt deposition selectivity on copper and dielectrics
US11476158B2 (en) * 2014-09-14 2022-10-18 Entegris, Inc. Cobalt deposition selectivity on copper and dielectrics
WO2016040077A1 (en) * 2014-09-14 2016-03-17 Entergris, Inc. Cobalt deposition selectivity on copper and dielectrics
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9502255B2 (en) 2014-10-17 2016-11-22 Lam Research Corporation Low-k damage repair and pore sealing agents with photosensitive end groups
US10043709B2 (en) 2014-11-07 2018-08-07 Applied Materials, Inc. Methods for thermally forming a selective cobalt layer
CN107078036A (en) * 2014-11-07 2017-08-18 应用材料公司 The method of thermosetting selectivity cobalt layers
EP3216048A4 (en) * 2014-11-07 2018-07-25 Applied Materials, Inc. Methods for thermally forming a selective cobalt layer
US9487860B2 (en) 2014-11-10 2016-11-08 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method for forming cobalt containing films
US9184060B1 (en) 2014-11-14 2015-11-10 Lam Research Corporation Plated metal hard mask for vertical NAND hole etch
US9905458B2 (en) 2014-12-03 2018-02-27 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device having a via structure and an interconnection structure
US10062606B2 (en) 2014-12-03 2018-08-28 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device having a via structure and an interconnection structure
US11062914B2 (en) 2015-02-23 2021-07-13 Asm Ip Holding B.V. Removal of surface passivation
US10741411B2 (en) 2015-02-23 2020-08-11 Asm Ip Holding B.V. Removal of surface passivation
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9449872B1 (en) * 2015-04-13 2016-09-20 Shanghai Huali Microelectronics Corporation Method for forming cobalt barrier layer and metal interconnection process
US11498938B2 (en) * 2015-04-30 2022-11-15 Seastar Chemicals Ulc Organometallic compounds useful for chemical phase deposition
US10214812B2 (en) * 2015-05-13 2019-02-26 National Oilwell DHT, L.P. Cutter elements for drill bits and methods for fabricating same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US11174550B2 (en) 2015-08-03 2021-11-16 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10847361B2 (en) 2015-08-05 2020-11-24 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10903113B2 (en) 2015-08-05 2021-01-26 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10553482B2 (en) 2015-08-05 2020-02-04 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US20170092535A1 (en) * 2015-09-29 2017-03-30 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device
US9812355B2 (en) * 2015-09-29 2017-11-07 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US11654454B2 (en) 2015-10-09 2023-05-23 Asm Ip Holding B.V. Vapor phase deposition of organic films
US11389824B2 (en) 2015-10-09 2022-07-19 Asm Ip Holding B.V. Vapor phase deposition of organic films
US11446699B2 (en) 2015-10-09 2022-09-20 Asm Ip Holding B.V. Vapor phase deposition of organic films
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US11062993B2 (en) 2016-03-14 2021-07-13 International Business Machines Corporation Contacts having a geometry to reduce resistance
US10636738B2 (en) 2016-03-14 2020-04-28 International Business Machines Corporation Contacts having a geometry to reduce resistance
US11875987B2 (en) 2016-03-14 2024-01-16 International Business Machines Corporation Contacts having a geometry to reduce resistance
US10014255B2 (en) 2016-03-14 2018-07-03 International Business Machines Corporation Contacts having a geometry to reduce resistance
US10804193B2 (en) 2016-04-12 2020-10-13 Tessera, Inc. Semiconductor interconnect structure with double conductors
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US10741394B2 (en) 2016-04-18 2020-08-11 Asm Ip Holding B.V. Combined anneal and selective deposition process
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10923361B2 (en) 2016-06-01 2021-02-16 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US11728175B2 (en) 2016-06-01 2023-08-15 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US11387107B2 (en) 2016-06-01 2022-07-12 Asm Ip Holding B.V. Deposition of organic films
US10854460B2 (en) 2016-06-01 2020-12-01 Asm Ip Holding B.V. Deposition of organic films
US10480064B2 (en) 2016-06-08 2019-11-19 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10793946B1 (en) 2016-06-08 2020-10-06 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10041166B2 (en) 2016-06-08 2018-08-07 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US10157827B2 (en) 2016-06-29 2018-12-18 International Business Machines Corporation Semiconductor contact
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395916B2 (en) 2016-09-10 2019-08-27 Applied Materials, Inc. In-situ pre-clean for selectivity improvement for selective deposition
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
WO2018085257A1 (en) * 2016-11-01 2018-05-11 Versum Materials Us, Llc Cobalt compounds, method of making and method of use thereof
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US20180144973A1 (en) * 2016-11-01 2018-05-24 Applied Materials, Inc. Electromigration Improvement Using Tungsten For Selective Cobalt Deposition On Copper Surfaces
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10453797B2 (en) * 2016-11-29 2019-10-22 Semiconductor Manufacturing International (Shanghai) Corporation Interconnection structures and fabrication methods thereof
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US20180151505A1 (en) * 2016-11-29 2018-05-31 Semiconductor Manufacturing International (Shanghai) Corporation Interconnection structures and fabrication methods thereof
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10242879B2 (en) 2017-04-20 2019-03-26 Lam Research Corporation Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US11404313B2 (en) 2017-04-26 2022-08-02 Applied Materials, Inc. Selective tungsten deposition at low temperatures
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11728164B2 (en) 2017-05-16 2023-08-15 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US11705337B2 (en) 2017-05-25 2023-07-18 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US9947582B1 (en) 2017-06-02 2018-04-17 Asm Ip Holding B.V. Processes for preventing oxidation of metal thin films
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11739422B2 (en) 2017-07-14 2023-08-29 Asm Ip Holding B.V. Passivation against vapor deposition
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US11396701B2 (en) 2017-07-14 2022-07-26 Asm Ip Holding B.V. Passivation against vapor deposition
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11694912B2 (en) 2017-08-18 2023-07-04 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11469113B2 (en) 2017-08-18 2022-10-11 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11462417B2 (en) 2017-08-18 2022-10-04 Applied Materials, Inc. High pressure and high temperature anneal chamber
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
CN111133558A (en) * 2017-09-21 2020-05-08 应用材料公司 Method and apparatus for filling substrate features with cobalt
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11756803B2 (en) 2017-11-11 2023-09-12 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US11527421B2 (en) 2017-11-11 2022-12-13 Micromaterials, LLC Gas delivery system for high pressure processing chamber
US20190148150A1 (en) * 2017-11-13 2019-05-16 Applied Materials, Inc. Methods for forming capping protection for an interconnection structure
US20190148224A1 (en) * 2017-11-16 2019-05-16 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10910262B2 (en) * 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US11610773B2 (en) 2017-11-17 2023-03-21 Applied Materials, Inc. Condenser system for high pressure processing system
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11881411B2 (en) 2018-03-09 2024-01-23 Applied Materials, Inc. High pressure annealing process for metal containing materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11028480B2 (en) 2018-03-19 2021-06-08 Applied Materials, Inc. Methods of protecting metallic components against corrosion using chromium-containing thin films
US11603767B2 (en) 2018-03-19 2023-03-14 Applied Materials, Inc. Methods of protecting metallic components against corrosion using chromium-containing thin films
US11560804B2 (en) 2018-03-19 2023-01-24 Applied Materials, Inc. Methods for depositing coatings on aerospace components
US11384648B2 (en) 2018-03-19 2022-07-12 Applied Materials, Inc. Methods for depositing coatings on aerospace components
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11753726B2 (en) 2018-04-27 2023-09-12 Applied Materials, Inc. Protection of components from corrosion
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
US11753727B2 (en) 2018-04-27 2023-09-12 Applied Materials, Inc. Protection of components from corrosion
US11761094B2 (en) 2018-04-27 2023-09-19 Applied Materials, Inc. Protection of components from corrosion
US10872765B2 (en) 2018-05-02 2020-12-22 Asm Ip Holding B.V. Selective layer formation using deposition and removing
US11804373B2 (en) 2018-05-02 2023-10-31 ASM IP Holding, B.V. Selective layer formation using deposition and removing
US11501966B2 (en) 2018-05-02 2022-11-15 Asm Ip Holding B.V. Selective layer formation using deposition and removing
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11581183B2 (en) 2018-05-08 2023-02-14 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11361978B2 (en) 2018-07-25 2022-06-14 Applied Materials, Inc. Gas delivery module
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11715718B2 (en) * 2018-08-13 2023-08-01 Yangtze Memory Technologies Co., Ltd. Bonding contacts having capping layer and method for forming the same
US11177231B2 (en) * 2018-08-13 2021-11-16 Yangtze Memory Technologies Co., Ltd. Bonding contacts having capping layer and method for forming the same
US20210091033A1 (en) * 2018-08-13 2021-03-25 Yangtze Memory Technologies Co., Ltd. Bonding contacts having capping layer and method for forming the same
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11145506B2 (en) 2018-10-02 2021-10-12 Asm Ip Holding B.V. Selective passivation and selective deposition
US11830732B2 (en) 2018-10-02 2023-11-28 Asm Ip Holding B.V. Selective passivation and selective deposition
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11124874B2 (en) 2018-10-25 2021-09-21 Applied Materials, Inc. Methods for depositing metallic iridium and iridium silicide
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11749555B2 (en) 2018-12-07 2023-09-05 Applied Materials, Inc. Semiconductor processing system
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US10961624B2 (en) 2019-04-02 2021-03-30 Gelest Technologies, Inc. Process for pulsed thin film deposition
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11732353B2 (en) 2019-04-26 2023-08-22 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
US11664219B2 (en) 2019-10-31 2023-05-30 Asm Ip Holding B.V. Selective deposition of SiOC thin films
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US20220367259A1 (en) * 2020-01-24 2022-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k dielectric damage prevention
US11239421B2 (en) 2020-01-24 2022-02-01 International Business Machines Corporation Embedded BEOL memory device with top electrode pillar
US11699618B2 (en) * 2020-01-24 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k dielectric damage prevention
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11643720B2 (en) 2020-03-30 2023-05-09 Asm Ip Holding B.V. Selective deposition of silicon oxide on metal surfaces
US11608557B2 (en) 2020-03-30 2023-03-21 Asm Ip Holding B.V. Simultaneous selective deposition of two different materials on two different surfaces
US11898240B2 (en) 2020-03-30 2024-02-13 Asm Ip Holding B.V. Selective deposition of silicon oxide on dielectric surfaces relative to metal surfaces
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11739429B2 (en) 2020-07-03 2023-08-29 Applied Materials, Inc. Methods for refurbishing aerospace components
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11956977B2 (en) 2021-08-31 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11952658B2 (en) 2022-10-24 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material

Also Published As

Publication number Publication date
TW201447012A (en) 2014-12-16
US20170321320A1 (en) 2017-11-09
CN102007573B (en) 2013-02-13
US20150325446A1 (en) 2015-11-12
TWI441939B (en) 2014-06-21
WO2009134840A3 (en) 2010-01-14
US11384429B2 (en) 2022-07-12
KR101764163B1 (en) 2017-08-02
KR20170091171A (en) 2017-08-08
TW201009107A (en) 2010-03-01
US20220298625A1 (en) 2022-09-22
TWI530580B (en) 2016-04-21
KR101654001B1 (en) 2016-09-05
CN102007573A (en) 2011-04-06
WO2009134840A2 (en) 2009-11-05
KR101938841B1 (en) 2019-01-15
JP6146948B2 (en) 2017-06-14
KR20160102574A (en) 2016-08-30
JP2011524078A (en) 2011-08-25
KR20170132901A (en) 2017-12-04
KR101802452B1 (en) 2017-11-28
KR20100137582A (en) 2010-12-30

Similar Documents

Publication Publication Date Title
US20220298625A1 (en) Selective cobalt deposition on copper surfaces
US9209074B2 (en) Cobalt deposition on barrier surfaces
US8765601B2 (en) Post deposition treatments for CVD cobalt films
US20070054487A1 (en) Atomic layer deposition processes for ruthenium materials
US20070077750A1 (en) Atomic layer deposition processes for ruthenium materials
US9478460B2 (en) Cobalt selectivity improvement in selective cobalt process sequence
US20110124192A1 (en) Process for forming cobalt-containing materials
US20120264291A1 (en) Process for forming cobalt-containing materials
WO2009134925A2 (en) Process for forming cobalt and cobalt silicide materials in copper contact applications

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:YU, SANG-HO;MORAES, KEVIN;GANGULI, SESHADRI;AND OTHERS;REEL/FRAME:021368/0638;SIGNING DATES FROM 20080627 TO 20080714

STCB Information on status: application discontinuation

Free format text: ABANDONED -- AFTER EXAMINER'S ANSWER OR BOARD OF APPEALS DECISION