US20090194819A1 - Cmos structures and methods using self-aligned dual stressed layers - Google Patents

Cmos structures and methods using self-aligned dual stressed layers Download PDF

Info

Publication number
US20090194819A1
US20090194819A1 US12/424,981 US42498109A US2009194819A1 US 20090194819 A1 US20090194819 A1 US 20090194819A1 US 42498109 A US42498109 A US 42498109A US 2009194819 A1 US2009194819 A1 US 2009194819A1
Authority
US
United States
Prior art keywords
transistor
stressed layer
layer
stress
stressed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/424,981
Inventor
Huilong Zhu
Daewon Yang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Elpis Technologies Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US12/424,981 priority Critical patent/US20090194819A1/en
Publication of US20090194819A1 publication Critical patent/US20090194819A1/en
Assigned to ELPIS TECHNOLOGIES INC. reassignment ELPIS TECHNOLOGIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer

Definitions

  • the invention relates generally to mechanical stress within complementary metal oxide semiconductor (CMOS) structures. More particularly, the invention relates to structures and methods that provide mechanical stress within CMOS structures to enhance device performance and improve chip yield.
  • CMOS complementary metal oxide semiconductor
  • CMOS structures comprise complementary mated pairs of field effect transistors of differing conductivity type. Due to the use of complementary mated pairs of differing conductivity type, CMOS structures also provide for reduced energy or power consumption.
  • CMOS transistors A trend within CMOS fabrication is the use of stressed layers as a means to produce a mechanical stress or strain field within a channel region of a CMOS transistor. Certain types of mechanical stresses are desirable insofar as they introduce a stress into a semiconductor channel. Such a stress generally provides for enhanced charge carrier mobilities within a CMOS transistor. Complementary types of channel stress (i.e., tensile or compressive stress or strain in the direction of electrical current) enhance complementary types of charge carrier mobility (i.e., electron or hole) within complementary types of CMOS transistors (i.e., nFET or pFET).
  • channel stress i.e., tensile or compressive stress or strain in the direction of electrical current
  • charge carrier mobility i.e., electron or hole
  • CMOS structures and methods that provide for enhanced levels of mechanical stress within CMOS transistor channels are desirable.
  • CMOS transistors Since use of mechanical stress as a means to enhance charge carrier mobility is likely to continue within future generations of CMOS transistors, desirable are additional CMOS structures and methods for fabrication thereof that provide for charge carrier mobility enhancement incident to use of mechanical stress effects.
  • the invention provides CMOS structures and methods for fabrication thereof wherein complementary transistors are covered with appropriate complementary stressed layers for purposes of providing a mechanical stress effect and enhancing a charge carrier mobility.
  • the complementary stressed layers abut, but do not overlap at a location interposed between a pair of complementary transistors within the CMOS structure.
  • the complementary stressed layers abut, and neither overlap, nor underlap, at a location over a source/drain region where a contact via is intended to be formed.
  • absence of underlap or overlap of the complementary stressed layers provides for an enhanced manufacturing process window or improved chip yield, while avoiding overetching into the silicide layer or underetching into the complementary stressed layers.
  • the invention also provides an etching method for fabricating the CMOS structure.
  • etching method for fabricating the CMOS structure.
  • at least one of a first stressed layer and a second stressed layer different from the first stressed layer that overlap and abut interposed between a first transistor and a second transistor is etched so that the first stressed layer and the second stressed layer abut, but do not overlap.
  • a CMOS structure in accordance with the invention includes a first transistor of a first polarity located laterally separated from a second transistor of a second polarity different from the first polarity over a semiconductor substrate.
  • the CMOS structure also includes a first stressed layer having a first stress located over the first transistor and a second stressed layer having a second stress different from the first stress located over the second transistor.
  • the first stressed layer and the second stressed layer abut and do not overlap.
  • a particular method for fabricating a CMOS structure in accordance with the invention includes forming a first transistor of a first polarity laterally separated from a second transistor of a second polarity different from the first polarity over a semiconductor substrate.
  • the particular method also includes forming a first stressed layer having a first stress located over the first transistor and a second stressed layer having a second stress different from the first stress located over the second transistor.
  • the first stressed layer and the second stressed layer abut and overlap.
  • This particular method also includes etching at least one of the first stressed layer and the second stressed layer so that the first stressed layer and the second stressed layer abut and do not overlap.
  • Another method for fabricating a CMOS structure includes forming a first transistor of a first polarity laterally separated from a second transistor of a second polarity different than the first polarity over a semiconductor substrate.
  • This other method also includes forming a first stressed layer having a first stress located over the first transistor and a second stressed layer having a second stress different from the first stress located over the second transistor.
  • the first stressed layer and the second stressed layer abut and overlap.
  • This other method also includes further masking at least one of the first transistor and the second transistor to leave exposed at least the portion of the first stressed layer and the second stressed layer that abut and overlap.
  • This other method also includes etching at least one of the first stressed layer and the second stressed layer so that the first stressed layer and the second stressed layer abut and do not overlap.
  • the phrase “abut and do not overlap” is intended to describe a disposition and location of a first stressed layer and a second stressed layer that contact completely at end portions thereof. In addition, no portion of either the first stressed layer or the second stressed layer lies above the other of the first stressed layer or the second stressed layer.
  • FIG. 1 to FIG. 9 show a series of schematic cross-sectional diagrams illustrating the results of progressive stages in fabricating a CMOS structure in accordance with an embodiment of the invention.
  • FIG. 10 to FIG. 12 show a series of schematic cross-sectional diagrams illustrating the results of progressive stages in fabricating a CMOS structure in accordance with another embodiment of the invention.
  • FIG. 13 to FIG. 15 show a series of schematic cross-sectional diagrams illustrating the results of progressive stages in fabricating a CMOS structure in accordance with yet another embodiment of the invention.
  • FIG. 16 to FIG. 18 show a series of schematic cross-sectional diagrams illustrating the results of progressive stages in fabricating a CMOS structure in accordance with still yet another embodiment of the invention.
  • FIG. 1 to FIG. 9 show a series of schematic cross-sectional diagrams illustrating the results of progressive stages in fabricating a CMOS structure in accordance with an embodiment of the invention.
  • FIG. 1 shows a semiconductor substrate 10 that comprises active regions separated by an isolation region 12 .
  • a first transistor T 1 is located upon one active region and a second transistor T 2 is located upon an adjacent active region.
  • Transistors T 1 and T 2 are of different polarity (i.e., conductivity type) and thus the doping type in each of active regions is different.
  • the transistors T 1 and T 2 comprise gate dielectrics 14 located upon the active regions of the semiconductor substrate 10 .
  • Gate electrodes 16 are aligned upon gate dielectrics 14 , although such alignment is not a requirement of the invention.
  • Two part spacer layers 18 i.e.
  • Source/drain regions 20 are located within the active regions of the semiconductor substrate and separated by channel regions located beneath the gate electrodes 16 .
  • Silicide layers 22 are located upon source/drain regions 20 and gate electrodes 16 .
  • Each of the foregoing semiconductor substrate 10 , layers and structures may comprise materials and have dimensions that are conventional in the semiconductor fabrication art.
  • Each of the foregoing semiconductor substrate 10 , layers and structures may be formed using methods that are conventional in the semiconductor fabrication art.
  • the semiconductor substrate 10 comprises a semiconductor material.
  • semiconductor materials from which may be comprised the semiconductor substrate 10 include silicon, germanium, silicon-germanium alloy, silicon carbide, silicon-germanium carbide alloy and compound semiconductor materials.
  • Non-limiting examples of compound semiconductor materials include gallium arsenide, indium arsenide and indium phosphide semiconductor materials.
  • the semiconductor substrate 10 may comprise a bulk semiconductor substrate.
  • the embodiment and the invention also contemplates that the semiconductor substrate 10 may comprise a semiconductor-on-insulator substrate.
  • the embodiments and the invention also contemplate that the semiconductor substrate may comprise a hybrid orientation substrate.
  • a semiconductor-on-insulator substrate comprises a base semiconductor substrate, a buried dielectric layer located thereupon and a surface semiconductor layer located further thereupon.
  • a hybrid orientation substrate comprises a semiconductor substrate having multiple crystallographic orientations that may provide different crystallographic orientation channel regions for each transistor within a CMOS structure.
  • Semiconductor-on-insulator substrates and hybrid orientation substrates may be formed using any of several layer lamination methods and layer transfer methods.
  • the foregoing substrates may also be formed using separation by implantation of oxygen (SIMOX) methods.
  • SIMOX separation by implantation of oxygen
  • the isolation region 12 comprises a dielectric isolation material.
  • the embodiments and the invention contemplate that isolation regions may comprise shallow trench isolation regions, deep trench isolation regions and, to a lesser extent, local oxidation of silicon isolation regions.
  • the dielectric isolation material from which is comprised the isolation region 12 may comprise an oxide, a nitride and/or an oxynitride of silicon. Oxides, nitrides and oxynitrides of other elements are not excluded as dielectric isolation materials.
  • Dielectric isolation materials may be formed using methods including, but not limited to: thermal or plasma oxidation or nitridation methods, chemical vapor deposition methods and physical vapor deposition methods.
  • the isolation region 12 is formed at least in part from a silicon oxide dielectric isolation material that has a thickness from about 100 to about 50000 angstroms, where the thickness is highly dependent upon the use of a SOI or a bulk semiconductor substrate.
  • the gate dielectrics 14 may comprise generally conventional gate dielectric materials having a dielectric constant from about 4 to about 20, measured in vacuum. Such generally conventional gate dielectric materials may include, but are not limited to: oxides, nitrides and oxynitrides of silicon. They may be formed using methods analogous or identical to those disclosed above with respect to forming the isolation region 12 . Alternatively, the gate dielectrics 14 may also comprise generally higher dielectric constant dielectric materials having a dielectric constant from about 20 to at least about 100, also measured in a vacuum.
  • dielectric constant dielectric materials may include, but are not limited to: hafnium oxides, hafnium silicates, titanium oxides, lanthanum oxides, barium-strontium titantates (BSTs) and lead-zirconate titantates (PZTs).
  • the gate dielectrics 14 comprise a thermal silicon oxide gate dielectric material having a thickness from about 5 to about 70 angstroms.
  • the gate electrodes 18 comprise gate electrode conductor materials.
  • Typical gate electrode conductor materials include certain metals, metal alloys, metal nitrides and metal suicides, as well as polysilicon materials.
  • the gate electrode conductor materials may be formed using methods including, but not limited to: plating methods, chemical vapor deposition methods (including atomic layer chemical vapor deposition methods) and physical vapor deposition methods (including sputtering methods).
  • the gate electrodes 18 comprise a metal, metal silicide or polysilicon gate electrode conductor material having a thickness from about 500 to about 1500 angstroms.
  • spacer layers 18 are intended as two component structures comprising: (1) the illustrated “L” or invented “L:” shaped portions adjoining the gate electrodes 16 ; in conjunction with, (2) the more traditional spacer shaped portions nested within the “L” shaped portions or the inverted “L” shaped portions.
  • Each of the foregoing two component structures may comprise materials analogous, equivalent or identical to the materials from which are comprised the isolation region 12 .
  • the “L” or inverted “L” shaped structures are typically deposited using a conformal layer deposition method.
  • the spacer shaped portions are formed using a blanket layer deposition and anisotropic etchback method.
  • the source/drain regions 20 are formed using a two step ion implantation method.
  • a first step within the two step ion implantation method uses the gates 16 , absent the spacers 18 , as a mask to form extension regions into the semiconductor substrate 10 .
  • a second step within the two step ion implantation method uses the gates 16 and the spacers 18 as a mask to form conductor region portions of the source/drain regions 20 into the semiconductor substrate.
  • the source/drain regions 20 comprise extension region components and conductor region components. Dopant concentrations within the source/drain regions 20 range from about 1 ⁇ 10 20 to about 3 ⁇ 10 21 dopant atoms per cubic centimeter.
  • the silicide layers 22 may comprise any of several metal silicide forming metals.
  • Non-limiting examples of silicide forming metals include titanium, tungsten, nickel, cobalt, vanadium and molybdenum silicide forming metals.
  • the silicide layers 22 are typically formed using a self-aligned silicide (i.e., salicide) method that provides for: (1) a blanket metal silicide forming metal layer deposition; (2) a subsequent thermal annealing to provide for silicide formation in contact with silicon; and (3) a subsequent excess silicide forming metal layer stripping.
  • each of the silicide layers 22 has a thickness from about 50 to about 200 angstroms.
  • FIG. 2 shows a first stressed layer 24 located upon the CMOS structure of FIG. 1 .
  • FIG. 2 also shows an etch stop layer 26 located upon the first stressed layer 24 .
  • the first stressed layer 24 comprises a material that has a first stress intended to compliment and enhance performance of the first transistor T 1 .
  • the first stress is preferably a tensile stress that provides a tensile stress within the channel of the first transistor T 1 .
  • an electron charge carrier mobility within the first transistor T 1 is enhanced.
  • a compressive stress of an overlying layer is desirable for purposes of generating compressive channel stress that yields an enhanced hole mobility.
  • the first transistor T 1 is preferably an nFET and the first stressed layer 24 preferably comprises a tensile stressed layer.
  • the first stressed layer 24 may comprise any of several stressed materials.
  • Non-limiting examples includes nitrides and oxynitrides.
  • Nitrides are particularly common stressed layer materials insofar as different magnitudes and types of stress may be introduced into a nitride layer material by using different deposition conditions for forming the nitride layer.
  • Particular deposition conditions that affect nitride layer stress include a changing of the ratio of a low frequency plasma to a high frequency plasma at temperature range from 200° C. to 600° C.
  • the first stressed layer 24 comprises a nitride material that has a thickness from about 500 to about 1000 angstroms, although the invention is not limited to stressed layers comprising only nitride materials.
  • the etch stop layer 26 may comprise any of several etch stop materials. Etch stop materials will typically have a different composition from the first stressed layer 24 . Typically, the etch stop layer 26 comprises an oxide etch stop material when the first stressed layer 24 comprises a nitride material. Within the instant embodiment, the etch stop layer 26 typically comprises a silicon oxide etch stop material that has a thickness from about 50 to about 300 angstroms. The etch stop layer 26 may be formed using methods analogous to the methods used for forming the isolation region 12 .
  • FIG. 3 shows a block mask 28 located upon the etch stop layer 26 and covering the first transistor T 1 .
  • the block mask 28 may comprise any of several mask materials. Non-limiting examples include hard mask materials and photoresist mask materials. Photoresist mask materials are considerably more common. Non-limiting examples of photoresist mask materials include positive photoresist materials, negative photoresist materials and hybrid photoresist materials.
  • the block mask 28 comprises a photoresist material having a thickness from about 1000 to about 5000 angstroms.
  • FIG. 4 shows the results of etching the etch stop layer 26 and the first stressed layer 24 to form corresponding etch stop layer 26 ′ and first stressed layer 24 ′, while using the block mask 28 as an etch mask.
  • the foregoing etching is typically undertaken while using a plasma etchant, although neither the embodiment nor the invention is so limited. Wet chemical etchants, while generally less common, may also be used.
  • the plasma etchant uses a fluorine containing etchant gas composition for etching each of the etch stop layer 26 and the first stressed layer 24 when forming therefrom the etch stop layer 26 ′ and the first stressed layer 24 ′.
  • the etching also forms the spacers 18 ′ from the spacers 18 .
  • FIG. 5 first shows the results of stripping the block mask 28 from the CMOS structure of FIG. 4 .
  • the block mask 28 may be stripped using methods and materials that are otherwise conventional in the semiconductor fabrication art. Included are wet chemical stripping methods and materials, dry plasma stripping methods and materials and aggregate stripping methods and materials thereof. Dry plasma stripping methods and materials are particularly common, but do not limit the invention.
  • FIG. 5 also shows a second stressed layer 30 located upon the semiconductor structure of FIG. 4 , subsequent to removing the block mask 28 therefrom.
  • the second stressed layer 30 has an appropriate stress engineered to promote enhanced performance (generally within the context of charge carrier mobility) of the second transistor T 2 .
  • the second stressed layer 30 may comprise materials and have dimensions that are analogous, equivalent or identical to the materials and dimensions used for forming the first stressed layer 24 that is illustrated in FIG. 3 .
  • the first stressed layer 24 typically comprises a silicon nitride material whose stress (i.e., tensile or compressive) may be engineered incident to control of particular deposition conditions.
  • the second stressed layer 30 thus also comprises a nitride material, but for which deposition conditions are selected to have a type of stress (i.e., tensile or compressive) typically opposite the first stressed layer 24 .
  • the first stressed layer 24 preferably comprises a tensile stress from about 500 MPa to about 4 GPa (when the first transistor T 1 is an nFET) and the second stressed layer 30 preferably a compressive stress from about ⁇ 500 MPa to about ⁇ 5 GPa (when the second transistor T 2 is a pFET).
  • FIG. 6 shows a block mask 28 ′ located upon the second stressed layer 30 and covering the second transistor T 2 .
  • the block mask 28 ′ otherwise comprises materials and has dimensions analogous or equivalent to the block mask 28 that is illustrated in FIG. 3 .
  • FIG. 7 shows the results of patterning the second stressed layer 30 to form a second stressed layer 30 ′, and subsequently stripping the block mask 28 ′ from the CMOS structure of FIG. 6 .
  • the second stressed layer 30 may be etched to form the second stressed layer 30 , while using methods and materials analogous equivalent or identical to the methods and materials used for etching the first stressed layer 24 to from the first stressed layer 24 ′.
  • the second block mask 28 ′ may be stripped using methods and materials analogous equivalent or identical to the methods and materials used for stripping the first block mask 28 .
  • the first stressed layer 24 ′ and the second stressed layer 30 ′ abut and overlap.
  • FIG. 8 shows the results of etching the etch stop layer 26 ′, the first stressed layer 24 ′ and the second stressed layer 30 ′ so that a resulting first stressed layer 24 ′′ and a resulting second stressed layer 30 ′′ abut and do not overlap, rather than abut and overlap. Also resulting from this etching is etch stop layers 26 ′′.
  • etching may be undertaken using methods that are conventional in the semiconductor fabrication art.
  • Non-limiting examples are plasma etch methods and sputter etch methods.
  • Desirable are sputter etch methods that use argon or nitrogen sputter etchants.
  • Such sputter etchants desirably have a tendency to etch more from top surfaces than lower surfaces of the first stressed layer 24 ′ and the second stressed layer 30 ′ when forming the first stressed layer 24 ′′ and the second stressed layer 30 ′′.
  • the abutment of the first stressed layer 24 ′′ with the second stressed layer 30 ′′ absent overlap thereof provides for enhanced manufacturability.
  • the enhanced manufacturability is desirable under circumstances where overlapped portions of the first stressed layer 24 ′ and the second stressed layer 30 ′ are located over a contact region portion of a source/drain region, particularly when the source/drain region has a silicide layer thereupon.
  • FIG. 9 shows the results of etching remaining portions of the etch stop layer 26 ′′ from the CMOS structure of FIG. 8 .
  • FIG. 9 also shows a capping layer 32 located upon the CMOS structure of FIG. 8 after etching remaining portions of the etch stop layer 26 ′′.
  • the remaining portions of the etch stop layer 26 ′′ may be etched using a wet chemical etchant or a dry plasma etchant.
  • the capping layer 30 may comprise any of several capping materials. Included are oxides, nitrides and oxynitrides of silicon, as well as oxides, nitrides and oxynitrides of other elements.
  • the capping layer 32 preferably comprises a silicon nitride material having a thickness from about 50 to about 100 angstroms.
  • FIG. 9 shows a CMOS structure in accordance with a first embodiment of the invention.
  • the CMOS structure comprises complementary first stressed layer 24 ′′ located upon first transistor T 1 and second stressed layer 30 ′′ located upon second transistor T 2 .
  • the complementary first stressed layer 24 ′′ and second stressed layer 30 ′′ abut, but do not overlap at a location interposed between the first transistor T 1 and the second transistor T 2 .
  • the CMOS structure that is illustrated in FIG. 9 provides for enhanced manufacturability.
  • the enhanced manufacturability results from a nominally level surface provided by the first stressed layer 24 ′′ and the second stressed layer 30 ′′ so that a contact via may be efficiently etched reaching a source/drain region 20 having a silicide layer 22 thereupon while not damaging the silicide layer 22 .
  • FIG. 10 to FIG. 12 show a series of schematic cross-sectional diagrams illustrating the results of progressive stages in fabricating a CMOS structure in accordance with another embodiment of the invention.
  • This other embodiment of the invention comprises a second embodiment of the invention.
  • FIG. 10 shows a CMOS structure that derives from the CMOS structure of FIG. 7 within the first embodiment.
  • the CMOS structure of FIG. 10 however shows a blocking layer 34 located upon the CMOS structure of FIG. 7 .
  • a block mask 36 is located upon the blocking layer 34 and covering the first transistor T 1 .
  • a block mask 36 ′ is located upon the blocking layer 34 and covering the second transistor T 2 .
  • the blocking layer 34 preferably comprises an oxide material under circumstances where the first stressed layer 24 and the second stressed layer 30 comprise nitride materials.
  • the blocking layer 34 may be formed using methods and materials analogous, equivalent or identical to the methods and materials used for forming the etch stop layer 26 .
  • the blocking layer 34 has a thickness from about 300 to about 500 angstroms.
  • the block masks 36 and 36 ′ may comprise any of several block mask materials that are disclosed above for the block masks 28 and 28 ′.
  • FIG. 11 shows the results of further processing of the CMOS structure of FIG. 10 .
  • FIG. 11 shows the results of etching the blocking layer 34 to form blocking layers 34 ′ that straddle the first transistor T 1 and the second transistor T 2 . In so doing, an abutted and overlapped portion of the first stressed layer 24 ′ and the second stressed layer 30 ′ is exposed.
  • FIG. 11 also shows the results of stripping the block masks 36 and 36 ′.
  • FIG. 12 shows the results of further processing of the CMOS structure of FIG. 11 .
  • FIG. 12 shows the results of etching the first stressed layer 24 ′ and the second stressed layer 30 ′ to yield first stressed layer 24 ′′ and second stressed layer 30 ′′ that are abutted and do not overlap.
  • the etching preferably uses a nitrogen or argon sputter etching as is disclosed above within the context of the first embodiment.
  • abutment absent overlap of the first stressed layer 24 ′′ and the second stressed layer 30 ′′ provides for enhanced manufacturability of the CMOS structure of FIG. 12 .
  • the use of the blocking layers 34 ′ also assist in preserving a full initial thicknesses of the first stressed layer 24 ′′ and the second stressed layer 30 ′′ at locations over the first transistor T 1 and the second transistor T 2 .
  • a full effect of stress from the first stressed layer 24 ′′ and the second stressed layer 30 ′′ is transmitted into respective semiconductor channels over which they are formed.
  • FIG. 13 to FIG. 15 show a series of schematic cross-sectional diagrams corresponding with FIG. 10 to FIG. 12 , but where the block mask 36 is located over the first transistor T 1 only, and not the second transistor T 2 .
  • FIG. 13 to FIG. 15 comprise a third embodiment of the invention.
  • FIG. 16 to FIG. 18 show a series of schematic cross-sectional diagrams corresponding with FIG. 10 to FIG. 12 or FIG. 13 to FIG. 15 , but where the block mask 36 ′ is located over the second transistor T 2 only and not the first transistor T 1 .
  • FIG. 16 to FIG. 18 comprise a fourth embodiment of the invention.
  • FIG. 13 to FIG. 15 or FIG. 16 to FIG. 18 follows analogously from the processing of FIG. 10 to FIG. 12 .
  • the first stressed layer 24 ′′ i.e., FIG. 15
  • the second stressed layer 30 ′′ i.e., FIG. 18
  • the first stressed layer 24 ′ that abuts and overlaps the second stressed layer 30 ′ are etched to form the first stressed layer 24 ′′ and the second stressed layer 30 ′′ that abut, but do not overlap.
  • the second embodiment, the third embodiment and the fourth embodiment provide for enhanced manufacturability of a CMOS structure due to the foregoing abutment absent overlap.

Abstract

A CMOS structure and methods for fabricating the CMOS structure provide that a first stressed layer located over a first transistor and a second stressed layer located over a second transistor abut but do not overlap. Such an abutment absent overlap provides for enhanced manufacturing flexibility when forming a contact to a silicide layer upon a source/drain region within one of the first transistor and the second transistor.

Description

    RELATED APPLICATION
  • This application is a divisional of U.S. patent application Ser. No. 11/380,695, filed Apr. 28, 2006.
  • BACKGROUND
  • 1. Field of the Invention
  • The invention relates generally to mechanical stress within complementary metal oxide semiconductor (CMOS) structures. More particularly, the invention relates to structures and methods that provide mechanical stress within CMOS structures to enhance device performance and improve chip yield.
  • 2. Description of the Related Art
  • CMOS structures comprise complementary mated pairs of field effect transistors of differing conductivity type. Due to the use of complementary mated pairs of differing conductivity type, CMOS structures also provide for reduced energy or power consumption.
  • A trend within CMOS fabrication is the use of stressed layers as a means to produce a mechanical stress or strain field within a channel region of a CMOS transistor. Certain types of mechanical stresses are desirable insofar as they introduce a stress into a semiconductor channel. Such a stress generally provides for enhanced charge carrier mobilities within a CMOS transistor. Complementary types of channel stress (i.e., tensile or compressive stress or strain in the direction of electrical current) enhance complementary types of charge carrier mobility (i.e., electron or hole) within complementary types of CMOS transistors (i.e., nFET or pFET).
  • Since mechanical stress is a significant factor that may considerably improve field effect transistor performance, CMOS structures and methods that provide for enhanced levels of mechanical stress within CMOS transistor channels are desirable.
  • Methods for improving charge carrier mobility within CMOS structures that include pFET and nFET devices are known in the semiconductor fabrication art. For example, En et al, in U.S. Pat. No. 6,573,172 teaches the use of a tensile stressed layer over a pFET device to provide a compressive stress of a pFET channel therein and a compressive stressed layer over an nFET device to cause a tensile stress of an nFET channel therein.
  • Since use of mechanical stress as a means to enhance charge carrier mobility is likely to continue within future generations of CMOS transistors, desirable are additional CMOS structures and methods for fabrication thereof that provide for charge carrier mobility enhancement incident to use of mechanical stress effects.
  • SUMMARY OF THE INVENTION
  • The invention provides CMOS structures and methods for fabrication thereof wherein complementary transistors are covered with appropriate complementary stressed layers for purposes of providing a mechanical stress effect and enhancing a charge carrier mobility. The complementary stressed layers abut, but do not overlap at a location interposed between a pair of complementary transistors within the CMOS structure. In particular, the complementary stressed layers abut, and neither overlap, nor underlap, at a location over a source/drain region where a contact via is intended to be formed. When a silicide layer is located upon the source/drain region, absence of underlap or overlap of the complementary stressed layers provides for an enhanced manufacturing process window or improved chip yield, while avoiding overetching into the silicide layer or underetching into the complementary stressed layers.
  • The invention also provides an etching method for fabricating the CMOS structure. Within the etching method, at least one of a first stressed layer and a second stressed layer different from the first stressed layer that overlap and abut interposed between a first transistor and a second transistor is etched so that the first stressed layer and the second stressed layer abut, but do not overlap.
  • A CMOS structure in accordance with the invention includes a first transistor of a first polarity located laterally separated from a second transistor of a second polarity different from the first polarity over a semiconductor substrate. The CMOS structure also includes a first stressed layer having a first stress located over the first transistor and a second stressed layer having a second stress different from the first stress located over the second transistor. Within the CMOS structure, the first stressed layer and the second stressed layer abut and do not overlap.
  • A particular method for fabricating a CMOS structure in accordance with the invention includes forming a first transistor of a first polarity laterally separated from a second transistor of a second polarity different from the first polarity over a semiconductor substrate. The particular method also includes forming a first stressed layer having a first stress located over the first transistor and a second stressed layer having a second stress different from the first stress located over the second transistor. Within this particular method, the first stressed layer and the second stressed layer abut and overlap. This particular method also includes etching at least one of the first stressed layer and the second stressed layer so that the first stressed layer and the second stressed layer abut and do not overlap.
  • Another method for fabricating a CMOS structure includes forming a first transistor of a first polarity laterally separated from a second transistor of a second polarity different than the first polarity over a semiconductor substrate. This other method also includes forming a first stressed layer having a first stress located over the first transistor and a second stressed layer having a second stress different from the first stress located over the second transistor. Within this other method, the first stressed layer and the second stressed layer abut and overlap. This other method also includes further masking at least one of the first transistor and the second transistor to leave exposed at least the portion of the first stressed layer and the second stressed layer that abut and overlap. This other method also includes etching at least one of the first stressed layer and the second stressed layer so that the first stressed layer and the second stressed layer abut and do not overlap.
  • Within the disclosed invention the phrase “abut and do not overlap” is intended to describe a disposition and location of a first stressed layer and a second stressed layer that contact completely at end portions thereof. In addition, no portion of either the first stressed layer or the second stressed layer lies above the other of the first stressed layer or the second stressed layer.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The objects, features and advantages of the invention are understood within the context of the Description of the Preferred Embodiments, as set forth below. The Description of the Preferred Embodiments is understood within the context of the accompanying drawings, which form a material part of this disclosure, wherein:
  • FIG. 1 to FIG. 9 show a series of schematic cross-sectional diagrams illustrating the results of progressive stages in fabricating a CMOS structure in accordance with an embodiment of the invention.
  • FIG. 10 to FIG. 12 show a series of schematic cross-sectional diagrams illustrating the results of progressive stages in fabricating a CMOS structure in accordance with another embodiment of the invention.
  • FIG. 13 to FIG. 15 show a series of schematic cross-sectional diagrams illustrating the results of progressive stages in fabricating a CMOS structure in accordance with yet another embodiment of the invention.
  • FIG. 16 to FIG. 18 show a series of schematic cross-sectional diagrams illustrating the results of progressive stages in fabricating a CMOS structure in accordance with still yet another embodiment of the invention.
  • DESCRIPTION OF THE PREFERRED EMBODIMENT
  • The invention, which provides CMOS structures and methods for fabrication thereof that include complementary stressed layers that abut and do not overlap, is described in further detail within the context of the description below. The description below is understood within the context of the drawings described above. Since the drawings are intended for illustrative purposes, they are not necessarily drawn to scale.
  • FIG. 1 to FIG. 9 show a series of schematic cross-sectional diagrams illustrating the results of progressive stages in fabricating a CMOS structure in accordance with an embodiment of the invention.
  • FIG. 1 shows a semiconductor substrate 10 that comprises active regions separated by an isolation region 12. A first transistor T1 is located upon one active region and a second transistor T2 is located upon an adjacent active region. Transistors T1 and T2 are of different polarity (i.e., conductivity type) and thus the doping type in each of active regions is different. The transistors T1 and T2 comprise gate dielectrics 14 located upon the active regions of the semiconductor substrate 10. Gate electrodes 16 are aligned upon gate dielectrics 14, although such alignment is not a requirement of the invention. Two part spacer layers 18 (i.e. “L” or inverted “L” portions adjoining gate electrodes 16 and spacer shaped portions nested therein) adjoin gate electrodes 16 and are illustrated as mirrored spacer 18 components although they are single components that surround each individual gate 16. Source/drain regions 20 are located within the active regions of the semiconductor substrate and separated by channel regions located beneath the gate electrodes 16. Silicide layers 22 are located upon source/drain regions 20 and gate electrodes 16.
  • Each of the foregoing semiconductor substrate 10, layers and structures may comprise materials and have dimensions that are conventional in the semiconductor fabrication art. Each of the foregoing semiconductor substrate 10, layers and structures may be formed using methods that are conventional in the semiconductor fabrication art.
  • The semiconductor substrate 10 comprises a semiconductor material. Non-limiting examples of semiconductor materials from which may be comprised the semiconductor substrate 10 include silicon, germanium, silicon-germanium alloy, silicon carbide, silicon-germanium carbide alloy and compound semiconductor materials. Non-limiting examples of compound semiconductor materials include gallium arsenide, indium arsenide and indium phosphide semiconductor materials.
  • The embodiments and the invention contemplate that the semiconductor substrate 10 may comprise a bulk semiconductor substrate. Alternatively, the embodiment and the invention also contemplates that the semiconductor substrate 10 may comprise a semiconductor-on-insulator substrate. As a further alternative, the embodiments and the invention also contemplate that the semiconductor substrate may comprise a hybrid orientation substrate.
  • A semiconductor-on-insulator substrate comprises a base semiconductor substrate, a buried dielectric layer located thereupon and a surface semiconductor layer located further thereupon. A hybrid orientation substrate comprises a semiconductor substrate having multiple crystallographic orientations that may provide different crystallographic orientation channel regions for each transistor within a CMOS structure.
  • Semiconductor-on-insulator substrates and hybrid orientation substrates may be formed using any of several layer lamination methods and layer transfer methods. The foregoing substrates may also be formed using separation by implantation of oxygen (SIMOX) methods.
  • The isolation region 12 comprises a dielectric isolation material. The embodiments and the invention contemplate that isolation regions may comprise shallow trench isolation regions, deep trench isolation regions and, to a lesser extent, local oxidation of silicon isolation regions. The dielectric isolation material from which is comprised the isolation region 12 may comprise an oxide, a nitride and/or an oxynitride of silicon. Oxides, nitrides and oxynitrides of other elements are not excluded as dielectric isolation materials. Dielectric isolation materials may be formed using methods including, but not limited to: thermal or plasma oxidation or nitridation methods, chemical vapor deposition methods and physical vapor deposition methods. Typically, the isolation region 12 is formed at least in part from a silicon oxide dielectric isolation material that has a thickness from about 100 to about 50000 angstroms, where the thickness is highly dependent upon the use of a SOI or a bulk semiconductor substrate.
  • The gate dielectrics 14 may comprise generally conventional gate dielectric materials having a dielectric constant from about 4 to about 20, measured in vacuum. Such generally conventional gate dielectric materials may include, but are not limited to: oxides, nitrides and oxynitrides of silicon. They may be formed using methods analogous or identical to those disclosed above with respect to forming the isolation region 12. Alternatively, the gate dielectrics 14 may also comprise generally higher dielectric constant dielectric materials having a dielectric constant from about 20 to at least about 100, also measured in a vacuum. These generally higher dielectric constant dielectric materials may include, but are not limited to: hafnium oxides, hafnium silicates, titanium oxides, lanthanum oxides, barium-strontium titantates (BSTs) and lead-zirconate titantates (PZTs). Typically, the gate dielectrics 14 comprise a thermal silicon oxide gate dielectric material having a thickness from about 5 to about 70 angstroms.
  • The gate electrodes 18 comprise gate electrode conductor materials. Typical gate electrode conductor materials include certain metals, metal alloys, metal nitrides and metal suicides, as well as polysilicon materials. The gate electrode conductor materials may be formed using methods including, but not limited to: plating methods, chemical vapor deposition methods (including atomic layer chemical vapor deposition methods) and physical vapor deposition methods (including sputtering methods). Typically, the gate electrodes 18 comprise a metal, metal silicide or polysilicon gate electrode conductor material having a thickness from about 500 to about 1500 angstroms.
  • As noted above, spacer layers 18 are intended as two component structures comprising: (1) the illustrated “L” or invented “L:” shaped portions adjoining the gate electrodes 16; in conjunction with, (2) the more traditional spacer shaped portions nested within the “L” shaped portions or the inverted “L” shaped portions. Each of the foregoing two component structures may comprise materials analogous, equivalent or identical to the materials from which are comprised the isolation region 12. The “L” or inverted “L” shaped structures are typically deposited using a conformal layer deposition method. The spacer shaped portions are formed using a blanket layer deposition and anisotropic etchback method.
  • The source/drain regions 20 are formed using a two step ion implantation method. A first step within the two step ion implantation method uses the gates 16, absent the spacers 18, as a mask to form extension regions into the semiconductor substrate 10. A second step within the two step ion implantation method uses the gates 16 and the spacers 18 as a mask to form conductor region portions of the source/drain regions 20 into the semiconductor substrate. Thus, the source/drain regions 20 comprise extension region components and conductor region components. Dopant concentrations within the source/drain regions 20 range from about 1×1020 to about 3×1021 dopant atoms per cubic centimeter.
  • The silicide layers 22 may comprise any of several metal silicide forming metals. Non-limiting examples of silicide forming metals include titanium, tungsten, nickel, cobalt, vanadium and molybdenum silicide forming metals. The silicide layers 22 are typically formed using a self-aligned silicide (i.e., salicide) method that provides for: (1) a blanket metal silicide forming metal layer deposition; (2) a subsequent thermal annealing to provide for silicide formation in contact with silicon; and (3) a subsequent excess silicide forming metal layer stripping. Typically, each of the silicide layers 22 has a thickness from about 50 to about 200 angstroms.
  • FIG. 2 shows a first stressed layer 24 located upon the CMOS structure of FIG. 1. FIG. 2 also shows an etch stop layer 26 located upon the first stressed layer 24.
  • The first stressed layer 24 comprises a material that has a first stress intended to compliment and enhance performance of the first transistor T1. When the first transistor T1 is an nFET, the first stress is preferably a tensile stress that provides a tensile stress within the channel of the first transistor T1. Under those circumstances, an electron charge carrier mobility within the first transistor T1 is enhanced. Conversely, when the first transistor is a pFET, a compressive stress of an overlying layer is desirable for purposes of generating compressive channel stress that yields an enhanced hole mobility.
  • Within the instant embodiment, the first transistor T1 is preferably an nFET and the first stressed layer 24 preferably comprises a tensile stressed layer.
  • The first stressed layer 24 may comprise any of several stressed materials. Non-limiting examples includes nitrides and oxynitrides. Nitrides are particularly common stressed layer materials insofar as different magnitudes and types of stress may be introduced into a nitride layer material by using different deposition conditions for forming the nitride layer. Particular deposition conditions that affect nitride layer stress include a changing of the ratio of a low frequency plasma to a high frequency plasma at temperature range from 200° C. to 600° C.
  • Typically, the first stressed layer 24 comprises a nitride material that has a thickness from about 500 to about 1000 angstroms, although the invention is not limited to stressed layers comprising only nitride materials.
  • The etch stop layer 26 may comprise any of several etch stop materials. Etch stop materials will typically have a different composition from the first stressed layer 24. Typically, the etch stop layer 26 comprises an oxide etch stop material when the first stressed layer 24 comprises a nitride material. Within the instant embodiment, the etch stop layer 26 typically comprises a silicon oxide etch stop material that has a thickness from about 50 to about 300 angstroms. The etch stop layer 26 may be formed using methods analogous to the methods used for forming the isolation region 12.
  • FIG. 3 shows a block mask 28 located upon the etch stop layer 26 and covering the first transistor T1. The block mask 28 may comprise any of several mask materials. Non-limiting examples include hard mask materials and photoresist mask materials. Photoresist mask materials are considerably more common. Non-limiting examples of photoresist mask materials include positive photoresist materials, negative photoresist materials and hybrid photoresist materials. Typically, the block mask 28 comprises a photoresist material having a thickness from about 1000 to about 5000 angstroms.
  • FIG. 4 shows the results of etching the etch stop layer 26 and the first stressed layer 24 to form corresponding etch stop layer 26′ and first stressed layer 24′, while using the block mask 28 as an etch mask. The foregoing etching is typically undertaken while using a plasma etchant, although neither the embodiment nor the invention is so limited. Wet chemical etchants, while generally less common, may also be used. Typically, the plasma etchant uses a fluorine containing etchant gas composition for etching each of the etch stop layer 26 and the first stressed layer 24 when forming therefrom the etch stop layer 26′ and the first stressed layer 24′. As is illustrated in FIG. 4, the etching also forms the spacers 18′ from the spacers 18.
  • FIG. 5 first shows the results of stripping the block mask 28 from the CMOS structure of FIG. 4. The block mask 28 may be stripped using methods and materials that are otherwise conventional in the semiconductor fabrication art. Included are wet chemical stripping methods and materials, dry plasma stripping methods and materials and aggregate stripping methods and materials thereof. Dry plasma stripping methods and materials are particularly common, but do not limit the invention.
  • FIG. 5 also shows a second stressed layer 30 located upon the semiconductor structure of FIG. 4, subsequent to removing the block mask 28 therefrom.
  • The second stressed layer 30 has an appropriate stress engineered to promote enhanced performance (generally within the context of charge carrier mobility) of the second transistor T2. The second stressed layer 30 may comprise materials and have dimensions that are analogous, equivalent or identical to the materials and dimensions used for forming the first stressed layer 24 that is illustrated in FIG. 3. As is disclosed above, the first stressed layer 24 typically comprises a silicon nitride material whose stress (i.e., tensile or compressive) may be engineered incident to control of particular deposition conditions. The second stressed layer 30 thus also comprises a nitride material, but for which deposition conditions are selected to have a type of stress (i.e., tensile or compressive) typically opposite the first stressed layer 24.
  • Within the context of the instant embodiment, the first stressed layer 24 preferably comprises a tensile stress from about 500 MPa to about 4 GPa (when the first transistor T1 is an nFET) and the second stressed layer 30 preferably a compressive stress from about −500 MPa to about −5 GPa (when the second transistor T2 is a pFET).
  • FIG. 6 shows a block mask 28′ located upon the second stressed layer 30 and covering the second transistor T2. The block mask 28′ otherwise comprises materials and has dimensions analogous or equivalent to the block mask 28 that is illustrated in FIG. 3.
  • FIG. 7 shows the results of patterning the second stressed layer 30 to form a second stressed layer 30′, and subsequently stripping the block mask 28′ from the CMOS structure of FIG. 6.
  • The second stressed layer 30 may be etched to form the second stressed layer 30, while using methods and materials analogous equivalent or identical to the methods and materials used for etching the first stressed layer 24 to from the first stressed layer 24′. The second block mask 28′ may be stripped using methods and materials analogous equivalent or identical to the methods and materials used for stripping the first block mask 28.
  • As is illustrated within the schematic diagram of FIG. 7, the first stressed layer 24′ and the second stressed layer 30′ abut and overlap.
  • FIG. 8 shows the results of etching the etch stop layer 26′, the first stressed layer 24′ and the second stressed layer 30′ so that a resulting first stressed layer 24″ and a resulting second stressed layer 30″ abut and do not overlap, rather than abut and overlap. Also resulting from this etching is etch stop layers 26″.
  • The foregoing etching may be undertaken using methods that are conventional in the semiconductor fabrication art. Non-limiting examples are plasma etch methods and sputter etch methods. Desirable are sputter etch methods that use argon or nitrogen sputter etchants. Such sputter etchants desirably have a tendency to etch more from top surfaces than lower surfaces of the first stressed layer 24′ and the second stressed layer 30′ when forming the first stressed layer 24″ and the second stressed layer 30″.
  • Within the context of the instant embodiment and the invention, the abutment of the first stressed layer 24″ with the second stressed layer 30″ absent overlap thereof provides for enhanced manufacturability. The enhanced manufacturability is desirable under circumstances where overlapped portions of the first stressed layer 24′ and the second stressed layer 30′ are located over a contact region portion of a source/drain region, particularly when the source/drain region has a silicide layer thereupon.
  • FIG. 9 shows the results of etching remaining portions of the etch stop layer 26″ from the CMOS structure of FIG. 8. FIG. 9 also shows a capping layer 32 located upon the CMOS structure of FIG. 8 after etching remaining portions of the etch stop layer 26″. The remaining portions of the etch stop layer 26″ may be etched using a wet chemical etchant or a dry plasma etchant.
  • The capping layer 30 may comprise any of several capping materials. Included are oxides, nitrides and oxynitrides of silicon, as well as oxides, nitrides and oxynitrides of other elements. The capping layer 32 preferably comprises a silicon nitride material having a thickness from about 50 to about 100 angstroms.
  • FIG. 9 shows a CMOS structure in accordance with a first embodiment of the invention. The CMOS structure comprises complementary first stressed layer 24″ located upon first transistor T1 and second stressed layer 30″ located upon second transistor T2. The complementary first stressed layer 24″ and second stressed layer 30″ abut, but do not overlap at a location interposed between the first transistor T1 and the second transistor T2.
  • Since the complementary first stressed layer 24″ and second stressed layer 30″ abut, but do not overlap, the CMOS structure that is illustrated in FIG. 9 provides for enhanced manufacturability. The enhanced manufacturability results from a nominally level surface provided by the first stressed layer 24″ and the second stressed layer 30″ so that a contact via may be efficiently etched reaching a source/drain region 20 having a silicide layer 22 thereupon while not damaging the silicide layer 22.
  • FIG. 10 to FIG. 12 show a series of schematic cross-sectional diagrams illustrating the results of progressive stages in fabricating a CMOS structure in accordance with another embodiment of the invention. This other embodiment of the invention comprises a second embodiment of the invention.
  • FIG. 10 shows a CMOS structure that derives from the CMOS structure of FIG. 7 within the first embodiment. The CMOS structure of FIG. 10 however shows a blocking layer 34 located upon the CMOS structure of FIG. 7. A block mask 36 is located upon the blocking layer 34 and covering the first transistor T1. A block mask 36′ is located upon the blocking layer 34 and covering the second transistor T2.
  • The blocking layer 34 preferably comprises an oxide material under circumstances where the first stressed layer 24 and the second stressed layer 30 comprise nitride materials. The blocking layer 34 may be formed using methods and materials analogous, equivalent or identical to the methods and materials used for forming the etch stop layer 26. Typically, the blocking layer 34 has a thickness from about 300 to about 500 angstroms.
  • The block masks 36 and 36′ may comprise any of several block mask materials that are disclosed above for the block masks 28 and 28′.
  • FIG. 11 shows the results of further processing of the CMOS structure of FIG. 10. FIG. 11 shows the results of etching the blocking layer 34 to form blocking layers 34′ that straddle the first transistor T1 and the second transistor T2. In so doing, an abutted and overlapped portion of the first stressed layer 24′ and the second stressed layer 30′ is exposed. FIG. 11 also shows the results of stripping the block masks 36 and 36′.
  • FIG. 12 shows the results of further processing of the CMOS structure of FIG. 11. FIG. 12 shows the results of etching the first stressed layer 24′ and the second stressed layer 30′ to yield first stressed layer 24″ and second stressed layer 30″ that are abutted and do not overlap. The etching preferably uses a nitrogen or argon sputter etching as is disclosed above within the context of the first embodiment.
  • For reasons disclosed above within the context of the first embodiment, abutment absent overlap of the first stressed layer 24″ and the second stressed layer 30″ provides for enhanced manufacturability of the CMOS structure of FIG. 12.
  • Within the second embodiment, the use of the blocking layers 34′ also assist in preserving a full initial thicknesses of the first stressed layer 24″ and the second stressed layer 30″ at locations over the first transistor T1 and the second transistor T2. Thus, a full effect of stress from the first stressed layer 24″ and the second stressed layer 30″ is transmitted into respective semiconductor channels over which they are formed.
  • FIG. 13 to FIG. 15 show a series of schematic cross-sectional diagrams corresponding with FIG. 10 to FIG. 12, but where the block mask 36 is located over the first transistor T1 only, and not the second transistor T2. FIG. 13 to FIG. 15 comprise a third embodiment of the invention.
  • FIG. 16 to FIG. 18 show a series of schematic cross-sectional diagrams corresponding with FIG. 10 to FIG. 12 or FIG. 13 to FIG. 15, but where the block mask 36′ is located over the second transistor T2 only and not the first transistor T1. FIG. 16 to FIG. 18 comprise a fourth embodiment of the invention.
  • The processing for either FIG. 13 to FIG. 15 or FIG. 16 to FIG. 18 follows analogously from the processing of FIG. 10 to FIG. 12. However, due to the presence of only a single block mask 36 or 36′, only one of the first stressed layer 24″ (i.e., FIG. 15) and the second stressed layer 30″ (i.e., FIG. 18) has an initial thickness after sputter etching.
  • In each of the second embodiment of the invention that is illustrated in FIG. 10 to FIG. 12, the third embodiment of the invention that is illustrated in FIG. 13 to FIG. 15 and the fourth embodiment of the invention that is illustrated in FIG. 16 to FIG. 18, the first stressed layer 24′ that abuts and overlaps the second stressed layer 30′ are etched to form the first stressed layer 24″ and the second stressed layer 30″ that abut, but do not overlap.
  • In accordance with the first embodiment of the invention, the second embodiment, the third embodiment and the fourth embodiment provide for enhanced manufacturability of a CMOS structure due to the foregoing abutment absent overlap.
  • The preferred embodiments of the invention are illustrative of the invention rater than limiting of the invention. Revisions and modifications may be made to methods, materials, structures and dimensions of a CMOS structure or method for fabrication thereof in accordance with the preferred embodiments of the invention while still providing a CMOS structure in accordance with the invention, further in accordance with the accompanying claims.

Claims (12)

1. A CMOS structure comprising:
a first transistor of a first polarity located laterally separated from a second transistor of a second polarity different from the first polarity over a semiconductor substrate; and
a first stressed layer having a first stress located over the first transistor and a second stressed layer having a second stress different from the first stress located over the second transistor, where the first stressed layer and the second stressed layer abut and do not overlap.
2. The CMOS structure of claim 1 wherein:
the semiconductor substrate comprises a hybrid orientation substrate; and
the first transistor and the second transistor use different crystallographic orientation channel regions.
3. The CMOS structure of claim 1 wherein the first stressed layer and the second stressed layer abut, but do not overlap at a location over a source/drain region within one of the first transistor and the second transistor.
4. The CMOS structure of claim 3 further comprising a silicide layer located upon the source/drain region.
5. The CMOS structure of claim 1 wherein each of the first stressed layer and the second stressed layer comprises a nitride material.
6. The CMOS structure of claim 1 wherein:
the first stress is a tensile stress and the first transistor is an nFET; and
the second stress is a compressive stress and the second transistor in a pFET.
7. A method for fabricating a CMOS structure comprising:
forming a first transistor of a first polarity laterally separated from a second transistor of a second polarity different from the first polarity over a semiconductor substrate;
forming a first stressed layer having a first stress located over the first transistor and a second stressed layer having a second stress different from the first stress located over the second transistor, where the first stressed layer and the second stressed layer abut and overlap; and
etching at least one of the first stressed layer and the second stressed layer so that the first stressed layer and the second stressed layer abut and do not overlap.
8. The method of claim 7 wherein the forming the first transistor and the second transistor uses a hybrid orientation substrate that provides a different crystallographic orientation channel for each of the first transistor and the second transistor.
9. The method of claim 7 wherein each of the first stressed layer and the second stressed layer comprises a nitride material.
10. The method of claim 7 wherein:
the first stress is a tensile stress and the first transistor is an nFET; and
the second stress is a compressive stress and the second transistor in a pFET.
11. The method of claim 7 wherein the etching provides that the first stressed layer and the second stressed layer abut but do not overlap over a source/drain region within one of the first transistor and the second transistor.
12. The method of claim 7 wherein the etching of the first stressed layer and the second stressed layer is undertaken absent masking of the first stressed layer and the second stressed layer.
US12/424,981 2006-04-28 2009-04-16 Cmos structures and methods using self-aligned dual stressed layers Abandoned US20090194819A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/424,981 US20090194819A1 (en) 2006-04-28 2009-04-16 Cmos structures and methods using self-aligned dual stressed layers

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/380,695 US7521307B2 (en) 2006-04-28 2006-04-28 CMOS structures and methods using self-aligned dual stressed layers
US12/424,981 US20090194819A1 (en) 2006-04-28 2009-04-16 Cmos structures and methods using self-aligned dual stressed layers

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/380,695 Division US7521307B2 (en) 2006-04-28 2006-04-28 CMOS structures and methods using self-aligned dual stressed layers

Publications (1)

Publication Number Publication Date
US20090194819A1 true US20090194819A1 (en) 2009-08-06

Family

ID=38647543

Family Applications (4)

Application Number Title Priority Date Filing Date
US11/380,695 Expired - Fee Related US7521307B2 (en) 2006-04-28 2006-04-28 CMOS structures and methods using self-aligned dual stressed layers
US11/757,792 Expired - Fee Related US8901662B2 (en) 2006-04-28 2007-06-04 CMOS structures and methods for improving yield
US12/424,981 Abandoned US20090194819A1 (en) 2006-04-28 2009-04-16 Cmos structures and methods using self-aligned dual stressed layers
US14/556,732 Expired - Fee Related US9318344B2 (en) 2006-04-28 2014-12-01 CMOS structures and methods for improving yield

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US11/380,695 Expired - Fee Related US7521307B2 (en) 2006-04-28 2006-04-28 CMOS structures and methods using self-aligned dual stressed layers
US11/757,792 Expired - Fee Related US8901662B2 (en) 2006-04-28 2007-06-04 CMOS structures and methods for improving yield

Family Applications After (1)

Application Number Title Priority Date Filing Date
US14/556,732 Expired - Fee Related US9318344B2 (en) 2006-04-28 2014-12-01 CMOS structures and methods for improving yield

Country Status (4)

Country Link
US (4) US7521307B2 (en)
JP (2) JP2007300090A (en)
CN (1) CN100527421C (en)
TW (1) TW200805572A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102437095A (en) * 2011-08-29 2012-05-02 上海华力微电子有限公司 Technique integrating method for double etching barrier layer technology
US9082875B2 (en) 2009-03-27 2015-07-14 International Business Machines Corporation Methods for normalizing strain in semicondcutor devices and strain normalized semiconductor devices

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080128831A1 (en) * 2005-11-16 2008-06-05 United Microelectronics Corp. Cmos and mos device
US20070281405A1 (en) * 2006-06-02 2007-12-06 International Business Machines Corporation Methods of stressing transistor channel with replaced gate and related structures
US7585720B2 (en) * 2006-07-05 2009-09-08 Toshiba America Electronic Components, Inc. Dual stress liner device and method
US7462522B2 (en) * 2006-08-30 2008-12-09 International Business Machines Corporation Method and structure for improving device performance variation in dual stress liner technology
KR100772902B1 (en) * 2006-09-28 2007-11-05 삼성전자주식회사 Semiconductor device and method of fabricating the same
KR100809335B1 (en) * 2006-09-28 2008-03-05 삼성전자주식회사 Semiconductor device and method of fabricating the same
KR100954116B1 (en) * 2006-11-06 2010-04-23 주식회사 하이닉스반도체 Method for forming recess pattern in semiconductor device
US20080116521A1 (en) * 2006-11-16 2008-05-22 Samsung Electronics Co., Ltd CMOS Integrated Circuits that Utilize Insulating Layers with High Stress Characteristics to Improve NMOS and PMOS Transistor Carrier Mobilities and Methods of Forming Same
JP2008140854A (en) * 2006-11-30 2008-06-19 Matsushita Electric Ind Co Ltd Semiconductor device, and manufacturing method thereof
US7521763B2 (en) * 2007-01-03 2009-04-21 International Business Machines Corporation Dual stress STI
US20080169510A1 (en) * 2007-01-17 2008-07-17 International Business Machines Corporation Performance enhancement on both nmosfet and pmosfet using self-aligned dual stressed films
KR100825809B1 (en) * 2007-02-27 2008-04-29 삼성전자주식회사 Semiconductor device structure with strain layer and method for fabrication of the same
US7466008B2 (en) * 2007-03-13 2008-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. BiCMOS performance enhancement by mechanical uniaxial strain and methods of manufacture
US7534678B2 (en) * 2007-03-27 2009-05-19 Samsung Electronics Co., Ltd. Methods of forming CMOS integrated circuit devices having stressed NMOS and PMOS channel regions therein and circuits formed thereby
US7521380B2 (en) * 2007-04-23 2009-04-21 Advanced Micro Devices, Inc. Methods for fabricating a stress enhanced semiconductor device having narrow pitch and wide pitch transistors
US7902082B2 (en) * 2007-09-20 2011-03-08 Samsung Electronics Co., Ltd. Method of forming field effect transistors using diluted hydrofluoric acid to remove sacrificial nitride spacers
US7923365B2 (en) * 2007-10-17 2011-04-12 Samsung Electronics Co., Ltd. Methods of forming field effect transistors having stress-inducing sidewall insulating spacers thereon
KR101194843B1 (en) * 2007-12-07 2012-10-25 삼성전자주식회사 Method of forming GE silicide layer, semiconductor device comprising GE silicide layer and method of manufacturing the same
US7786518B2 (en) * 2007-12-27 2010-08-31 Texas Instruments Incorporated Growth of unfaceted SiGe in MOS transistor fabrication
US7727834B2 (en) * 2008-02-14 2010-06-01 Toshiba America Electronic Components, Inc. Contact configuration and method in dual-stress liner semiconductor device
CN101577251B (en) * 2008-05-05 2011-11-30 中芯国际集成电路制造(北京)有限公司 Method for forming passivation layer for complementary metal oxide semiconductor (CMOS) device
JP5262370B2 (en) 2008-07-10 2013-08-14 富士通セミコンダクター株式会社 Semiconductor device manufacturing method and semiconductor device
JP5278022B2 (en) * 2009-02-17 2013-09-04 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
US9318571B2 (en) * 2009-02-23 2016-04-19 United Microelectronics Corp. Gate structure and method for trimming spacers
CN102469954B (en) * 2009-07-30 2015-01-28 皇家飞利浦电子股份有限公司 Method and apparatus of determining exhaled nitric oxide
CN102130057B (en) * 2010-01-14 2013-05-01 中芯国际集成电路制造(上海)有限公司 Method for making complementary metal oxide semiconductor device, and structure of complementary metal oxide semiconductor device
CN102130058A (en) * 2010-01-19 2011-07-20 中芯国际集成电路制造(上海)有限公司 CMOS (Complementary Metal Oxide Semiconductor) transistor and manufacturing method thereof
US8350253B1 (en) * 2010-01-29 2013-01-08 Xilinx, Inc. Integrated circuit with stress inserts
CN102376646B (en) * 2010-08-24 2014-03-19 中芯国际集成电路制造(上海)有限公司 Method for improving surface morphology of dual-stress nitride
JP5614333B2 (en) * 2011-03-01 2014-10-29 富士通セミコンダクター株式会社 Semiconductor device
CN103325787B (en) * 2012-03-21 2017-05-03 中国科学院微电子研究所 Cmos device and manufacturing method thereof
CN103579110B (en) * 2012-07-26 2016-04-27 中芯国际集成电路制造(上海)有限公司 A kind of manufacture method of semiconductor device
CN103681506B (en) * 2012-09-20 2016-06-01 中芯国际集成电路制造(上海)有限公司 The manufacture method of a kind of semiconducter device
KR102204777B1 (en) * 2013-07-08 2021-01-20 이피션트 파워 컨버젼 코퍼레이션 Isolation structure in gallium nitride devices and integrated circuits
US20160211250A1 (en) * 2015-01-15 2016-07-21 Infineon Technologies Ag Semiconductor substrate arrangement, a semiconductor device, and a method for processing a semiconductor substrate
US9601686B1 (en) * 2015-12-14 2017-03-21 International Business Machines Corporation Magnetoresistive structures with stressed layer
US10043903B2 (en) 2015-12-21 2018-08-07 Samsung Electronics Co., Ltd. Semiconductor devices with source/drain stress liner
KR102260807B1 (en) * 2016-11-30 2021-06-07 가부시키가이샤 리코 Coating liquid for forming oxide or oxynitride insulator film, oxide or oxynitride insulator film, field effect transistor and manufacturing method thereof
US10489330B2 (en) * 2018-11-15 2019-11-26 Intel Corporation Active extensible memory hub

Citations (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602841A (en) * 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
US4665415A (en) * 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
US4853076A (en) * 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
US4855245A (en) * 1985-09-13 1989-08-08 Siemens Aktiengesellschaft Method of manufacturing integrated circuit containing bipolar and complementary MOS transistors on a common substrate
US4952524A (en) * 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US4958213A (en) * 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5006913A (en) * 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5060030A (en) * 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5081513A (en) * 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5108843A (en) * 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US5134085A (en) * 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5310446A (en) * 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5354695A (en) * 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5391510A (en) * 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US5459346A (en) * 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5557122A (en) * 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
US5561302A (en) * 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5670798A (en) * 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5679965A (en) * 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5861651A (en) * 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5880040A (en) * 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US5940736A (en) * 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US5940716A (en) * 1996-03-15 1999-08-17 Samsung Electronics Co., Ltd. Methods of forming trench isolation regions using repatterned trench masks
US5960297A (en) * 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
US5989978A (en) * 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
US6025280A (en) * 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US6066545A (en) * 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US6090684A (en) * 1998-07-31 2000-07-18 Hitachi, Ltd. Method for manufacturing semiconductor device
US6107143A (en) * 1998-03-02 2000-08-22 Samsung Electronics Co., Ltd. Method for forming a trench isolation structure in an integrated circuit
US6117722A (en) * 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6133071A (en) * 1997-10-15 2000-10-17 Nec Corporation Semiconductor device with plate heat sink free from cracks due to thermal stress and process for assembling it with package
US6221735B1 (en) * 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6228694B1 (en) * 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
US6255169B1 (en) * 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
US6261964B1 (en) * 1997-03-14 2001-07-17 Micron Technology, Inc. Material removal method for forming a structure
US6265317B1 (en) * 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
US20010009784A1 (en) * 1998-01-09 2001-07-26 Yanjun Ma Structure and method of making a sub-micron MOS transistor
US6274444B1 (en) * 1999-07-30 2001-08-14 United Microelectronics Corp. Method for forming mosfet
US6281532B1 (en) * 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6284623B1 (en) * 1999-10-25 2001-09-04 Peng-Fei Zhang Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
US6284626B1 (en) * 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6319794B1 (en) * 1998-10-14 2001-11-20 International Business Machines Corporation Structure and method for producing low leakage isolation devices
US6361885B1 (en) * 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US6362082B1 (en) * 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
US6368931B1 (en) * 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
US20020063292A1 (en) * 2000-11-29 2002-05-30 Mark Armstrong CMOS fabrication process utilizing special transistor orientation
US6403486B1 (en) * 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
US6403975B1 (en) * 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US6406973B1 (en) * 1999-06-29 2002-06-18 Hyundai Electronics Industries Co., Ltd. Transistor in a semiconductor device and method of manufacturing the same
US20020086497A1 (en) * 2000-12-30 2002-07-04 Kwok Siang Ping Beaker shape trench with nitride pull-back for STI
US20020086472A1 (en) * 2000-12-29 2002-07-04 Brian Roberds Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020090791A1 (en) * 1999-06-28 2002-07-11 Brian S. Doyle Method for reduced capacitance interconnect system using gaseous implants into the ild
US6461936B1 (en) * 2002-01-04 2002-10-08 Infineon Technologies Ag Double pullback method of filling an isolation trench
US6476462B2 (en) * 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6506652B2 (en) * 1998-11-13 2003-01-14 Intel Corporation Method of recessing spacers to improved salicide resistance on polysilicon gates
US20030032261A1 (en) * 2001-08-08 2003-02-13 Ling-Yen Yeh Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
US20030040158A1 (en) * 2001-08-21 2003-02-27 Nec Corporation Semiconductor device and method of fabricating the same
US6531740B2 (en) * 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US6531369B1 (en) * 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US20030057184A1 (en) * 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US20030067035A1 (en) * 2001-09-28 2003-04-10 Helmut Tews Gate processing method with reduced gate oxide corner and edge thinning
US6573172B1 (en) * 2002-09-16 2003-06-03 Advanced Micro Devices, Inc. Methods for improving carrier mobility of PMOS and NMOS devices
US6621392B1 (en) * 2002-04-25 2003-09-16 International Business Machines Corporation Micro electromechanical switch having self-aligned spacers
US6635506B2 (en) * 2001-11-07 2003-10-21 International Business Machines Corporation Method of fabricating micro-electromechanical switches on CMOS compatible substrates
US6717216B1 (en) * 2002-12-12 2004-04-06 International Business Machines Corporation SOI based field effect transistor having a compressive film in undercut area under the channel and a method of making the device
US20040113174A1 (en) * 2002-12-12 2004-06-17 International Business Machines Corporation Isolation structures for imposing stress patterns
US20040113217A1 (en) * 2002-12-12 2004-06-17 International Business Machines Corporation Stress inducing spacers
US20050082634A1 (en) * 2003-10-16 2005-04-21 International Business Machines Corporation High performance strained cmos devices
US20050093030A1 (en) * 2003-10-30 2005-05-05 Doris Bruce B. Structure and method to enhance both nFET and pFET performance using different kinds of stressed layers
US20050098829A1 (en) * 2003-11-06 2005-05-12 Doris Bruce B. High mobility CMOS circuits
US20050106799A1 (en) * 2003-11-14 2005-05-19 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US20050145954A1 (en) * 2004-01-05 2005-07-07 International Business Machines Corporation Structures and methods for making strained mosfets
US6929992B1 (en) * 2003-12-17 2005-08-16 Advanced Micro Devices, Inc. Strained silicon MOSFETs having NMOS gates with work functions for compensating NMOS threshold voltage shift
US20050194699A1 (en) * 2004-03-03 2005-09-08 International Business Machines Corporation Mobility enhanced cmos devices
US20050214998A1 (en) * 2004-03-26 2005-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Local stress control for CMOS performance enhancement
US20050236668A1 (en) * 2004-04-23 2005-10-27 International Business Machines Corporation STRUCTURES AND METHODS FOR MANUFACTURING OF DISLOCATION FREE STRESSED CHANNELS IN BULK SILICON AND SOI CMOS DEVICES BY GATE STRESS ENGINEERING WITH SiGe AND/OR Si:C
US20060057787A1 (en) * 2002-11-25 2006-03-16 Doris Bruce B Strained finfet cmos device structures
US20060060925A1 (en) * 2004-09-17 2006-03-23 International Business Machines Corporation Semiconductor device structure with active regions having different surface directions and methods
US20060079046A1 (en) * 2004-10-12 2006-04-13 International Business Machines Corporation Method and structure for improving cmos device reliability using combinations of insulating materials
US7101744B1 (en) * 2005-03-01 2006-09-05 International Business Machines Corporation Method for forming self-aligned, dual silicon nitride liner for CMOS devices
US7105394B2 (en) * 2002-03-19 2006-09-12 Renesas Technology Corp. Semiconductor device and a method of manufacturing the same
US20060228848A1 (en) * 2005-03-31 2006-10-12 International Business Machines Corporation Dual-hybrid liner formation without exposing silicide layer to photoresist stripping chemicals
US7288451B2 (en) * 2005-03-01 2007-10-30 International Business Machines Corporation Method and structure for forming self-aligned, dual stress liner for CMOS devices

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02138750A (en) * 1988-08-24 1990-05-28 Mitsubishi Electric Corp Manufacture of semiconductor device
US5371399A (en) * 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US6008126A (en) * 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
JP3280725B2 (en) * 1992-12-02 2002-05-13 オーリンス レーシング アクティエ ボラーグ Cylindrical shock absorber
JPH08111457A (en) * 1994-10-12 1996-04-30 Fujitsu Ltd Fabrication of semiconductor device
US6165383A (en) * 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
US6475895B1 (en) * 1999-08-06 2002-11-05 Newport Fab, Llc Semiconductor device having a passivation layer and method for its fabrication
US6483171B1 (en) * 1999-08-13 2002-11-19 Micron Technology, Inc. Vertical sub-micron CMOS transistors on (110), (111), (311), (511), and higher order surfaces of bulk, SOI and thin film structures and method of forming same
US6372664B1 (en) * 1999-10-15 2002-04-16 Taiwan Semiconductor Manufacturing Company Crack resistant multi-layer dielectric layer and method for formation thereof
US6264317B1 (en) * 1999-11-19 2001-07-24 Lexmark International, Inc. Corrosion resistant printhead body for ink jet pen
US6225169B1 (en) * 2000-02-24 2001-05-01 Novellus Systems, Inc. High density plasma nitridation as diffusion barrier and interface defect densities reduction for gate dielectric
US6493497B1 (en) * 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
US6501121B1 (en) * 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
JP2003086708A (en) * 2000-12-08 2003-03-20 Hitachi Ltd Semiconductor device and manufacturing method thereof
US6498358B1 (en) * 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
US6831292B2 (en) * 2001-09-21 2004-12-14 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
CN1245760C (en) * 2002-11-04 2006-03-15 台湾积体电路制造股份有限公司 CMOS component and preparation method
FR2846789B1 (en) * 2002-11-05 2005-06-24 St Microelectronics Sa MOS TRANSISTOR SEMICONDUCTOR DEVICE WITH ENGRAVED STOP LAYER HAVING IMPROVED RESIDUAL STRESS AND METHOD OF MANUFACTURING SUCH SEMICONDUCTOR DEVICE
US6887798B2 (en) 2003-05-30 2005-05-03 International Business Machines Corporation STI stress modification by nitrogen plasma treatment for improving performance in small width devices
JP4557508B2 (en) 2003-06-16 2010-10-06 パナソニック株式会社 Semiconductor device
US7148559B2 (en) * 2003-06-20 2006-12-12 International Business Machines Corporation Substrate engineering for optimum CMOS device performance
US7279746B2 (en) 2003-06-30 2007-10-09 International Business Machines Corporation High performance CMOS device structures and method of manufacture
US6977194B2 (en) 2003-10-30 2005-12-20 International Business Machines Corporation Structure and method to improve channel mobility by gate electrode stress modification
US20050116290A1 (en) * 2003-12-02 2005-06-02 De Souza Joel P. Planar substrate with selected semiconductor crystal orientations formed by localized amorphization and recrystallization of stacked template layers
US7220630B2 (en) * 2004-05-21 2007-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method for selectively forming strained etch stop layers to improve FET charge carrier mobility
JP4794838B2 (en) * 2004-09-07 2011-10-19 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof
JP5002891B2 (en) * 2004-12-17 2012-08-15 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
US7244644B2 (en) * 2005-07-21 2007-07-17 International Business Machines Corporation Undercut and residual spacer prevention for dual stressed layers
US7297584B2 (en) * 2005-10-07 2007-11-20 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices having a dual stress liner
US7615432B2 (en) * 2005-11-02 2009-11-10 Samsung Electronics Co., Ltd. HDP/PECVD methods of fabricating stress nitride structures for field effect transistors
JP4760414B2 (en) * 2006-02-06 2011-08-31 ソニー株式会社 Manufacturing method of semiconductor device
US7361539B2 (en) * 2006-05-16 2008-04-22 International Business Machines Corporation Dual stress liner
US7514370B2 (en) * 2006-05-19 2009-04-07 International Business Machines Corporation Compressive nitride film and method of manufacturing thereof
US7585720B2 (en) * 2006-07-05 2009-09-08 Toshiba America Electronic Components, Inc. Dual stress liner device and method
US20080087965A1 (en) * 2006-10-11 2008-04-17 International Business Machines Corporation Structure and method of forming transistor density based stress layers in cmos devices
US7612414B2 (en) * 2007-03-29 2009-11-03 International Business Machines Corporation Overlapped stressed liners for improved contacts
US7750414B2 (en) * 2008-05-29 2010-07-06 International Business Machines Corporation Structure and method for reducing threshold voltage variation

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602841A (en) * 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
US4853076A (en) * 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
US4665415A (en) * 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
US4855245A (en) * 1985-09-13 1989-08-08 Siemens Aktiengesellschaft Method of manufacturing integrated circuit containing bipolar and complementary MOS transistors on a common substrate
US4958213A (en) * 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5459346A (en) * 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5565697A (en) * 1988-06-28 1996-10-15 Ricoh Company, Ltd. Semiconductor structure having island forming grooves
US5006913A (en) * 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5108843A (en) * 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) * 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US5310446A (en) * 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5060030A (en) * 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5081513A (en) * 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5134085A (en) * 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5391510A (en) * 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US5840593A (en) * 1992-04-08 1998-11-24 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5354695A (en) * 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5946559A (en) * 1992-04-08 1999-08-31 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5571741A (en) * 1992-04-08 1996-11-05 Leedy; Glenn J. Membrane dielectric isolation IC fabrication
US5592007A (en) * 1992-04-08 1997-01-07 Leedy; Glenn J. Membrane dielectric isolation transistor fabrication
US5592018A (en) * 1992-04-08 1997-01-07 Leedy; Glenn J. Membrane dielectric isolation IC fabrication
US5683934A (en) * 1994-09-26 1997-11-04 Motorola, Inc. Enhanced mobility MOSFET device and method
US5561302A (en) * 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US6046464A (en) * 1995-03-29 2000-04-04 North Carolina State University Integrated heterostructures of group III-V nitride semiconductor materials including epitaxial ohmic contact comprising multiple quantum well
US5670798A (en) * 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5679965A (en) * 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5557122A (en) * 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
US5940716A (en) * 1996-03-15 1999-08-17 Samsung Electronics Co., Ltd. Methods of forming trench isolation regions using repatterned trench masks
US6403975B1 (en) * 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5880040A (en) * 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US5861651A (en) * 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5940736A (en) * 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6246095B1 (en) * 1997-03-11 2001-06-12 Agere Systems Guardian Corp. System and method for forming a uniform thin gate oxide layer
US6261964B1 (en) * 1997-03-14 2001-07-17 Micron Technology, Inc. Material removal method for forming a structure
US6025280A (en) * 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US5960297A (en) * 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
US6133071A (en) * 1997-10-15 2000-10-17 Nec Corporation Semiconductor device with plate heat sink free from cracks due to thermal stress and process for assembling it with package
US6066545A (en) * 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US20010009784A1 (en) * 1998-01-09 2001-07-26 Yanjun Ma Structure and method of making a sub-micron MOS transistor
US6107143A (en) * 1998-03-02 2000-08-22 Samsung Electronics Co., Ltd. Method for forming a trench isolation structure in an integrated circuit
US6361885B1 (en) * 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US5989978A (en) * 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
US6090684A (en) * 1998-07-31 2000-07-18 Hitachi, Ltd. Method for manufacturing semiconductor device
US6319794B1 (en) * 1998-10-14 2001-11-20 International Business Machines Corporation Structure and method for producing low leakage isolation devices
US6506652B2 (en) * 1998-11-13 2003-01-14 Intel Corporation Method of recessing spacers to improved salicide resistance on polysilicon gates
US6509618B2 (en) * 1998-11-13 2003-01-21 Intel Corporation Device having thin first spacers and partially recessed thick second spacers for improved salicide resistance on polysilicon gates
US6521964B1 (en) * 1998-11-13 2003-02-18 Intel Corporation Device having spacers for improved salicide resistance on polysilicon gates
US6117722A (en) * 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6255169B1 (en) * 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
US6284626B1 (en) * 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6281532B1 (en) * 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6362082B1 (en) * 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
US6228694B1 (en) * 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
US20020090791A1 (en) * 1999-06-28 2002-07-11 Brian S. Doyle Method for reduced capacitance interconnect system using gaseous implants into the ild
US20020074598A1 (en) * 1999-06-28 2002-06-20 Doyle Brian S. Methodology for control of short channel effects in MOS transistors
US6406973B1 (en) * 1999-06-29 2002-06-18 Hyundai Electronics Industries Co., Ltd. Transistor in a semiconductor device and method of manufacturing the same
US6274444B1 (en) * 1999-07-30 2001-08-14 United Microelectronics Corp. Method for forming mosfet
US6284623B1 (en) * 1999-10-25 2001-09-04 Peng-Fei Zhang Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
US6476462B2 (en) * 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6221735B1 (en) * 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6531369B1 (en) * 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6368931B1 (en) * 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
US20020063292A1 (en) * 2000-11-29 2002-05-30 Mark Armstrong CMOS fabrication process utilizing special transistor orientation
US20020086472A1 (en) * 2000-12-29 2002-07-04 Brian Roberds Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020086497A1 (en) * 2000-12-30 2002-07-04 Kwok Siang Ping Beaker shape trench with nitride pull-back for STI
US6265317B1 (en) * 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
US6403486B1 (en) * 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
US6531740B2 (en) * 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US20030032261A1 (en) * 2001-08-08 2003-02-13 Ling-Yen Yeh Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
US20030040158A1 (en) * 2001-08-21 2003-02-27 Nec Corporation Semiconductor device and method of fabricating the same
US20030057184A1 (en) * 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US20030067035A1 (en) * 2001-09-28 2003-04-10 Helmut Tews Gate processing method with reduced gate oxide corner and edge thinning
US6635506B2 (en) * 2001-11-07 2003-10-21 International Business Machines Corporation Method of fabricating micro-electromechanical switches on CMOS compatible substrates
US6461936B1 (en) * 2002-01-04 2002-10-08 Infineon Technologies Ag Double pullback method of filling an isolation trench
US7105394B2 (en) * 2002-03-19 2006-09-12 Renesas Technology Corp. Semiconductor device and a method of manufacturing the same
US6621392B1 (en) * 2002-04-25 2003-09-16 International Business Machines Corporation Micro electromechanical switch having self-aligned spacers
US6573172B1 (en) * 2002-09-16 2003-06-03 Advanced Micro Devices, Inc. Methods for improving carrier mobility of PMOS and NMOS devices
US20060057787A1 (en) * 2002-11-25 2006-03-16 Doris Bruce B Strained finfet cmos device structures
US20050040460A1 (en) * 2002-12-12 2005-02-24 Dureseti Chidambarrao Stress inducing spacers
US6717216B1 (en) * 2002-12-12 2004-04-06 International Business Machines Corporation SOI based field effect transistor having a compressive film in undercut area under the channel and a method of making the device
US20040113174A1 (en) * 2002-12-12 2004-06-17 International Business Machines Corporation Isolation structures for imposing stress patterns
US20040113217A1 (en) * 2002-12-12 2004-06-17 International Business Machines Corporation Stress inducing spacers
US20050082634A1 (en) * 2003-10-16 2005-04-21 International Business Machines Corporation High performance strained cmos devices
US20050148146A1 (en) * 2003-10-16 2005-07-07 Doris Bruce D. High performance strained CMOS devices
US20050093030A1 (en) * 2003-10-30 2005-05-05 Doris Bruce B. Structure and method to enhance both nFET and pFET performance using different kinds of stressed layers
US20060027868A1 (en) * 2003-11-06 2006-02-09 Ibm Corporation High mobility CMOS circuits
US20050098829A1 (en) * 2003-11-06 2005-05-12 Doris Bruce B. High mobility CMOS circuits
US7015082B2 (en) * 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US20050106799A1 (en) * 2003-11-14 2005-05-19 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US6929992B1 (en) * 2003-12-17 2005-08-16 Advanced Micro Devices, Inc. Strained silicon MOSFETs having NMOS gates with work functions for compensating NMOS threshold voltage shift
US20050145954A1 (en) * 2004-01-05 2005-07-07 International Business Machines Corporation Structures and methods for making strained mosfets
US20050194699A1 (en) * 2004-03-03 2005-09-08 International Business Machines Corporation Mobility enhanced cmos devices
US20050214998A1 (en) * 2004-03-26 2005-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Local stress control for CMOS performance enhancement
US20050236668A1 (en) * 2004-04-23 2005-10-27 International Business Machines Corporation STRUCTURES AND METHODS FOR MANUFACTURING OF DISLOCATION FREE STRESSED CHANNELS IN BULK SILICON AND SOI CMOS DEVICES BY GATE STRESS ENGINEERING WITH SiGe AND/OR Si:C
US20060060925A1 (en) * 2004-09-17 2006-03-23 International Business Machines Corporation Semiconductor device structure with active regions having different surface directions and methods
US20060079046A1 (en) * 2004-10-12 2006-04-13 International Business Machines Corporation Method and structure for improving cmos device reliability using combinations of insulating materials
US7101744B1 (en) * 2005-03-01 2006-09-05 International Business Machines Corporation Method for forming self-aligned, dual silicon nitride liner for CMOS devices
US7288451B2 (en) * 2005-03-01 2007-10-30 International Business Machines Corporation Method and structure for forming self-aligned, dual stress liner for CMOS devices
US20060228848A1 (en) * 2005-03-31 2006-10-12 International Business Machines Corporation Dual-hybrid liner formation without exposing silicide layer to photoresist stripping chemicals

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9082875B2 (en) 2009-03-27 2015-07-14 International Business Machines Corporation Methods for normalizing strain in semicondcutor devices and strain normalized semiconductor devices
CN102437095A (en) * 2011-08-29 2012-05-02 上海华力微电子有限公司 Technique integrating method for double etching barrier layer technology

Also Published As

Publication number Publication date
US8901662B2 (en) 2014-12-02
JP2007300090A (en) 2007-11-15
CN101064310A (en) 2007-10-31
JP5274594B2 (en) 2013-08-28
JP2011124601A (en) 2011-06-23
US7521307B2 (en) 2009-04-21
US20070252230A1 (en) 2007-11-01
CN100527421C (en) 2009-08-12
US20150087121A1 (en) 2015-03-26
TW200805572A (en) 2008-01-16
US20070252214A1 (en) 2007-11-01
US9318344B2 (en) 2016-04-19

Similar Documents

Publication Publication Date Title
US7521307B2 (en) CMOS structures and methods using self-aligned dual stressed layers
US7002209B2 (en) MOSFET structure with high mechanical stress in the channel
EP1565931B1 (en) Strained finfet cmos device structures
TWI413216B (en) Methods for fabricating a stressed mos device
US7485510B2 (en) Field effect device including inverted V shaped channel region and method for fabrication thereof
US7863124B2 (en) Residue free patterned layer formation method applicable to CMOS structures
US7459382B2 (en) Field effect device with reduced thickness gate
US20060019456A1 (en) Transistor fabrication methods using dual sidewall spacers
US20080064173A1 (en) Semiconductor device, cmos device and fabricating methods of the same
JP2009516363A (en) Structure and method for increasing strain enhancement by spacerless FET and dual liner method
JP2006503442A (en) Semiconductor device with extensible strain silicon introduced into the compressed material of the buried oxide layer
US8741721B2 (en) Semiconductor device and manufacturing method thereof
US20080050863A1 (en) Semiconductor structure including multiple stressed layers
US20090215277A1 (en) Dual contact etch stop layer process
US20110156110A1 (en) Field Effect Transistors Having Gate Electrode Silicide Layers with Reduced Surface Damage
JP4558841B2 (en) Semiconductor structure with improved performance using a simplified dual stress liner configuration
CN103066122A (en) Metal-oxide-semiconductor field effect transistor (MOSFET) and manufacturing method thereof
US20080182372A1 (en) Method of forming disposable spacers for improved stressed nitride film effectiveness
US7892899B2 (en) Hybrid orientation substrate and method for fabrication thereof
JP2012521091A (en) Gate-diode structure and method for manufacturing gate-diode structure
TWI255553B (en) Silicon on partial insulator MOSFET and method for manufacturing the same
KR100714929B1 (en) Strained finfet cmos device structures

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- AFTER EXAMINER'S ANSWER OR BOARD OF APPEALS DECISION

AS Assignment

Owner name: ELPIS TECHNOLOGIES INC., CANADA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:052557/0327

Effective date: 20200306