US20090101995A1 - PROCESS FOR FABRICATION OF FINFETs - Google Patents

PROCESS FOR FABRICATION OF FINFETs Download PDF

Info

Publication number
US20090101995A1
US20090101995A1 US12/342,655 US34265508A US2009101995A1 US 20090101995 A1 US20090101995 A1 US 20090101995A1 US 34265508 A US34265508 A US 34265508A US 2009101995 A1 US2009101995 A1 US 2009101995A1
Authority
US
United States
Prior art keywords
gate
oxide
semiconductor
layer
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US12/342,655
Other versions
US8614485B2 (en
Inventor
Jochen Beintner
Gary B. Bronner
Ramachandra Divakaruni
Yujun Li
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US12/342,655 priority Critical patent/US8614485B2/en
Publication of US20090101995A1 publication Critical patent/US20090101995A1/en
Application granted granted Critical
Publication of US8614485B2 publication Critical patent/US8614485B2/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Assigned to WILMINGTON TRUST, NATIONAL ASSOCIATION reassignment WILMINGTON TRUST, NATIONAL ASSOCIATION SECURITY AGREEMENT Assignors: GLOBALFOUNDRIES INC.
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES INC.
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Definitions

  • the present invention relates to semiconductor device fabrication, and more particularly to a method of forming a plurality of FinFETs in which the gate width of each of the FinFETs is defined utilizing a single etching process that results in improved gate width control.
  • VLSI very large scale integration
  • MOSFET metal oxide semiconductor field effect transistor
  • the structure of a typical prior art double-gated MOSFET consists of a very thin vertical semiconductor layer (Fin) for the channel, with two gates, one on each side of the channel.
  • the term “Fin” is used herein to denote a semiconducting material which is employed as the body of the FET.
  • the two gates are electrically connected so that they serve to modulate the channel. Short-channel effects are greatly suppressed in such a structure because the two gates very effectively terminate the drain field line preventing the drain potential from being felt at the source end of the channel. Consequently, the variation of the threshold voltage with drain voltage and with gate length of a prior art double-gated MOSFET is much smaller than that of a conventional single-gated structure of the same channel length.
  • semiconductor Fins can be processed either by optical or ebeam lithographic processes in combination with trimming processes such as, for example, resist trimming, hard mask trimming or oxidation trimming.
  • trimming processes such as, for example, resist trimming, hard mask trimming or oxidation trimming.
  • Another method of trimming is by utilizing a sidewall image transfer (SIT) process.
  • SIT process provides very high-density structures that are independent of lithographic resolution and pitch.
  • the SIT process is based upon a spacer that is formed on the sidewall of an optical defined structure. The spacer is used as a mask to define the structure of the underlying layer or layers.
  • the present invention provides a method of fabricating a plurality of FinFETs on a semiconductor substrate in which the gate width of each individual FinFET is defined utilizing only a single etching process, instead of two or more.
  • the inventive method results in improved gate width control and less variation of the gate width of each individual gate across the entire surface of the substrate.
  • the inventive method achieves the above by utilizing a modified sidewall image transfer (SIT) process in which an insulating spacer that is later replaced by a gate conductor is employed and a high-density bottom up oxide fill is used to isolate the gate from the substrate.
  • SIT modified sidewall image transfer
  • the inventive method comprises:
  • each of said semiconductor bodies includes a hard mask material thereon and insulating spacers on sidewalls of each of said semiconductor bodies; recessing a portion of said semiconductor substrate to form a pedestal region at a footprint of each of said semiconductor bodies; growing an oxide on exposed surfaces of said semiconductor substrate, including portions of said pedestal regions; forming an etched anisotropic oxide on said grown oxide; removing said insulating spacers wherein a gap forms between said anisotropic oxide and each of said semiconductor bodies; and forming a gate dielectric and a gate conductor, wherein said gate dielectric is present on each sidewall of said semiconductor bodies.
  • the method includes the steps of:
  • each of said Si-containing semiconductor bodies includes an oxide hard mask thereon and nitride spacers on sidewalls of each of said Si-containing semiconductor bodies; recessing a portion of said Si-containing semiconductor substrate to form a pedestal region at a footprint of each of said Si-containing semiconductor bodies; growing an oxide on exposed surfaces of said Si-containing semiconductor substrate, including portions of said pedestal regions; forming an etched back anisotropic oxide on said grown oxide; removing said nitride spacers wherein a gap forms between said anisotropic oxide and each of said semiconductor bodies; and forming a gate dielectric and a gate conductor, wherein said gate dielectric is present on each sidewall of said Si-containing semiconductor bodies.
  • the present invention provides a semiconductor structure.
  • the semiconductor structure comprises:
  • each of said FinFETs includes a gate dielectric and a gate electrode surrounding a semiconductor body, wherein a portion of said gate dielectric and said gate electrode are located in a region between an etched back anisotropic oxide and said semiconductor body.
  • the inventive structure provides improved gate to source/drain control since a portion of the gate dielectric and the gate conductor are located in a region between the etched back anisotropic oxide and the semiconductor body.
  • FIGS. 1-15 are pictorial representations (through cross sectional views) illustrating the basic processing steps of the present invention.
  • the present invention provides a method of fabricating a plurality of FinFETs in a semiconductor substrate in which the gate width of each individual FinFET is defined utilizing only a single etching process, instead of two or more.
  • the inventive method results in improved gate width control and less variation of the gate width of each individual gate across the entire surface of the substrate.
  • the inventive method achieves the above by utilizing a modified sidewall image transfer (SIT) process in which an insulating spacer that is later replaced by a gate conductor, such as, polysilicon, is employed and a high-density bottom up oxide fill is used to isolate the gate from the substrate.
  • SIT modified sidewall image transfer
  • FIGS. 1-15 illustrate the basic processing steps that are utilized in the present invention for forming a plurality of FinFETs on a semiconductor substrate.
  • FIG. 1 is a cross sectional view of an initial structure 10 that can be employed in the present invention.
  • the initial structure 10 shown in FIG. 1 is either a semiconductor-on-insulator substrate (SOI) or a pseudo SOI like substrate.
  • SOI semiconductor-on-insulator substrate
  • pseudo SOI like substrates are employed.
  • the term “pseudo SOI like” is used in the present application to denote a structure that has SOI like properties, yet the insulating layer and the top semiconductor layer are formed on a bulk Si containing substrate utilizing only deposition or growing processes.
  • the initial structure 10 shown in FIG. 1 comprises a bottom Si-containing semiconductor layer 12 , an insulating layer 14 , and a top Si-containing semiconductor layer 16 .
  • Si-containing is used throughout the instant application to denote a semiconductor material that includes Si.
  • Illustrative examples of Si-containing semiconductor materials include, Si, SiGe, SiC, SiGeC, amorphous Si and multilayers thereof.
  • the top and bottom Si-containing semiconductor layers 16 and 12 may comprise the same, or different, Si-containing semiconductor material.
  • the top and bottom semiconductor layers comprise Si.
  • the bottom Si-containing layer 12 comprises Si, while the top Si-containing semiconductor material comprises amorphous Si.
  • the insulating layer 14 may be a crystalline or non-crystalline oxide or nitride. In a preferred embodiment of the present invention, the insulating layer 14 is an oxide.
  • the insulating layer 14 may be continuous, as shown, or it may be discontinuous. When a discontinuous insulating layer is present, the insulating layer exists as an isolated island that is surrounded by semiconductor material.
  • the initial structure 10 may be formed utilizing standard processes including for example, SIMOX (separation by ion implantation of oxygen) or layer transfer.
  • SIMOX separation by ion implantation of oxygen
  • layer transfer an optional thinning step may follow the bonding of two semiconductor wafers together. The optional thinning step reduces the thickness of the top semiconductor layer to a layer having a thickness that is more desirable.
  • the pseudo SOI like substrate is formed by first forming the insulating layer 14 on a surface of a bulk substrate (i.e., the bottom Si-containing semiconductor layer 12 ).
  • the insulating layer 14 may be formed by thermal means such as, for example, oxidation or nitridation.
  • the insulating layer 14 of the pseudo SOI like substrate can be formed by a conventional deposition process including, but not limited to, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), chemical solution deposition, evaporation, and atomic layer deposition (ALD).
  • the top Si-containing semiconductor layer 16 of the pseudo SOI like substrate is formed by a conventional deposition process such as, for example, epitaxial growth.
  • the thickness of the top Si-containing semiconductor layer 16 of the structure 10 is typically from about 100 to about 1000 ⁇ , with a thickness from about 500 to about 700 ⁇ being more highly preferred. If the thickness of the top Si-containing semiconductor layer 16 is not within the above-mentioned range, a thinning step such as, for example, planarization or etching may be used to reduce the thickness of the top Si-containing semiconductor layer 16 to a value within the range mentioned above. The thinning step is performed prior to patterning the top Si-containing semiconductor layer 16 .
  • the insulating layer 14 of the structure 10 has a thickness from about 10 to about 2000 ⁇ , with a thickness from about 1000 to about 1500 ⁇ being more highly preferred.
  • the thickness of the bottom Si-containing semiconductor layer 12 is inconsequential to the present invention.
  • FIG. 2 shows the resulting structure that is formed after the top Si-containing semiconductor layer 16 has been patterned to form a plurality of patterned Si-containing semiconductor layers 16 ′ on the surface of insulating layer 14 .
  • the plurality of patterned semiconductor layers 16 ′ is formed by first providing a hard mask (not shown) on a surface of the top semiconductor layer 16 utilizing a conventional deposition process such as, for example, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), chemical solution deposition, evaporation and other like deposition processes.
  • the hard mask may be formed by a thermal process such as, for example, oxidation or nitridation. Any combination of the above mentioned processes can also be used in forming the hard mask.
  • the hard mask comprises an oxide, nitride, oxynitride or any combination thereof including multilayers.
  • the hard mask is an oxide including, for example, silicon oxide or silicon oxynitride.
  • the thickness of the hard mask may vary depending on the technique used in forming the same, the material of the hard mask itself, and the number of layers within the hard mask layer. Typically, the hard mask has a thickness from about 200 to about 800 ⁇ . It is noted that the hard mask is utilized in the present invention during a subsequent etching of the top semiconductor layer 16 and is then typically removed from the structure utilizing a conventional stripping process.
  • the lithographic process includes applying a photoresist (not shown) atop the hard mask, exposing the photoresist to a desired pattern of radiation, and developing the exposed resist utilizing a conventional resist developer.
  • the etching process comprises drying etching and/or wet chemical etching.
  • suitable dry etching processes include reactive ion etching, ion beam etching, plasma etching or laser ablation. Typically, a reactive ion etching process or an ion beam etching process is used.
  • the etching process first transfers the pattern from the patterned photoresist to the hard mask and thereafter to the underlying top Si-containing semiconductor layer 16 .
  • the patterned photoresist is typically, but not necessarily always, removed after the pattern has been transferred to the hard mask.
  • a conventional resist stripping process is used to remove the patterned photoresist from the structure.
  • FIG. 3 shows the structure that is formed after forming a dielectric liner 18 on all exposed surfaces of the structure shown in FIG. 2 , including exposed surfaces of insulating layer 14 and exposed surfaces of the plurality of patterned Si-containing semiconductor layers 16 ′.
  • the dielectric liner 18 comprises an insulating material that is different from that of the insulating layer 14 .
  • the insulating layer 14 is an oxide
  • the dielectric liner 18 is a nitride.
  • the different types of insulating materials are required to provide etch selectivity for a subsequent etch that is used in defining the plurality of Fins in the bottom Si-containing layer 12 .
  • the dielectric liner 18 is formed by either a thermal process or by a deposition process including those mentioned above for forming insulating layer 14 .
  • the thickness of the dielectric liner 18 may vary depending on the technique used in forming the same. Typically, the dielectric liner 18 has a thickness from about 1 to about 20 nm, with a thickness from about 10 to about 20 nm being even more typical.
  • a timed etching process such as, for example, reactive ion etching is employed to convert the dielectric liner 18 into insulating spacers 20 .
  • each insulating spacer 20 that is formed protects a lower portion of a patterned Si-containing semiconductor layer 16 ′, while leaving an upper portion, including the upper horizontal surface, of each patterned Si-containing layer 16 ′ exposed.
  • the timed etching process employed is selective for removing the dielectric liner 18 .
  • FIG. 5 shows the resultant structure that is formed after each of the patterned Si-containing semiconductor layers 16 ′ has been removed from the structure illustrated in FIG. 4 .
  • This removal step leaves behind insulating spacers 20 that are used in defining the plurality of Fins in the underlying bottom semiconductor layer 12 .
  • the removal of the patterned Si-containing semiconductor layers 16 ′ is achieved utilizing an etching process that selectively removes silicon as compared to an insulating material.
  • FIG. 6 shows the structure that is formed after removing exposed portions of the insulating layer 14 that are not protected by insulating spacers 20 .
  • the remaining insulating layers are used as hard masks 14 ′ for forming Fins (i.e., semiconducting bodies) into the underlying bottom semiconductor layer 12 .
  • the removal of the exposed portions of insulating layer 14 ′ not protected by insulating spacers 20 is achieved utilizing an etching process that selectively removes the material of insulating layer 14 , relative to insulating spacers 20 .
  • RIE reactive ion etching
  • the insulating spacers 20 are removed utilizing an etching process that selectively removes the insulating spacers 20 .
  • an etching process that selectively removes the insulating spacers 20 .
  • a plasma etch or a wet hot phosphoric acid etch can be used in this step of the present application.
  • the resultant structure that is formed after the insulating spacers 20 have been removed from the structure is shown, for example, in FIG. 7 .
  • FIG. 8 shows the structure after forming a plurality of Fins 22 (i.e., semiconducting bodies) into the bottom semiconductor layer 12 .
  • the plurality of Fins 22 are formed by utilizing a single timed etching process that is selective for removing semiconducting material relative to an insulating material.
  • a reactive ion etching process including CF 4 as the etchant chemistry can be used.
  • Each of the Fins 22 so formed has a narrow width from about 10 to about 20 nm, and a vertical height from about 50 to about 100 nm.
  • FIG. 1-8 illustrates the formation of Fins (or semiconductor bodies) 22 in a Si-containing substrate using a sidewall image process.
  • a thermal oxidation process can optionally be performed to form a sacrificial layer on all of the exposed surfaces shown in FIG. 8 .
  • this sacrificial oxide layer is not shown for clarity.
  • FIG. 9 shows the structure after forming a dielectric layer 24 on all the exposed surfaces of the structure shown in FIG. 8 .
  • the dielectric layer 24 is comprised of a different insulator as compared to hard mask 14 ′.
  • the dielectric layer 24 is comprised of a nitride.
  • the dielectric layer 24 can be formed utilizing one of the techniques described above in forming the dielectric liner 18 .
  • a timed etching process such as, for example, reactive ion etching is employed to convert the dielectric layer 24 into second insulating spacers 24 ′.
  • each insulating spacer 24 ′ that is formed protects a lower portion of each FIN 22 , while leaving at least a portion of the hard mask 14 ′ exposed.
  • the timed etching process employed is selective for removing the third insulating layer 24 .
  • a recessed etching process such as, for example, isotopic etching or anisotropic reactive ion etching, is then performed to form a pedestal region 26 within the bottom semiconductor layer 12 that is beneath (i.e., at the footprint) of each of the Fins 22 .
  • the resultant structure including the pedestal region 26 is shown, for example, in FIG. 11 .
  • FIG. 12 shows the structure of FIG. 11 after performing a local oxidation process that grows oxide liner 28 on all exposed surfaces.
  • the thickness of the oxide liner 28 that is formed should be limited in a way such that semiconductor material 26 ′ is left beneath each of the Fins 22 . Note that during the local oxidation process, the oxide liner consumes some of the pedestal region 26 .
  • the local oxidation process is performed in an oxygen-containing ambient and an oxidation temperature of about 1000° C. or greater is typically employed.
  • an anisotropic oxide is deposited using a high density plasma CVD process.
  • the characteristic of this process is a bottom up fill with limited deposition on the sidewalls of each of the Fins 22 .
  • a sidewall oxide etch back process is then performed forming the structure which is shown in FIG. 13 .
  • reference numeral 30 denotes the deposited and etched back high-density plasma oxide.
  • FIG. 14 shows the structure that is formed after selectively removing the insulating spacers 24 ′ from the structure. Specifically, an etching process that selectively removes the spacer material relative to oxide and semiconducting material is used in this step of the present application.
  • an intrinsic plasma etch of a hot phosphoric acid etch is employed. It is noted that a gap 31 forms as shown in FIG. 14 .
  • This gap 31 offers a thinner oxide at the gate to source/drain overlap region, while still maintaining a thicker oxide between Fins. This provides a good gate to source/drain control, while keeping gate-to-gate capacitance low.
  • the optional sacrificial oxide layer is removed by a HF based wet etch, and a gate dielectric such as an oxide, nitride, oxynitride, or multilayers thereof is then formed.
  • a gate dielectric such as an oxide, nitride, oxynitride, or multilayers thereof is then formed.
  • the gate dielectric which is present on the sidewalls of each of the Fins, has been omitted for clarity.
  • the gate dielectric can be formed by a thermal growth process such as, for example, oxidation, nitridation or oxynitridation.
  • the gate dielectric can be formed by a deposition process such as, for example, chemical vapor deposition (CVD), plasma-assisted CVD, metalorganic chemical vapor deposition (MOCVD), atomic layer deposition (ALD), evaporation, reactive sputtering, chemical solution deposition and other like deposition processes.
  • CVD chemical vapor deposition
  • MOCVD metalorganic chemical vapor deposition
  • ALD atomic layer deposition
  • evaporation reactive sputtering
  • chemical solution deposition chemical solution deposition and other like deposition processes.
  • the gate dielectric may also be formed utilizing any combination of the above processes.
  • the gate dielectric is comprised of an insulating material having a dielectric constant of about 4.0 or greater. All dielectric constants mentioned herein are relative to a vacuum unless otherwise noted.
  • the gate dielectric comprises a high k material.
  • the term “high k” denotes a dielectric having a dielectric constant of greater than 4.0, preferably greater than 7.0.
  • the gate dielectric employed in the present invention includes, but is not limited to: an oxide, nitride, oxynitride and/or silicate including metal silicates and nitrided metal silicates.
  • the gate dielectric is comprised of an oxide such as, for example, SiO 2 , HfO 2 , ZrO 2 , Al 2 O 3 , TiO 2 , La 2 O 3 , SrTiO 3 , LaAlO 3 , Y 2 O 3 , Ga 2 O 3 , GdGaO and mixtures thereof.
  • oxide such as, for example, SiO 2 , HfO 2 , ZrO 2 , Al 2 O 3 , TiO 2 , La 2 O 3 , SrTiO 3 , LaAlO 3 , Y 2 O 3 , Ga 2 O 3 , GdGaO and mixtures thereof.
  • Highly preferred examples of gate dielectrics include HfO 2 , hafnium silicate and hafnium silicon oxynitride.
  • the physical thickness of the gate dielectric may vary, but typically, the gate dielectric has a thickness from about 0.5 to about 10 nm, with a thickness from about 0.5 to about 3 nm
  • the gate electrode 32 is comprised of a conductive material, including, for example, polySi, SiGe, a metal, a metal alloy, a metal silicide, a metal nitride, a metal carbide or combinations including multilayers thereof.
  • a diffusion barrier (not shown), such as TiN or TaN, can be positioned between each of the conductive layers.
  • the gate electrode 32 is formed utilizing a conventional deposition process including, for example, chemical vapor deposition, plasma enhanced chemical vapor deposition, atomic layer deposition, sputtering, plating, evaporation and any other like deposition processes.
  • a conventional deposition process including, for example, chemical vapor deposition, plasma enhanced chemical vapor deposition, atomic layer deposition, sputtering, plating, evaporation and any other like deposition processes.
  • an in-situ deposition process can be used or alternatively deposition followed by ion implantation can be used.
  • the thickness of the gate electrode 32 is not critically to the present invention. Typically, however, the thickness of the gate electrode 32 is from about 50 to about 200 nm.
  • the gate electrode 32 is planarized utilizing a conventional planarization process such as, for example, chemical mechanical polishing (CMP) and/or grinding.
  • CMP chemical mechanical polishing
  • the resultant structure including the planarized gate electrode 32 is shown, for example, in FIG. 15 .
  • CMOS processing steps including the patterning of the gate conductor, spacer formation, halo, extension and source/drain implantations, and metallization can be performed.

Abstract

A method of fabricating a plurality of FinFETs on a semiconductor substrate in which the gate width of each individual FinFET is defined utilizing only a single etching process, instead of two or more, is provided. The inventive method results in improved gate width control and less variation of the gate width of each individual gate across the entire surface of the substrate. The inventive method achieves the above by utilizing a modified sidewall image transfer (SIT) process in which an insulating spacer that is later replaced by a gate conductor is employed and a high-density bottom up oxide fill is used to isolate the gate from the substrate.

Description

    RELATED APPLICATION
  • This application is a divisional of U.S. patent application Ser. No. 11/559,460, filed Nov. 14, 2006.
  • FIELD OF THE INVENTION
  • The present invention relates to semiconductor device fabrication, and more particularly to a method of forming a plurality of FinFETs in which the gate width of each of the FinFETs is defined utilizing a single etching process that results in improved gate width control.
  • BACKGROUND OF THE INVENTION
  • Over the past twenty-five years or so, the primary challenge of very large scale integration (VLSI) has been the integration of an ever-increasing number of metal oxide semiconductor field effect transistor (MOSFET) devices with high yield and reliability. This was achieved mainly in the prior art by scaling down the MOSFET channel length without excessive short-channel effects. As is known to those skilled in the art, short-channel effects are the decrease of threshold voltage Vt in short-channel devices due to two-dimensional electrostatic charge sharing between the gate and the source/drain diffusion regions.
  • To scale down MOSFET channel lengths without excessive short-channel effects, gate oxide thickness has to be reduced while increasing channel-doping concentration. However, Yan, et al., “Scaling the Si MOSFET: From bulk to SOI to bulk”, IEEE Trans. Elect. Dev., Vol. 39, p. 1704, July 1992, have shown that to reduce short-channel effects for sub-0.05 μm MOSFETs, it is important to have a backside-conducting layer present in the structure that screens the drain field away from the channel. The Yan, et al. results show that double-gated MOSFETs and MOSFETs with a top gate and a backside ground plane are more immune to short-channel effects and hence can be scaled to shorter dimensions than conventional MOSFETs.
  • The structure of a typical prior art double-gated MOSFET consists of a very thin vertical semiconductor layer (Fin) for the channel, with two gates, one on each side of the channel. The term “Fin” is used herein to denote a semiconducting material which is employed as the body of the FET. The two gates are electrically connected so that they serve to modulate the channel. Short-channel effects are greatly suppressed in such a structure because the two gates very effectively terminate the drain field line preventing the drain potential from being felt at the source end of the channel. Consequently, the variation of the threshold voltage with drain voltage and with gate length of a prior art double-gated MOSFET is much smaller than that of a conventional single-gated structure of the same channel length.
  • In the semiconductor industry, semiconductor Fins can be processed either by optical or ebeam lithographic processes in combination with trimming processes such as, for example, resist trimming, hard mask trimming or oxidation trimming. Another method of trimming is by utilizing a sidewall image transfer (SIT) process. The SIT process provides very high-density structures that are independent of lithographic resolution and pitch. The SIT process is based upon a spacer that is formed on the sidewall of an optical defined structure. The spacer is used as a mask to define the structure of the underlying layer or layers.
  • In conventional FinFET fabrication processes two etching steps are used (one during the lithographic step and another during trimming) which greatly decreases the possibility of forming FinFETs having controlled gate widths.
  • In view of the above, there is a need for providing a new and improved method of fabricating FinFETs wherein improved gate width control is provided that eliminates the need for utilizing a combination of optical or ebeam lithography and trimming.
  • SUMMARY OF THE INVENTION
  • The present invention provides a method of fabricating a plurality of FinFETs on a semiconductor substrate in which the gate width of each individual FinFET is defined utilizing only a single etching process, instead of two or more. The inventive method results in improved gate width control and less variation of the gate width of each individual gate across the entire surface of the substrate. The inventive method achieves the above by utilizing a modified sidewall image transfer (SIT) process in which an insulating spacer that is later replaced by a gate conductor is employed and a high-density bottom up oxide fill is used to isolate the gate from the substrate.
  • In general terms, the inventive method comprises:
  • forming a plurality of semiconductor bodies that extend above a semiconductor substrate, each of said semiconductor bodies includes a hard mask material thereon and insulating spacers on sidewalls of each of said semiconductor bodies;
    recessing a portion of said semiconductor substrate to form a pedestal region at a footprint of each of said semiconductor bodies;
    growing an oxide on exposed surfaces of said semiconductor substrate, including portions of said pedestal regions;
    forming an etched anisotropic oxide on said grown oxide;
    removing said insulating spacers wherein a gap forms between said anisotropic oxide and each of said semiconductor bodies; and
    forming a gate dielectric and a gate conductor, wherein said gate dielectric is present on each sidewall of said semiconductor bodies.
  • In a preferred embodiment of the present invention, the method includes the steps of:
  • forming a plurality of Si-containing semiconductor bodies that extend above a Si-containing semiconductor substrate, each of said Si-containing semiconductor bodies includes an oxide hard mask thereon and nitride spacers on sidewalls of each of said Si-containing semiconductor bodies;
    recessing a portion of said Si-containing semiconductor substrate to form a pedestal region at a footprint of each of said Si-containing semiconductor bodies;
    growing an oxide on exposed surfaces of said Si-containing semiconductor substrate, including portions of said pedestal regions;
    forming an etched back anisotropic oxide on said grown oxide;
    removing said nitride spacers wherein a gap forms between said anisotropic oxide and each of said semiconductor bodies; and
    forming a gate dielectric and a gate conductor, wherein said gate dielectric is present on each sidewall of said Si-containing semiconductor bodies.
  • In addition to the method described above, the present invention provides a semiconductor structure. In accordance with the present invention, the semiconductor structure comprises:
  • a plurality of FinFETs located on a raised surface of a semiconductor substrate, wherein each of said FinFETs includes a gate dielectric and a gate electrode surrounding a semiconductor body, wherein a portion of said gate dielectric and said gate electrode are located in a region between an etched back anisotropic oxide and said semiconductor body.
  • The inventive structure provides improved gate to source/drain control since a portion of the gate dielectric and the gate conductor are located in a region between the etched back anisotropic oxide and the semiconductor body.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1-15 are pictorial representations (through cross sectional views) illustrating the basic processing steps of the present invention.
  • DETAILED DESCRIPTION OF THE INVENTION
  • The present invention, which provides a method of fabricating FinFETs utilizing a single etching process which results in improved gate width control, will now be described in greater detail by referring to the following discussion and drawings that accompany the present application. It is noted that the drawings of the present application are provided for illustrative purposes and, as such, they are not drawn to scale.
  • In the following description, numerous specific details are set forth, such as particular structures, components, materials, dimensions, processing steps and techniques, in order to provide a thorough understanding of the present invention. However, it will be appreciated by one of ordinary skill in the art that the invention may be practiced with viable alternative process options without these specific details. In other instances, well-known structures or processing steps have not been described in detail in order to avoid obscuring the invention.
  • It will be understood that when an element as a layer, region or substrate is referred to as being “on” or “over” another element, it can be directly on the other element or intervening elements may also be present. In contrast, when an element is referred to as being “directly on” or “directly over” another element, there are no intervening elements present. It will also be understood that when an element is referred to as being “beneath” or “under” another element, it can be directly beneath or under the other element, or intervening elements may be present. In contrast, when an element is referred to as being “directly beneath” or “directly under” another element, there are no intervening elements present.
  • As stated above, the present invention provides a method of fabricating a plurality of FinFETs in a semiconductor substrate in which the gate width of each individual FinFET is defined utilizing only a single etching process, instead of two or more. The inventive method results in improved gate width control and less variation of the gate width of each individual gate across the entire surface of the substrate. The inventive method achieves the above by utilizing a modified sidewall image transfer (SIT) process in which an insulating spacer that is later replaced by a gate conductor, such as, polysilicon, is employed and a high-density bottom up oxide fill is used to isolate the gate from the substrate.
  • Reference is now made to FIGS. 1-15 which illustrate the basic processing steps that are utilized in the present invention for forming a plurality of FinFETs on a semiconductor substrate.
  • FIG. 1 is a cross sectional view of an initial structure 10 that can be employed in the present invention. Specifically, the initial structure 10 shown in FIG. 1 is either a semiconductor-on-insulator substrate (SOI) or a pseudo SOI like substrate. Preferably, pseudo SOI like substrates are employed. The term “pseudo SOI like” is used in the present application to denote a structure that has SOI like properties, yet the insulating layer and the top semiconductor layer are formed on a bulk Si containing substrate utilizing only deposition or growing processes.
  • The initial structure 10 shown in FIG. 1 comprises a bottom Si-containing semiconductor layer 12, an insulating layer 14, and a top Si-containing semiconductor layer 16. The term “Si-containing” is used throughout the instant application to denote a semiconductor material that includes Si. Illustrative examples of Si-containing semiconductor materials include, Si, SiGe, SiC, SiGeC, amorphous Si and multilayers thereof.
  • The top and bottom Si-containing semiconductor layers 16 and 12, respectively, may comprise the same, or different, Si-containing semiconductor material. In one embodiment, the top and bottom semiconductor layers comprise Si. In yet another embodiment, the bottom Si-containing layer 12 comprises Si, while the top Si-containing semiconductor material comprises amorphous Si.
  • The insulating layer 14 may be a crystalline or non-crystalline oxide or nitride. In a preferred embodiment of the present invention, the insulating layer 14 is an oxide. The insulating layer 14 may be continuous, as shown, or it may be discontinuous. When a discontinuous insulating layer is present, the insulating layer exists as an isolated island that is surrounded by semiconductor material.
  • The initial structure 10 may be formed utilizing standard processes including for example, SIMOX (separation by ion implantation of oxygen) or layer transfer. When a layer transfer process is employed, an optional thinning step may follow the bonding of two semiconductor wafers together. The optional thinning step reduces the thickness of the top semiconductor layer to a layer having a thickness that is more desirable.
  • When a pseudo SOI like substrate is used as structure 10, the pseudo SOI like substrate is formed by first forming the insulating layer 14 on a surface of a bulk substrate (i.e., the bottom Si-containing semiconductor layer 12). The insulating layer 14 may be formed by thermal means such as, for example, oxidation or nitridation. Alternatively, the insulating layer 14 of the pseudo SOI like substrate can be formed by a conventional deposition process including, but not limited to, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), chemical solution deposition, evaporation, and atomic layer deposition (ALD). The top Si-containing semiconductor layer 16 of the pseudo SOI like substrate is formed by a conventional deposition process such as, for example, epitaxial growth.
  • The thickness of the top Si-containing semiconductor layer 16 of the structure 10 is typically from about 100 to about 1000 Å, with a thickness from about 500 to about 700 Å being more highly preferred. If the thickness of the top Si-containing semiconductor layer 16 is not within the above-mentioned range, a thinning step such as, for example, planarization or etching may be used to reduce the thickness of the top Si-containing semiconductor layer 16 to a value within the range mentioned above. The thinning step is performed prior to patterning the top Si-containing semiconductor layer 16.
  • The insulating layer 14 of the structure 10 has a thickness from about 10 to about 2000 Å, with a thickness from about 1000 to about 1500 Å being more highly preferred. The thickness of the bottom Si-containing semiconductor layer 12 is inconsequential to the present invention.
  • FIG. 2 shows the resulting structure that is formed after the top Si-containing semiconductor layer 16 has been patterned to form a plurality of patterned Si-containing semiconductor layers 16′ on the surface of insulating layer 14. The plurality of patterned semiconductor layers 16′ is formed by first providing a hard mask (not shown) on a surface of the top semiconductor layer 16 utilizing a conventional deposition process such as, for example, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), chemical solution deposition, evaporation and other like deposition processes. Alternatively, the hard mask may be formed by a thermal process such as, for example, oxidation or nitridation. Any combination of the above mentioned processes can also be used in forming the hard mask.
  • The hard mask comprises an oxide, nitride, oxynitride or any combination thereof including multilayers. In one embodiment of the present invention, the hard mask is an oxide including, for example, silicon oxide or silicon oxynitride. The thickness of the hard mask may vary depending on the technique used in forming the same, the material of the hard mask itself, and the number of layers within the hard mask layer. Typically, the hard mask has a thickness from about 200 to about 800 Å. It is noted that the hard mask is utilized in the present invention during a subsequent etching of the top semiconductor layer 16 and is then typically removed from the structure utilizing a conventional stripping process.
  • After forming the hard mask, a lithographic process and etching are employed. The lithographic process includes applying a photoresist (not shown) atop the hard mask, exposing the photoresist to a desired pattern of radiation, and developing the exposed resist utilizing a conventional resist developer. The etching process comprises drying etching and/or wet chemical etching. Illustrative examples of suitable dry etching processes that can be used in the present invention include reactive ion etching, ion beam etching, plasma etching or laser ablation. Typically, a reactive ion etching process or an ion beam etching process is used. The etching process first transfers the pattern from the patterned photoresist to the hard mask and thereafter to the underlying top Si-containing semiconductor layer 16. The patterned photoresist is typically, but not necessarily always, removed after the pattern has been transferred to the hard mask. A conventional resist stripping process is used to remove the patterned photoresist from the structure.
  • FIG. 3 shows the structure that is formed after forming a dielectric liner 18 on all exposed surfaces of the structure shown in FIG. 2, including exposed surfaces of insulating layer 14 and exposed surfaces of the plurality of patterned Si-containing semiconductor layers 16′. It is noted that the dielectric liner 18 comprises an insulating material that is different from that of the insulating layer 14. For example, and when the insulating layer 14 is an oxide, the dielectric liner 18 is a nitride. The different types of insulating materials are required to provide etch selectivity for a subsequent etch that is used in defining the plurality of Fins in the bottom Si-containing layer 12.
  • The dielectric liner 18 is formed by either a thermal process or by a deposition process including those mentioned above for forming insulating layer 14. The thickness of the dielectric liner 18 may vary depending on the technique used in forming the same. Typically, the dielectric liner 18 has a thickness from about 1 to about 20 nm, with a thickness from about 10 to about 20 nm being even more typical.
  • Next, and as is shown in FIG. 4, a timed etching process such as, for example, reactive ion etching is employed to convert the dielectric liner 18 into insulating spacers 20. As shown, each insulating spacer 20 that is formed protects a lower portion of a patterned Si-containing semiconductor layer 16′, while leaving an upper portion, including the upper horizontal surface, of each patterned Si-containing layer 16′ exposed. The timed etching process employed is selective for removing the dielectric liner 18.
  • FIG. 5 shows the resultant structure that is formed after each of the patterned Si-containing semiconductor layers 16′ has been removed from the structure illustrated in FIG. 4. This removal step leaves behind insulating spacers 20 that are used in defining the plurality of Fins in the underlying bottom semiconductor layer 12. The removal of the patterned Si-containing semiconductor layers 16′ is achieved utilizing an etching process that selectively removes silicon as compared to an insulating material.
  • FIG. 6 shows the structure that is formed after removing exposed portions of the insulating layer 14 that are not protected by insulating spacers 20. The remaining insulating layers are used as hard masks 14′ for forming Fins (i.e., semiconducting bodies) into the underlying bottom semiconductor layer 12. The removal of the exposed portions of insulating layer 14′ not protected by insulating spacers 20, is achieved utilizing an etching process that selectively removes the material of insulating layer 14, relative to insulating spacers 20. For example, when the insulating layer 14 is an oxide, and insulating spacers 20 comprise a nitride, a reactive ion etching (RIE) etch can be used in this step of the present application.
  • After forming the hard masks 14′, the insulating spacers 20 are removed utilizing an etching process that selectively removes the insulating spacers 20. In the embodiment when each of the hard masks 14′ is an oxide, and insulating spacers 20 comprise a nitride, a plasma etch or a wet hot phosphoric acid etch can be used in this step of the present application. The resultant structure that is formed after the insulating spacers 20 have been removed from the structure is shown, for example, in FIG. 7.
  • FIG. 8 shows the structure after forming a plurality of Fins 22 (i.e., semiconducting bodies) into the bottom semiconductor layer 12. The plurality of Fins 22 are formed by utilizing a single timed etching process that is selective for removing semiconducting material relative to an insulating material. For example, a reactive ion etching process including CF4 as the etchant chemistry can be used. Each of the Fins 22 so formed has a narrow width from about 10 to about 20 nm, and a vertical height from about 50 to about 100 nm.
  • In it noted that FIG. 1-8 illustrates the formation of Fins (or semiconductor bodies) 22 in a Si-containing substrate using a sidewall image process.
  • At this point of the present invention, a thermal oxidation process can optionally be performed to form a sacrificial layer on all of the exposed surfaces shown in FIG. 8. In the drawings of the present invention, this sacrificial oxide layer is not shown for clarity.
  • FIG. 9 shows the structure after forming a dielectric layer 24 on all the exposed surfaces of the structure shown in FIG. 8. The dielectric layer 24 is comprised of a different insulator as compared to hard mask 14′. For example, when hard mask 14′ is comprised of an oxide, the dielectric layer 24 is comprised of a nitride. The dielectric layer 24 can be formed utilizing one of the techniques described above in forming the dielectric liner 18.
  • Next, and as is shown in FIG. 10, a timed etching process such as, for example, reactive ion etching is employed to convert the dielectric layer 24 into second insulating spacers 24′. As shown, each insulating spacer 24′ that is formed protects a lower portion of each FIN 22, while leaving at least a portion of the hard mask 14′ exposed. The timed etching process employed is selective for removing the third insulating layer 24.
  • A recessed etching process such as, for example, isotopic etching or anisotropic reactive ion etching, is then performed to form a pedestal region 26 within the bottom semiconductor layer 12 that is beneath (i.e., at the footprint) of each of the Fins 22. The resultant structure including the pedestal region 26 is shown, for example, in FIG. 11.
  • FIG. 12 shows the structure of FIG. 11 after performing a local oxidation process that grows oxide liner 28 on all exposed surfaces. The thickness of the oxide liner 28 that is formed should be limited in a way such that semiconductor material 26′ is left beneath each of the Fins 22. Note that during the local oxidation process, the oxide liner consumes some of the pedestal region 26. The local oxidation process is performed in an oxygen-containing ambient and an oxidation temperature of about 1000° C. or greater is typically employed.
  • In the next step of the present invention, an anisotropic oxide is deposited using a high density plasma CVD process. The characteristic of this process is a bottom up fill with limited deposition on the sidewalls of each of the Fins 22. A sidewall oxide etch back process is then performed forming the structure which is shown in FIG. 13. In FIG. 13, reference numeral 30 denotes the deposited and etched back high-density plasma oxide.
  • FIG. 14 shows the structure that is formed after selectively removing the insulating spacers 24′ from the structure. Specifically, an etching process that selectively removes the spacer material relative to oxide and semiconducting material is used in this step of the present application. In particular, and when the insulating spacers 24′ are nitride, an intrinsic plasma etch of a hot phosphoric acid etch is employed. It is noted that a gap 31 forms as shown in FIG. 14. This gap 31 offers a thinner oxide at the gate to source/drain overlap region, while still maintaining a thicker oxide between Fins. This provides a good gate to source/drain control, while keeping gate-to-gate capacitance low.
  • After forming the structure shown in FIG. 14, the optional sacrificial oxide layer is removed by a HF based wet etch, and a gate dielectric such as an oxide, nitride, oxynitride, or multilayers thereof is then formed. The gate dielectric which is present on the sidewalls of each of the Fins, has been omitted for clarity. The gate dielectric can be formed by a thermal growth process such as, for example, oxidation, nitridation or oxynitridation. Alternatively, the gate dielectric can be formed by a deposition process such as, for example, chemical vapor deposition (CVD), plasma-assisted CVD, metalorganic chemical vapor deposition (MOCVD), atomic layer deposition (ALD), evaporation, reactive sputtering, chemical solution deposition and other like deposition processes. The gate dielectric may also be formed utilizing any combination of the above processes.
  • The gate dielectric is comprised of an insulating material having a dielectric constant of about 4.0 or greater. All dielectric constants mentioned herein are relative to a vacuum unless otherwise noted. In one embodiment, the gate dielectric comprises a high k material. The term “high k” denotes a dielectric having a dielectric constant of greater than 4.0, preferably greater than 7.0. Specifically, the gate dielectric employed in the present invention includes, but is not limited to: an oxide, nitride, oxynitride and/or silicate including metal silicates and nitrided metal silicates. In one embodiment, it is preferred that the gate dielectric is comprised of an oxide such as, for example, SiO2, HfO2, ZrO2, Al2O3, TiO2, La2O3, SrTiO3, LaAlO3, Y2O3, Ga2O3, GdGaO and mixtures thereof. Highly preferred examples of gate dielectrics include HfO2, hafnium silicate and hafnium silicon oxynitride. The physical thickness of the gate dielectric may vary, but typically, the gate dielectric has a thickness from about 0.5 to about 10 nm, with a thickness from about 0.5 to about 3 nm being more typical.
  • Next, a gate electrode 32 is formed. The gate electrode 32 is comprised of a conductive material, including, for example, polySi, SiGe, a metal, a metal alloy, a metal silicide, a metal nitride, a metal carbide or combinations including multilayers thereof. When multilayers are present, a diffusion barrier (not shown), such as TiN or TaN, can be positioned between each of the conductive layers.
  • The gate electrode 32 is formed utilizing a conventional deposition process including, for example, chemical vapor deposition, plasma enhanced chemical vapor deposition, atomic layer deposition, sputtering, plating, evaporation and any other like deposition processes. In embodiments in which poly Si or SiGe are used as the gate electrode 32, an in-situ deposition process can be used or alternatively deposition followed by ion implantation can be used. The thickness of the gate electrode 32 is not critically to the present invention. Typically, however, the thickness of the gate electrode 32 is from about 50 to about 200 nm.
  • After forming the gate dielectric and the gate electrode 32, the gate electrode 32 is planarized utilizing a conventional planarization process such as, for example, chemical mechanical polishing (CMP) and/or grinding. The resultant structure including the planarized gate electrode 32 is shown, for example, in FIG. 15.
  • Following the above processing steps which form the structure shown in FIG. 15 conventional CMOS processing steps including the patterning of the gate conductor, spacer formation, halo, extension and source/drain implantations, and metallization can be performed.
  • While the present invention has been particularly shown and described with respect to preferred embodiments thereof, it will be understood by those skilled in the art that the foregoing and other changes in forms and details may be made without departing from the spirit and scope of the present invention. It is therefore intended that the present invention not be limited to the exact forms and details described and illustrated, but fall within the scope of the appended claims.

Claims (6)

1. A semiconductor structure comprising a plurality of FinFETs located on a raised surface of a semiconductor substrate, wherein each of said FinFETs includes a gate dielectric and a gate electrode surrounding a semiconductor body, wherein a portion of said gate dielectric and said gate electrode are located in a region between an etched back anisotropic oxide and said semiconductor body.
2. The structure of claim 1 wherein said semiconductor substrate and said semiconductor body comprise the same Si-containing semiconductor material.
3. The structure of claim 1 wherein each of said FinFETs includes an oxide hard mask located atop said semiconductor body.
4. The structure of claim 1 wherein said etched back anisotropic oxide is located on a surface of a thermal oxide.
5. The structure of claim 1 wherein said gate dielectric is an oxide.
6. The structure of claim 1 wherein said gate conductor comprises polySi.
US12/342,655 2006-11-14 2008-12-23 Process for fabrication of FINFETs Active 2029-02-23 US8614485B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/342,655 US8614485B2 (en) 2006-11-14 2008-12-23 Process for fabrication of FINFETs

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/559,460 US7470570B2 (en) 2006-11-14 2006-11-14 Process for fabrication of FinFETs
US12/342,655 US8614485B2 (en) 2006-11-14 2008-12-23 Process for fabrication of FINFETs

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/559,460 Division US7470570B2 (en) 2006-11-14 2006-11-14 Process for fabrication of FinFETs

Publications (2)

Publication Number Publication Date
US20090101995A1 true US20090101995A1 (en) 2009-04-23
US8614485B2 US8614485B2 (en) 2013-12-24

Family

ID=39368398

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/559,460 Active 2027-03-23 US7470570B2 (en) 2006-11-14 2006-11-14 Process for fabrication of FinFETs
US12/342,655 Active 2029-02-23 US8614485B2 (en) 2006-11-14 2008-12-23 Process for fabrication of FINFETs

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/559,460 Active 2027-03-23 US7470570B2 (en) 2006-11-14 2006-11-14 Process for fabrication of FinFETs

Country Status (2)

Country Link
US (2) US7470570B2 (en)
CN (1) CN101183664B (en)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110111596A1 (en) * 2009-11-06 2011-05-12 International Business Machine Corporation Sidewall Image Transfer Using the Lithographic Stack as the Mandrel
US8557675B2 (en) 2011-11-28 2013-10-15 Globalfoundries Inc. Methods of patterning features in a structure using multiple sidewall image transfer technique
US8669186B2 (en) 2012-01-26 2014-03-11 Globalfoundries Inc. Methods of forming SRAM devices using sidewall image transfer techniques
US8679950B2 (en) * 2011-11-10 2014-03-25 Semiconductor Manufacturing International (Beijing) Corporation Manufacturing method for semiconductor device having side by side different fins
US20140256093A1 (en) * 2013-03-11 2014-09-11 Semiconductor Manufacturing Company, Ltd. FinFET Device Structure and Methods of Making Same
US20140308761A1 (en) * 2013-04-15 2014-10-16 United Microelectronics Corp. Sidewall Image Transfer Process
US20140353767A1 (en) * 2013-05-31 2014-12-04 Stmicroelectronics, Inc. Method for the formation of fin structures for finfet devices
US8946078B2 (en) 2012-03-22 2015-02-03 United Microelectronics Corp. Method of forming trench in semiconductor substrate
US20150155171A1 (en) * 2013-12-04 2015-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography Using High Selectivity Spacers for Pitch Reduction
US9196500B2 (en) 2013-04-09 2015-11-24 United Microelectronics Corp. Method for manufacturing semiconductor structures
US9263287B2 (en) 2013-05-27 2016-02-16 United Microelectronics Corp. Method of forming fin-shaped structure
US9437445B1 (en) * 2015-02-24 2016-09-06 International Business Machines Corporation Dual fin integration for electron and hole mobility enhancement
US9882028B2 (en) * 2016-06-29 2018-01-30 International Business Machines Corporation Pitch split patterning for semiconductor devices

Families Citing this family (109)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080237719A1 (en) * 2007-03-28 2008-10-02 Doyle Brian S Multi-gate structure and method of doping same
KR100876892B1 (en) * 2007-06-29 2009-01-07 주식회사 하이닉스반도체 Method for manufacturing semiconductor device
GB2474867A (en) 2009-10-28 2011-05-04 Bombardier Transp Gmbh Transferring electric energy to a vehicle using consecutive segments
US8310013B2 (en) * 2010-02-11 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a FinFET device
US8399931B2 (en) * 2010-06-30 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Layout for multiple-fin SRAM cell
US8729627B2 (en) * 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US8614152B2 (en) 2011-05-25 2013-12-24 United Microelectronics Corp. Gate structure and a method for forming the same
US8772860B2 (en) 2011-05-26 2014-07-08 United Microelectronics Corp. FINFET transistor structure and method for making the same
US9184100B2 (en) 2011-08-10 2015-11-10 United Microelectronics Corp. Semiconductor device having strained fin structure and method of making the same
US9105660B2 (en) 2011-08-17 2015-08-11 United Microelectronics Corp. Fin-FET and method of forming the same
US8853013B2 (en) 2011-08-19 2014-10-07 United Microelectronics Corp. Method for fabricating field effect transistor with fin structure
US8674433B2 (en) 2011-08-24 2014-03-18 United Microelectronics Corp. Semiconductor process
US8691651B2 (en) 2011-08-25 2014-04-08 United Microelectronics Corp. Method of forming non-planar FET
CN102969345B (en) * 2011-08-31 2015-04-01 中芯国际集成电路制造(上海)有限公司 Fin field-effect tube with T-shaped fin portion and forming method of fin field-effect tube
US8441072B2 (en) 2011-09-02 2013-05-14 United Microelectronics Corp. Non-planar semiconductor structure and fabrication method thereof
CN103000505B (en) * 2011-09-16 2015-10-14 中芯国际集成电路制造(上海)有限公司 The formation method of multi-gate device
US8426277B2 (en) 2011-09-23 2013-04-23 United Microelectronics Corp. Semiconductor process
US8497198B2 (en) 2011-09-23 2013-07-30 United Microelectronics Corp. Semiconductor process
US8723236B2 (en) * 2011-10-13 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8722501B2 (en) 2011-10-18 2014-05-13 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8575708B2 (en) 2011-10-26 2013-11-05 United Microelectronics Corp. Structure of field effect transistor with fin structure
US8871575B2 (en) 2011-10-31 2014-10-28 United Microelectronics Corp. Method of fabricating field effect transistor with fin structure
US8278184B1 (en) 2011-11-02 2012-10-02 United Microelectronics Corp. Fabrication method of a non-planar transistor
US8546202B2 (en) 2011-11-09 2013-10-01 United Microelectronics Corp. Manufacturing method for semiconductor structures
US8426283B1 (en) 2011-11-10 2013-04-23 United Microelectronics Corp. Method of fabricating a double-gate transistor and a tri-gate transistor on a common substrate
US8440511B1 (en) 2011-11-16 2013-05-14 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8604548B2 (en) 2011-11-23 2013-12-10 United Microelectronics Corp. Semiconductor device having ESD device
US8803247B2 (en) 2011-12-15 2014-08-12 United Microelectronics Corporation Fin-type field effect transistor
US9608059B2 (en) 2011-12-20 2017-03-28 Intel Corporation Semiconductor device with isolated body portion
US8698199B2 (en) 2012-01-11 2014-04-15 United Microelectronics Corp. FinFET structure
US9698229B2 (en) 2012-01-17 2017-07-04 United Microelectronics Corp. Semiconductor structure and process thereof
US8946031B2 (en) 2012-01-18 2015-02-03 United Microelectronics Corp. Method for fabricating MOS device
US8664060B2 (en) 2012-02-07 2014-03-04 United Microelectronics Corp. Semiconductor structure and method of fabricating the same
US8822284B2 (en) 2012-02-09 2014-09-02 United Microelectronics Corp. Method for fabricating FinFETs and semiconductor structure fabricated using the method
US9159809B2 (en) 2012-02-29 2015-10-13 United Microelectronics Corp. Multi-gate transistor device
US9006107B2 (en) 2012-03-11 2015-04-14 United Microelectronics Corp. Patterned structure of semiconductor device and fabricating method thereof
US9159626B2 (en) 2012-03-13 2015-10-13 United Microelectronics Corp. FinFET and fabricating method thereof
CN103367152B (en) * 2012-03-31 2016-05-25 中芯国际集成电路制造(上海)有限公司 The formation method of semiconductor devices, fin field effect pipe
US9559189B2 (en) 2012-04-16 2017-01-31 United Microelectronics Corp. Non-planar FET
US9142649B2 (en) 2012-04-23 2015-09-22 United Microelectronics Corp. Semiconductor structure with metal gate and method of fabricating the same
US8766319B2 (en) 2012-04-26 2014-07-01 United Microelectronics Corp. Semiconductor device with ultra thin silicide layer
US8709910B2 (en) 2012-04-30 2014-04-29 United Microelectronics Corp. Semiconductor process
US8691652B2 (en) 2012-05-03 2014-04-08 United Microelectronics Corp. Semiconductor process
US8877623B2 (en) 2012-05-14 2014-11-04 United Microelectronics Corp. Method of forming semiconductor device
US8470714B1 (en) 2012-05-22 2013-06-25 United Microelectronics Corp. Method of forming fin structures in integrated circuits
US9012975B2 (en) 2012-06-14 2015-04-21 United Microelectronics Corp. Field effect transistor and manufacturing method thereof
US8796695B2 (en) 2012-06-22 2014-08-05 United Microelectronics Corp. Multi-gate field-effect transistor and process thereof
CN103531467B (en) * 2012-07-02 2016-08-03 中芯国际集成电路制造(上海)有限公司 Semiconductor device and forming method thereof
US8872280B2 (en) 2012-07-31 2014-10-28 United Microelectronics Corp. Non-planar FET and manufacturing method thereof
US8586449B1 (en) * 2012-08-14 2013-11-19 International Business Machines Corporation Raised isolation structure self-aligned to fin structures
CN103632978B (en) * 2012-08-29 2016-07-06 中芯国际集成电路制造(上海)有限公司 The forming method of semiconductor structure
US9318567B2 (en) 2012-09-05 2016-04-19 United Microelectronics Corp. Fabrication method for semiconductor devices
US9159831B2 (en) 2012-10-29 2015-10-13 United Microelectronics Corp. Multigate field effect transistor and process thereof
US9054020B2 (en) * 2012-11-28 2015-06-09 International Business Machines Corporation Double density semiconductor fins and method of fabrication
US8928057B2 (en) * 2012-11-30 2015-01-06 International Business Machines Corporation Uniform finFET gate height
US8829617B2 (en) * 2012-11-30 2014-09-09 International Business Machines Corporation Uniform finFET gate height
US9536792B2 (en) 2013-01-10 2017-01-03 United Microelectronics Corp. Complementary metal oxide semiconductor field effect transistor, metal oxide semiconductor field effect transistor and manufacturing method thereof
US8785284B1 (en) 2013-02-20 2014-07-22 International Business Machines Corporation FinFETs and fin isolation structures
US9076870B2 (en) 2013-02-21 2015-07-07 United Microelectronics Corp. Method for forming fin-shaped structure
US9159576B2 (en) * 2013-03-05 2015-10-13 Qualcomm Incorporated Method of forming finFET having fins of different height
US8841197B1 (en) * 2013-03-06 2014-09-23 United Microelectronics Corp. Method for forming fin-shaped structures
US9040363B2 (en) * 2013-03-20 2015-05-26 International Business Machines Corporation FinFET with reduced capacitance
US8853015B1 (en) 2013-04-16 2014-10-07 United Microelectronics Corp. Method of forming a FinFET structure
US8709901B1 (en) 2013-04-17 2014-04-29 United Microelectronics Corp. Method of forming an isolation structure
US9147747B2 (en) 2013-05-02 2015-09-29 United Microelectronics Corp. Semiconductor structure with hard mask disposed on the gate structure
US9000483B2 (en) 2013-05-16 2015-04-07 United Microelectronics Corp. Semiconductor device with fin structure and fabrication method thereof
US9219133B2 (en) * 2013-05-30 2015-12-22 Stmicroelectronics, Inc. Method of making a semiconductor device using spacers for source/drain confinement
US8802521B1 (en) 2013-06-04 2014-08-12 United Microelectronics Corp. Semiconductor fin-shaped structure and manufacturing process thereof
US9006804B2 (en) 2013-06-06 2015-04-14 United Microelectronics Corp. Semiconductor device and fabrication method thereof
US9070710B2 (en) 2013-06-07 2015-06-30 United Microelectronics Corp. Semiconductor process
US8993384B2 (en) 2013-06-09 2015-03-31 United Microelectronics Corp. Semiconductor device and fabrication method thereof
US9263282B2 (en) 2013-06-13 2016-02-16 United Microelectronics Corporation Method of fabricating semiconductor patterns
US9401429B2 (en) 2013-06-13 2016-07-26 United Microelectronics Corp. Semiconductor structure and process thereof
US9048246B2 (en) 2013-06-18 2015-06-02 United Microelectronics Corp. Die seal ring and method of forming the same
US9123810B2 (en) 2013-06-18 2015-09-01 United Microelectronics Corp. Semiconductor integrated device including FinFET device and protecting structure
US9190291B2 (en) 2013-07-03 2015-11-17 United Microelectronics Corp. Fin-shaped structure forming process
US9105685B2 (en) 2013-07-12 2015-08-11 United Microelectronics Corp. Method of forming shallow trench isolation structure
US9257427B2 (en) 2013-07-15 2016-02-09 Globalfoundries Inc. Merged tapered finFET
US9093565B2 (en) 2013-07-15 2015-07-28 United Microelectronics Corp. Fin diode structure
US9019672B2 (en) 2013-07-17 2015-04-28 United Microelectronics Corporation Chip with electrostatic discharge protection function
US8981487B2 (en) 2013-07-31 2015-03-17 United Microelectronics Corp. Fin-shaped field-effect transistor (FinFET)
US9006805B2 (en) 2013-08-07 2015-04-14 United Microelectronics Corp. Semiconductor device
CN104347421A (en) * 2013-08-07 2015-02-11 中芯国际集成电路制造(北京)有限公司 Method for forming finned field-effect transistor (FET)
US9105582B2 (en) 2013-08-15 2015-08-11 United Microelectronics Corporation Spatial semiconductor structure and method of fabricating the same
US9293345B2 (en) 2013-08-16 2016-03-22 Globalfoundries Inc. Sidewall image transfer with a spin-on hardmask
US9385048B2 (en) 2013-09-05 2016-07-05 United Microelectronics Corp. Method of forming Fin-FET
US9373719B2 (en) 2013-09-16 2016-06-21 United Microelectronics Corp. Semiconductor device
US9018066B2 (en) 2013-09-30 2015-04-28 United Microelectronics Corp. Method of fabricating semiconductor device structure
US9166024B2 (en) 2013-09-30 2015-10-20 United Microelectronics Corp. FinFET structure with cavities and semiconductor compound portions extending laterally over sidewall spacers
US9306032B2 (en) 2013-10-25 2016-04-05 United Microelectronics Corp. Method of forming self-aligned metal gate structure in a replacement gate process using tapered interlayer dielectric
US8980701B1 (en) 2013-11-05 2015-03-17 United Microelectronics Corp. Method of forming semiconductor device
US9299843B2 (en) 2013-11-13 2016-03-29 United Microelectronics Corp. Semiconductor structure and manufacturing method thereof
US8951884B1 (en) 2013-11-14 2015-02-10 United Microelectronics Corp. Method for forming a FinFET structure
US9412603B2 (en) 2013-11-19 2016-08-09 Applied Materials, Inc. Trimming silicon fin width through oxidation and etch
US9356046B2 (en) * 2013-11-22 2016-05-31 Globalfoundries Inc. Structure and method for forming CMOS with NFET and PFET having different channel materials
US9196612B2 (en) 2014-03-26 2015-11-24 International Business Machines Corporation Semiconductor device including merged-unmerged work function metal and variable fin pitch
KR102192350B1 (en) * 2014-08-05 2020-12-18 삼성전자주식회사 Method for forming fine patterns of semiconductor devices and method for forming semiconductor devices using the same
US9524987B2 (en) 2014-10-21 2016-12-20 United Microelectronics Corp. Fin-shaped structure and method thereof
US10002876B2 (en) * 2014-10-29 2018-06-19 International Business Machines Corporation FinFET vertical flash memory
US9859275B2 (en) 2015-01-26 2018-01-02 International Business Machines Corporation Silicon nitride fill for PC gap regions to increase cell density
TWI642184B (en) 2015-05-15 2018-11-21 聯華電子股份有限公司 Non-planar transistor and method of forming the same
US9530772B1 (en) 2015-08-06 2016-12-27 International Business Machines Corporation Methods of manufacturing devices including gates with multiple lengths
US9805991B2 (en) 2015-08-20 2017-10-31 International Business Machines Corporation Strained finFET device fabrication
KR102323943B1 (en) 2015-10-21 2021-11-08 삼성전자주식회사 Method of manufacturing semiconductor device
US10424515B2 (en) 2016-06-30 2019-09-24 International Business Machines Corporation Vertical FET devices with multiple channel lengths
KR102568562B1 (en) * 2017-01-24 2023-08-18 삼성전자주식회사 Semiconductor device
US10204781B1 (en) 2018-02-14 2019-02-12 Applied Materials, Inc. Methods for bottom up fin structure formation
US10439047B2 (en) 2018-02-14 2019-10-08 Applied Materials, Inc. Methods for etch mask and fin structure formation
CN111312821B (en) * 2020-02-22 2022-07-05 上海朕芯微电子科技有限公司 Power MOSFET with fin-shaped structure and preparation method thereof

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020011612A1 (en) * 2000-07-31 2002-01-31 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US20030186518A1 (en) * 2002-03-15 2003-10-02 International Business Machines Corporation Process of passivating a metal-gated complementary metal oxide semiconductor
US20050208715A1 (en) * 2004-03-17 2005-09-22 Hyeoung-Won Seo Method of fabricating fin field effect transistor using isotropic etching technique
US20050275015A1 (en) * 2004-06-11 2005-12-15 International Business Machines Corporation Method and structure for providing tuned leakage current in cmos integrated circuit
US7074662B2 (en) * 2003-07-24 2006-07-11 Samsung Electronics Co., Ltd. Methods for fabricating fin field effect transistors using a protective layer to reduce etching damage
US7098498B2 (en) * 1995-03-07 2006-08-29 California Institute Of Technology Floating-gate semiconductor structures
US7105934B2 (en) * 2004-08-30 2006-09-12 International Business Machines Corporation FinFET with low gate capacitance and low extrinsic resistance
US7148526B1 (en) * 2003-01-23 2006-12-12 Advanced Micro Devices, Inc. Germanium MOSFET devices and methods for making same
US7214576B1 (en) * 2005-09-08 2007-05-08 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor device
US7224029B2 (en) * 2004-01-28 2007-05-29 International Business Machines Corporation Method and structure to create multiple device widths in FinFET technology in both bulk and SOI

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005064500A (en) * 2003-08-14 2005-03-10 Samsung Electronics Co Ltd Multi-structured silicon fin and manufacturing method for the same

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7098498B2 (en) * 1995-03-07 2006-08-29 California Institute Of Technology Floating-gate semiconductor structures
US20020011612A1 (en) * 2000-07-31 2002-01-31 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US20030186518A1 (en) * 2002-03-15 2003-10-02 International Business Machines Corporation Process of passivating a metal-gated complementary metal oxide semiconductor
US7148526B1 (en) * 2003-01-23 2006-12-12 Advanced Micro Devices, Inc. Germanium MOSFET devices and methods for making same
US7074662B2 (en) * 2003-07-24 2006-07-11 Samsung Electronics Co., Ltd. Methods for fabricating fin field effect transistors using a protective layer to reduce etching damage
US7224029B2 (en) * 2004-01-28 2007-05-29 International Business Machines Corporation Method and structure to create multiple device widths in FinFET technology in both bulk and SOI
US20050208715A1 (en) * 2004-03-17 2005-09-22 Hyeoung-Won Seo Method of fabricating fin field effect transistor using isotropic etching technique
US20050275015A1 (en) * 2004-06-11 2005-12-15 International Business Machines Corporation Method and structure for providing tuned leakage current in cmos integrated circuit
US7105934B2 (en) * 2004-08-30 2006-09-12 International Business Machines Corporation FinFET with low gate capacitance and low extrinsic resistance
US7214576B1 (en) * 2005-09-08 2007-05-08 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor device

Cited By (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8455364B2 (en) 2009-11-06 2013-06-04 International Business Machines Corporation Sidewall image transfer using the lithographic stack as the mandrel
US20110111596A1 (en) * 2009-11-06 2011-05-12 International Business Machine Corporation Sidewall Image Transfer Using the Lithographic Stack as the Mandrel
US8679950B2 (en) * 2011-11-10 2014-03-25 Semiconductor Manufacturing International (Beijing) Corporation Manufacturing method for semiconductor device having side by side different fins
US8557675B2 (en) 2011-11-28 2013-10-15 Globalfoundries Inc. Methods of patterning features in a structure using multiple sidewall image transfer technique
US8669186B2 (en) 2012-01-26 2014-03-11 Globalfoundries Inc. Methods of forming SRAM devices using sidewall image transfer techniques
US8946078B2 (en) 2012-03-22 2015-02-03 United Microelectronics Corp. Method of forming trench in semiconductor substrate
US9214384B2 (en) 2012-03-22 2015-12-15 United Microelectronics Corp. Method of forming trench in semiconductor substrate
US20140256093A1 (en) * 2013-03-11 2014-09-11 Semiconductor Manufacturing Company, Ltd. FinFET Device Structure and Methods of Making Same
US8900937B2 (en) * 2013-03-11 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device structure and methods of making same
US9379220B2 (en) 2013-03-11 2016-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device structure and methods of making same
US9196500B2 (en) 2013-04-09 2015-11-24 United Microelectronics Corp. Method for manufacturing semiconductor structures
US20140308761A1 (en) * 2013-04-15 2014-10-16 United Microelectronics Corp. Sidewall Image Transfer Process
US9711368B2 (en) * 2013-04-15 2017-07-18 United Microelectronics Corp. Sidewall image transfer process
US9263287B2 (en) 2013-05-27 2016-02-16 United Microelectronics Corp. Method of forming fin-shaped structure
US20140353767A1 (en) * 2013-05-31 2014-12-04 Stmicroelectronics, Inc. Method for the formation of fin structures for finfet devices
US9437504B2 (en) 2013-05-31 2016-09-06 Stmicroelectronics, Inc. Method for the formation of fin structures for FinFET devices
US9773676B2 (en) * 2013-12-04 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction
US20160035571A1 (en) * 2013-12-04 2016-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography Using High Selectivity Spacers for Pitch Reduction
US20150155171A1 (en) * 2013-12-04 2015-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography Using High Selectivity Spacers for Pitch Reduction
US9177797B2 (en) * 2013-12-04 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction
US20180012761A1 (en) * 2013-12-04 2018-01-11 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography Using High Selectivity Spacers for Pitch Reduction
US10014175B2 (en) * 2013-12-04 2018-07-03 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction
US9437445B1 (en) * 2015-02-24 2016-09-06 International Business Machines Corporation Dual fin integration for electron and hole mobility enhancement
US20160336236A1 (en) * 2015-02-24 2016-11-17 International Business Machines Corporation Dual fin integration for electron and hole mobility enhancement
US9859281B2 (en) * 2015-02-24 2018-01-02 International Business Machines Corporation Dual FIN integration for electron and hole mobility enhancement
US9882028B2 (en) * 2016-06-29 2018-01-30 International Business Machines Corporation Pitch split patterning for semiconductor devices

Also Published As

Publication number Publication date
US7470570B2 (en) 2008-12-30
US20080111184A1 (en) 2008-05-15
CN101183664B (en) 2011-08-31
US8614485B2 (en) 2013-12-24
CN101183664A (en) 2008-05-21

Similar Documents

Publication Publication Date Title
US7470570B2 (en) Process for fabrication of FinFETs
US10367069B2 (en) Fabrication of vertical field effect transistor structure with controlled gate length
US10586858B2 (en) Fabrication of vertical field effect transistor structure with strained channels
US8080838B2 (en) Contact scheme for FINFET structures with multiple FINs
US7893492B2 (en) Nanowire mesh device and method of fabricating same
US6635923B2 (en) Damascene double-gate MOSFET with vertical channel regions
US6800905B2 (en) Implanted asymmetric doped polysilicon gate FinFET
US7314802B2 (en) Structure and method for manufacturing strained FINFET
JP5128110B2 (en) Method, semiconductor structure (quasi self-aligned source / drain fin FET process)
US7173303B2 (en) FIN field effect transistor with self-aligned gate
US6645797B1 (en) Method for forming fins in a FinFET device using sacrificial carbon layer
US6812075B2 (en) Self-aligned dog-bone structure for FinFET applications and methods to fabricate the same
US8790991B2 (en) Method and structure for shallow trench isolation to mitigate active shorts
US20100065917A1 (en) Semiconductor device and method of manufacturing the same
US9214529B2 (en) Fin Fet device with independent control gate
US7081387B2 (en) Damascene gate multi-mesa MOSFET

Legal Events

Date Code Title Description
STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910

FPAY Fee payment

Year of fee payment: 4

AS Assignment

Owner name: WILMINGTON TRUST, NATIONAL ASSOCIATION, DELAWARE

Free format text: SECURITY AGREEMENT;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:049490/0001

Effective date: 20181127

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:054633/0001

Effective date: 20201022

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:054636/0001

Effective date: 20201117

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8