US20090050963A1 - Stressed mos device and methods for its fabrication - Google Patents

Stressed mos device and methods for its fabrication Download PDF

Info

Publication number
US20090050963A1
US20090050963A1 US12/254,682 US25468208A US2009050963A1 US 20090050963 A1 US20090050963 A1 US 20090050963A1 US 25468208 A US25468208 A US 25468208A US 2009050963 A1 US2009050963 A1 US 2009050963A1
Authority
US
United States
Prior art keywords
mos transistor
gate electrode
silicon
width
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/254,682
Inventor
Igor Peidous
Linda R. BLACK
Huicai Zhong
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority to US12/254,682 priority Critical patent/US20090050963A1/en
Publication of US20090050963A1 publication Critical patent/US20090050963A1/en
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. AFFIRMATION OF PATENT ASSIGNMENT Assignors: ADVANCED MICRO DEVICES, INC.
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28114Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor characterised by the sectional shape, e.g. T, inverted-T
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer

Definitions

  • the present invention generally relates to MOS devices and to methods for their fabrication, and more particularly relates to stressed MOS devices and their fabrication.
  • MOSFET metal oxide semiconductor field effect transistors
  • An MOS transistor includes a gate electrode as a control electrode and spaced apart source and drain regions between which a current can flow. A control voltage applied to the gate electrode controls the flow of current through a channel between the source and drain regions.
  • the gain of an MOS transistor is proportional to the mobility of the majority carrier in the transistor channel.
  • the current carrying capability and hence the performance of an MOS transistor is proportional to the mobility of the majority carrier in the channel.
  • the mobility of holes, the majority carrier in a P-channel MOS (PMOS) transistor can be increased by applying a compressive longitudinal stress to the channel, especially when the transistor is fabricated on a silicon wafer with surface orientation (100) and the channel orientation coincides with the wafer crystallographic direction [011]. It is well known that a compressive longitudinal stress can be applied to a silicon MOS transistor by embedding a material such as silicon germanium (SiGe) at the ends of the transistor channel.
  • the mobility of electrons, the majority carrier in an N-channel MOS (NMOS) transistor can be increased by applying a tensile longitudinal stress to the channel.
  • a stress can be applied to a silicon MOS transistor by embedding a material such as silicon doped with carbon at the ends of the transistor channel.
  • Such methods require the etching of trenches into the silicon substrate and the selective epitaxial deposition of silicon germanium and/or silicon carbon. A number of additional and difficult process steps are thus required to implement these know methods to achieve devices having stress enhanced carrier mobility.
  • the stressed MOS device comprises a T-shaped gate electrode formed of a material having a first Young's modulus.
  • the T-shaped gate electrode includes a first vertical portion and a second horizontal portion. The vertical portion overlies a channel region in an underlying substrate and has a first width; the horizontal portion has a second greater width.
  • a tensile stressed film is formed overlying the second horizontal portion, and a material having a second Young's modulus less than the first Young's modulus fills the space below the second horizontal portion.
  • the tensile stressed film imparts a stress on the horizontal portion of the gate electrode and this stress is transmitted through the vertical portion to the channel of the device.
  • the stress imparted to the channel is amplified by the ratio of the second width to the first width.
  • the method comprises, in accordance with one embodiment, forming a T-shaped gate electrode overlying a semiconductor substrate.
  • the T-shaped gate electrode comprises a material having a first Young's modulus, and has a base portion of a first width and a top portion of a second width greater than the first width.
  • the first portion is formed overlying a channel region in the semiconductor substrate and the second portion overhangs a space between the second portion and the semiconductor substrate.
  • the method further comprises filling the space between the second portion and the semiconductor substrate with a material having a second Young's modulus less than the first Young's modulus, and depositing a layer of tensile stressed material overlying the top portion.
  • FIGS. 1-6 schematically illustrate, in cross section, a stressed MOS device and method steps for its fabrication in accordance with various embodiments of the invention.
  • FIGS. 7 and 8 schematically illustrate, in cross section, a stressed MOS device fabricated in accordance with a further embodiment of the invention.
  • FIGS. 1-6 illustrate a semiconductor device, specifically an MOS device 140 , and method steps for manufacturing such an MOS device in accordance with various embodiments of the invention.
  • MOS device 140 is an N-channel MOS (NMOS) transistor, although similar method steps can be used to manufacture a P-channel MOS (PMOS) transistor with appropriate changes in dopant types.
  • NMOS N-channel MOS
  • PMOS P-channel MOS
  • similar method steps can used to manufacture complementary MOS (CMOS) transistors or a plurality of NMOS and/or PMOS transistors coupled together to implement an integrated circuit.
  • CMOS complementary MOS
  • MOS device properly refers to a device having a metal gate electrode and an oxide gate insulator, that term will be used throughout to refer to any semiconductor device that includes a conductive gate electrode (whether metal or other conductive material) that is positioned over a gate insulator (whether oxide or other insulator) which, in turn, is positioned over a semiconductor substrate.
  • the manufacture of an MOS device 140 in accordance with an embodiment of the invention begins with providing a semiconductor substrate 142 having a (100) surface crystal orientation.
  • the semiconductor substrate is preferably a silicon substrate wherein the term “silicon substrate” is used herein to encompass the relatively pure silicon materials typically used in the semiconductor industry as well as silicon admixed with other elements such as germanium and the like.
  • the semiconductor substrate can be germanium, gallium arsenide, or other semiconductor material.
  • Silicon substrate 142 may be a bulk silicon wafer as illustrated, or may be (although not illustrated) a thin layer of silicon on an insulating layer (commonly know as a silicon-on-insulator or SOI) that, in turn, is supported by a carrier wafer.
  • SOI silicon-on-insulator
  • a layer of gate insulator 144 is formed on surface 145 of silicon substrate 142 .
  • the gate insulator may be thermally grown silicon dioxide formed by heating the silicon substrate in an oxidizing ambient, or may be a deposited insulator such as a silicon oxide, silicon nitride, a high dielectric constant insulator such as HfSiO, or the like.
  • Deposited insulators can be deposited in known manner by chemical vapor deposition (CVD), low pressure chemical vapor deposition (LPCVD), semi-atmospheric chemical vapor deposition (SACVD), or plasma enhanced chemical vapor deposition (PECVD).
  • the gate insulator material is typically 1-10 nanometers (nm) in thickness.
  • a layer of gate electrode forming material 146 is deposited onto the layer of gate insulator.
  • the layer of polycrystalline silicon is preferably deposited as undoped polycrystalline silicon and is subsequently impurity doped by ion implantation.
  • Other gate electrode forming materials such as refractory metals may also be deposited.
  • a layer 148 of hard mask material such as silicon oxide, silicon nitride, or silicon oxynitride can be deposited onto the surface of the polycrystalline silicon. If the gate electrode material is polycrystalline silicon, that material can be deposited to a thickness of about 100 nm by LPCVD by the hydrogen reduction of silane. The hard mask material can be deposited to a thickness of about 50 nm, also by LPCVD.
  • the gate electrode forming material will hereinafter be referred to as polycrystalline silicon, although those of skill in the art will recognize that other materials can also be employed.
  • hard mask layer 148 and underlying layer of polycrystalline silicon 146 are photolithographically patterned to form a vertical portion 150 of a gate electrode 151 as illustrated in FIG. 2 .
  • Vertical portion 150 of gate electrode 151 has opposing sidewalls 152 and 154 and a top 156 .
  • the opposing sidewalls of vertical portion 150 of the gate electrode are separated by a width indicated by double headed arrow 157 that is equal to the minimum line width allowable by the design rules being used to design the integrated circuit of which MOS transistor 140 is a part.
  • Vertical portion 150 of the gate electrode overlies the channel region 158 of MOS transistor 140 .
  • Channel region 158 lies at and near surface 145 of silicon substrate 142 and preferably has a longitudinal direction oriented along the [110] crystal direction.
  • the polycrystalline silicon can be etched in the desired pattern by, for example, plasma etching in a Cl or HBr/O 2 chemistry and the hard mask can be etched, for example, by plasma etching in a CHF 3 , CF 4 , or SF 6 chemistry.
  • a thin layer 160 of silicon oxide is preferably thermally grown on the sidewalls of the gate electrode by heating the patterned polycrystalline silicon in an oxidizing ambient. Layer 160 can be grown to a thickness of about 2-5 nm.
  • a layer of spacer forming material is deposited over thin layer 160 of silicon oxide.
  • the layer of spacer forming material can be, for example, a silicon oxide, silicon nitride, silicon oxynitride, polycrystalline silicon, or the like as is well known.
  • the layer of spacer forming material is silicon nitride.
  • the layer of spacer forming material is anisotropically etched to form sidewall spacers 162 over oxide layer 160 on opposing sidewalls 152 and 154 of vertical portion 150 of gate electrode 151 as illustrated in FIG. 3 .
  • the layer of spacer forming material can be etched in well known manner, for example by reactive ion etching (RIE) using a C x F y chemistry.
  • Sidewall spacers 162 are used as ion implantation masks to mask the implantation of conductivity determining ions into surface 145 and near surface regions of substrate 142 to form at least a portion of the source 164 and drain 166 regions of MOS transistor 140 , with the source and drain regions self aligned with gate electrode 151 and channel region 158 .
  • a NMOS transistor either phosphorous or arsenic ions can be implanted.
  • PMOS transistor boron in one of its ionic species, can be implanted.
  • one or more sidewall spacers can be employed as ion implantation masks to form one or more implanted regions collectively making up the source and drain regions of MOS transistor 140 .
  • implanted regions can form, for example, source/drain extension regions, buffer regions and contact regions. Because such regions and the methods for their fabrication are well known in the art, they need not be described here or illustrated in the figures.
  • sidewall spacers 162 are removed, for example by plasma etching, and a layer 168 of silicon dioxide or other soft material is blanket deposited to cover vertical portion 150 of gate electrode 151 and source 164 and drain 166 regions of MOS transistor 140 .
  • a layer 168 of silicon dioxide or other soft material is blanket deposited to cover vertical portion 150 of gate electrode 151 and source 164 and drain 166 regions of MOS transistor 140 .
  • the definition of the term “soft” material will become apparent from the following discussion.
  • a portion of layer 168 is removed, for example by chemical mechanical polishing or planarization (CMP), to expose top 156 of gate electrode 151 as illustrated in FIG. 4 .
  • CMP chemical mechanical polishing or planarization
  • the method in accordance with the invention continues by depositing a layer 170 of a hard gate electrode forming material over layer 168 of soft material and in contact with top 156 of vertical portion 150 of gate electrode 151 .
  • the hard gate electrode forming material can be, for example, polycrystalline silicon. Other materials that are either conductive or that can be made conductive can also be used in place of polycrystalline silicon. It is preferred, but not necessary, that the same material be used for layer 170 and for vertical portion 150 of gate electrode 151 .
  • the “hard” material preferably has a Young's modulus at least twice that of the “soft” material.
  • polycrystalline silicon, a “hard” material has a Young's modulus of about 166 Giga Pascals (GPa) and deposited silicon dioxide, a “soft” material, has a Young's modulus of about 60 GPa.
  • Examples of other soft materials that can be used in this application include, for example, silica glass doped with phosphorus and/or boron (PSG, BSG, or BPSG) and porous dielectric materials.
  • layer 170 of hard gate electrode forming material is photolithographically patterned and etched to form a horizontal portion 172 of gate electrode 151 in physical and electrical contact with top 156 .
  • Horizontal portion 172 of gate electrode 151 has a width indicated by double headed arrow 193 that is greater than the width (indicated by double headed arrow 157 ) of vertical portion 150 . It is not essential that horizontal portion 172 be precisely aligned and centered over vertical portion 150 , but the horizontal portion must be wider than the vertical portion and must contact the vertical portion. Together, horizontal portion 172 and vertical portion 150 form a T-shaped gate electrode 151 .
  • Layer 168 of soft material forms a soft spacer adjacent opposing sidewalls 152 and 154 and filling the space beneath horizontal portion 172 .
  • horizontal portion 172 of gate electrode 151 is used as an etch mask and at least a portion of the layer of soft material is anisotropically etched to form a soft material sidewall spacer 173 adjacent opposing sidewalls 152 and 154 of vertical portion 150 of gate electrode 151 .
  • a layer of high tensile stress material 174 is blanket deposited over the top of T-shaped gate electrode 151 and sidewall spacers 173 formed from the remaining portion of layer 168 of soft material as illustrated in FIG. 6 .
  • the layer of high tensile stress material, which forms a contact liner on the horizontal portion of gate electrode 151 can be, for example, a layer of silicon nitride deposited by LPCVD.
  • Such a silicon nitride layer can have a tensile stress of about 1 GPa.
  • the tensile stress of layer 174 exerts a downward stress on horizontal portion 172 of gate electrode 151 as indicated by arrows 176 .
  • the downward stress 176 exerted on horizontal portion 172 is transmitted to vertical portion 150 and, in turn, to channel region 158 .
  • the vertical stress on channel region 158 indicated by arrow 178 , increases the mobility of majority carrier electrons in an NMOS transistor.
  • the portions of layer 174 that are in contact with the surface 145 of source and drain regions 164 and 166 also exert a longitudinal (horizontal) tensile stress on channel region 158 .
  • the longitudinal tensile stress increases the mobility of electrons, but degrades the mobility of holes.
  • Sidewall spacers 173 increase the separation of the portions of layer 174 in contact with the source and drain regions from the channel region in contrast to the spacing that would be seen in a conventional MOS device with a conventionally shaped gate electrode. Consequently, the tensile longitudinal stress in the channel region 158 is decreased in comparison to the tensile longitudinal stress that would be exerted by layer 174 on the channel of an MOS device having a conventionally shaped gate electrode.
  • the resulting mobility of electrons in channel regions 158 of NMOS transistors remains increased because the mobility of electrons in the channel is more sensitive to vertical stresses than to the longitudinal stress.
  • a tensile longitudinal stress on the channel of an MOS transistor increases the mobility of electrons in an NMOS transistor, but decreases the mobility of holes in a PMOS transistor. Accordingly, in fabricating a conventional MOS device, tensile stressed layer 174 is preferably selectively removed from PMOS devices to prevent the mobility degradation of holes, the major carriers of PMOS transistors. Selectively removing layer 174 , however, increases the complexity of the fabrication process. In accordance with the present invention, the longitudinal tensile stress in channel region 158 caused by layer 174 is reduced because of the increased spacing caused by the shape of the gate electrode, so tensile stressed layer 174 may be left on PMOS devices without significant mobility degradation of holes.
  • a method for fabricating a stressed MOS device proceeds in the same manner as illustrated in FIGS. 1-3 .
  • spacers 181 and 182 are formed on opposing sidewalls 152 and 154 of vertical portion 150 as illustrated in FIG. 7 .
  • Sidewall spacers 181 and 182 are formed of a material such as silicon nitride deposited by LPCVD that has a high tensile stress.
  • the sidewall spacers can be formed in known manner by blanket depositing a layer of silicon nitride or other tensile stressed material and subsequently anisotropically etching the layer to remove the layer from all horizontal surfaces.
  • the tensile stress in the sidewall spacers exerts a downward stress on vertical portion 150 of gate electrode 151 as indicated by arrows 184 .
  • the vertical stress indicated by arrows 184 applies a vertical stress to channel region 158 which increases the mobility of carriers in the channel region.
  • the method in accordance with this embodiment of the invention continues with the blanket deposition of a layer 168 of soft material that covers sidewall spacers 181 and 182 , source 164 and drain 166 regions, and vertical portion 150 of gate electrode 151 .
  • a portion of layer 168 is removed, for example by CMP, to expose top 156 of vertical portion 150 of gate electrode 151 .
  • a layer of hard gate electrode forming material 170 is deposited over the remaining portion of layer 168 and contacting the top of the gate electrode.
  • the method then continues with the same steps illustrated in FIGS. 5 and 6 to achieve the device structure illustrated in FIG. 8 .
  • the vertical stress exerted on the channel region by tensile stressed sidewall spacers 181 and 182 is combined with the vertical stress exerted on the channel region by tensile stressed layer 174 conveyed through horizontal portion 172 and vertical portion 150 of gate electrode 151 .
  • Stressed MOS device 140 can be completed by well known steps (not illustrated) such as depositing a layer of dielectric material, etching opening through the dielectric material to expose portions of the source and drain regions, and forming metallization that extends through the openings to electrically contact the source and drain regions. Further layers of interlayer dielectric material, additional layers of interconnect metallization, and the like may also be applied and patterned to achiever the proper circuit function of the integrated circuit being implemented.

Abstract

Stressed MOS devices and methods for their fabrication are provided. The stressed MOS device comprises a T-shaped gate electrode formed of a material having a first Young's modulus. The T-shaped gate electrode includes a first vertical portion and a second horizontal portion. The vertical portion overlies a channel region in an underlying substrate and has a first width; the horizontal portion has a second greater width. A tensile stressed film is formed overlying the second horizontal portion, and a material having a second Young's modulus less than the first Young's modulus fills the space below the second horizontal portion. The tensile stressed film imparts a stress on the horizontal portion of the gate electrode and this stress is transmitted through the vertical portion to the channel of the device. The stress imparted to the channel is amplified by the ratio of the second width to the first width.

Description

    CROSS-REFERENCES TO RELATED APPLICATION
  • This is a divisional of U.S. application Ser. No. 11/231,405, filed Sep. 21, 2005.
  • TECHNICAL FIELD OF THE INVENTION
  • The present invention generally relates to MOS devices and to methods for their fabrication, and more particularly relates to stressed MOS devices and their fabrication.
  • BACKGROUND OF THE INVENTION
  • The majority of present day integrated circuits (ICs) are implemented by using a plurality of interconnected field effect transistors (FETs), also called metal oxide semiconductor field effect transistors (MOSFETs), or simply MOS transistors. An MOS transistor includes a gate electrode as a control electrode and spaced apart source and drain regions between which a current can flow. A control voltage applied to the gate electrode controls the flow of current through a channel between the source and drain regions.
  • The gain of an MOS transistor, usually defined by the transconductance (gm), is proportional to the mobility of the majority carrier in the transistor channel. The current carrying capability and hence the performance of an MOS transistor is proportional to the mobility of the majority carrier in the channel. The mobility of holes, the majority carrier in a P-channel MOS (PMOS) transistor can be increased by applying a compressive longitudinal stress to the channel, especially when the transistor is fabricated on a silicon wafer with surface orientation (100) and the channel orientation coincides with the wafer crystallographic direction [011]. It is well known that a compressive longitudinal stress can be applied to a silicon MOS transistor by embedding a material such as silicon germanium (SiGe) at the ends of the transistor channel. Similarly, the mobility of electrons, the majority carrier in an N-channel MOS (NMOS) transistor can be increased by applying a tensile longitudinal stress to the channel. Such a stress can be applied to a silicon MOS transistor by embedding a material such as silicon doped with carbon at the ends of the transistor channel. Such methods, however, require the etching of trenches into the silicon substrate and the selective epitaxial deposition of silicon germanium and/or silicon carbon. A number of additional and difficult process steps are thus required to implement these know methods to achieve devices having stress enhanced carrier mobility.
  • Accordingly, it is desirable to provide stress enhanced mobility devices and methods for the fabrication of such devices that avoids the difficult process steps of the prior art. In addition, it especially is desirable to provide NMOS devices having stress enhanced mobility and to provide methods for fabricating NMOS devices having stress enhanced electron mobility. Furthermore, other desirable features and characteristics of the present invention will become apparent from the subsequent detailed description and the appended claims, taken in conjunction with the accompanying drawings and the foregoing technical field and background.
  • BRIEF SUMMARY OF THE INVENTION
  • A stress enhanced MOS device is provided. In one embodiment the stressed MOS device comprises a T-shaped gate electrode formed of a material having a first Young's modulus. The T-shaped gate electrode includes a first vertical portion and a second horizontal portion. The vertical portion overlies a channel region in an underlying substrate and has a first width; the horizontal portion has a second greater width. A tensile stressed film is formed overlying the second horizontal portion, and a material having a second Young's modulus less than the first Young's modulus fills the space below the second horizontal portion. The tensile stressed film imparts a stress on the horizontal portion of the gate electrode and this stress is transmitted through the vertical portion to the channel of the device. The stress imparted to the channel is amplified by the ratio of the second width to the first width.
  • Methods are provided for fabricating such stressed MOS devices. The method comprises, in accordance with one embodiment, forming a T-shaped gate electrode overlying a semiconductor substrate. The T-shaped gate electrode comprises a material having a first Young's modulus, and has a base portion of a first width and a top portion of a second width greater than the first width. The first portion is formed overlying a channel region in the semiconductor substrate and the second portion overhangs a space between the second portion and the semiconductor substrate. The method further comprises filling the space between the second portion and the semiconductor substrate with a material having a second Young's modulus less than the first Young's modulus, and depositing a layer of tensile stressed material overlying the top portion.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present invention will hereinafter be described in conjunction with the following drawing figures, wherein like numerals denote like elements, and wherein
  • FIGS. 1-6 schematically illustrate, in cross section, a stressed MOS device and method steps for its fabrication in accordance with various embodiments of the invention; and
  • FIGS. 7 and 8 schematically illustrate, in cross section, a stressed MOS device fabricated in accordance with a further embodiment of the invention.
  • DETAILED DESCRIPTION OF THE INVENTION
  • The following detailed description is merely exemplary in nature and is not intended to limit the invention or the application and uses of the invention. Furthermore, there is no intention to be bound by any expressed or implied theory presented in the preceding technical field, background, brief summary or the following detailed description.
  • FIGS. 1-6 illustrate a semiconductor device, specifically an MOS device 140, and method steps for manufacturing such an MOS device in accordance with various embodiments of the invention. In these illustrative embodiments MOS device 140 is an N-channel MOS (NMOS) transistor, although similar method steps can be used to manufacture a P-channel MOS (PMOS) transistor with appropriate changes in dopant types. Likewise, similar method steps can used to manufacture complementary MOS (CMOS) transistors or a plurality of NMOS and/or PMOS transistors coupled together to implement an integrated circuit. Various steps in the manufacture of MOS transistors are well known and so, in the interest of brevity, many conventional steps will only be mentioned briefly herein or will be omitted entirely without providing the well known process details. Although the term “MOS device” properly refers to a device having a metal gate electrode and an oxide gate insulator, that term will be used throughout to refer to any semiconductor device that includes a conductive gate electrode (whether metal or other conductive material) that is positioned over a gate insulator (whether oxide or other insulator) which, in turn, is positioned over a semiconductor substrate.
  • As illustrated in FIG. 1, the manufacture of an MOS device 140 in accordance with an embodiment of the invention begins with providing a semiconductor substrate 142 having a (100) surface crystal orientation. The semiconductor substrate is preferably a silicon substrate wherein the term “silicon substrate” is used herein to encompass the relatively pure silicon materials typically used in the semiconductor industry as well as silicon admixed with other elements such as germanium and the like. Alternatively, the semiconductor substrate can be germanium, gallium arsenide, or other semiconductor material. Silicon substrate 142 may be a bulk silicon wafer as illustrated, or may be (although not illustrated) a thin layer of silicon on an insulating layer (commonly know as a silicon-on-insulator or SOI) that, in turn, is supported by a carrier wafer. A layer of gate insulator 144 is formed on surface 145 of silicon substrate 142. The gate insulator may be thermally grown silicon dioxide formed by heating the silicon substrate in an oxidizing ambient, or may be a deposited insulator such as a silicon oxide, silicon nitride, a high dielectric constant insulator such as HfSiO, or the like. Deposited insulators can be deposited in known manner by chemical vapor deposition (CVD), low pressure chemical vapor deposition (LPCVD), semi-atmospheric chemical vapor deposition (SACVD), or plasma enhanced chemical vapor deposition (PECVD). The gate insulator material is typically 1-10 nanometers (nm) in thickness. In accordance with one embodiment of the invention a layer of gate electrode forming material 146, preferably polycrystalline silicon, is deposited onto the layer of gate insulator. The layer of polycrystalline silicon is preferably deposited as undoped polycrystalline silicon and is subsequently impurity doped by ion implantation. Other gate electrode forming materials such as refractory metals may also be deposited. A layer 148 of hard mask material such as silicon oxide, silicon nitride, or silicon oxynitride can be deposited onto the surface of the polycrystalline silicon. If the gate electrode material is polycrystalline silicon, that material can be deposited to a thickness of about 100 nm by LPCVD by the hydrogen reduction of silane. The hard mask material can be deposited to a thickness of about 50 nm, also by LPCVD. The gate electrode forming material will hereinafter be referred to as polycrystalline silicon, although those of skill in the art will recognize that other materials can also be employed.
  • In accordance with one embodiment of the invention hard mask layer 148 and underlying layer of polycrystalline silicon 146 are photolithographically patterned to form a vertical portion 150 of a gate electrode 151 as illustrated in FIG. 2. Vertical portion 150 of gate electrode 151 has opposing sidewalls 152 and 154 and a top 156. Preferably the opposing sidewalls of vertical portion 150 of the gate electrode are separated by a width indicated by double headed arrow 157 that is equal to the minimum line width allowable by the design rules being used to design the integrated circuit of which MOS transistor 140 is a part. Vertical portion 150 of the gate electrode overlies the channel region 158 of MOS transistor 140. Channel region 158 lies at and near surface 145 of silicon substrate 142 and preferably has a longitudinal direction oriented along the [110] crystal direction. The polycrystalline silicon can be etched in the desired pattern by, for example, plasma etching in a Cl or HBr/O2 chemistry and the hard mask can be etched, for example, by plasma etching in a CHF3, CF4, or SF6 chemistry. Following the patterning of the gate electrode, a thin layer 160 of silicon oxide is preferably thermally grown on the sidewalls of the gate electrode by heating the patterned polycrystalline silicon in an oxidizing ambient. Layer 160 can be grown to a thickness of about 2-5 nm.
  • In accordance with an embodiment of the invention a layer of spacer forming material is deposited over thin layer 160 of silicon oxide. The layer of spacer forming material can be, for example, a silicon oxide, silicon nitride, silicon oxynitride, polycrystalline silicon, or the like as is well known. Preferably the layer of spacer forming material is silicon nitride. The layer of spacer forming material is anisotropically etched to form sidewall spacers 162 over oxide layer 160 on opposing sidewalls 152 and 154 of vertical portion 150 of gate electrode 151 as illustrated in FIG. 3. The layer of spacer forming material can be etched in well known manner, for example by reactive ion etching (RIE) using a CxFy chemistry. Sidewall spacers 162 are used as ion implantation masks to mask the implantation of conductivity determining ions into surface 145 and near surface regions of substrate 142 to form at least a portion of the source 164 and drain 166 regions of MOS transistor 140, with the source and drain regions self aligned with gate electrode 151 and channel region 158. For the fabrication of an NMOS transistor, either phosphorous or arsenic ions can be implanted. For the fabrication of a PMOS transistor boron, in one of its ionic species, can be implanted. It is well known that one or more sidewall spacers can be employed as ion implantation masks to form one or more implanted regions collectively making up the source and drain regions of MOS transistor 140. Such implanted regions can form, for example, source/drain extension regions, buffer regions and contact regions. Because such regions and the methods for their fabrication are well known in the art, they need not be described here or illustrated in the figures.
  • In accordance with one embodiment of the invention sidewall spacers 162 are removed, for example by plasma etching, and a layer 168 of silicon dioxide or other soft material is blanket deposited to cover vertical portion 150 of gate electrode 151 and source 164 and drain 166 regions of MOS transistor 140. The definition of the term “soft” material will become apparent from the following discussion. A portion of layer 168 is removed, for example by chemical mechanical polishing or planarization (CMP), to expose top 156 of gate electrode 151 as illustrated in FIG. 4. The method in accordance with the invention continues by depositing a layer 170 of a hard gate electrode forming material over layer 168 of soft material and in contact with top 156 of vertical portion 150 of gate electrode 151. The hard gate electrode forming material can be, for example, polycrystalline silicon. Other materials that are either conductive or that can be made conductive can also be used in place of polycrystalline silicon. It is preferred, but not necessary, that the same material be used for layer 170 and for vertical portion 150 of gate electrode 151. The “hard” material preferably has a Young's modulus at least twice that of the “soft” material. For example, polycrystalline silicon, a “hard” material, has a Young's modulus of about 166 Giga Pascals (GPa) and deposited silicon dioxide, a “soft” material, has a Young's modulus of about 60 GPa. Examples of other soft materials that can be used in this application include, for example, silica glass doped with phosphorus and/or boron (PSG, BSG, or BPSG) and porous dielectric materials.
  • As illustrated in FIG. 5 layer 170 of hard gate electrode forming material is photolithographically patterned and etched to form a horizontal portion 172 of gate electrode 151 in physical and electrical contact with top 156. Horizontal portion 172 of gate electrode 151 has a width indicated by double headed arrow 193 that is greater than the width (indicated by double headed arrow 157) of vertical portion 150. It is not essential that horizontal portion 172 be precisely aligned and centered over vertical portion 150, but the horizontal portion must be wider than the vertical portion and must contact the vertical portion. Together, horizontal portion 172 and vertical portion 150 form a T-shaped gate electrode 151. Layer 168 of soft material forms a soft spacer adjacent opposing sidewalls 152 and 154 and filling the space beneath horizontal portion 172.
  • In accordance with one embodiment of the invention horizontal portion 172 of gate electrode 151 is used as an etch mask and at least a portion of the layer of soft material is anisotropically etched to form a soft material sidewall spacer 173 adjacent opposing sidewalls 152 and 154 of vertical portion 150 of gate electrode 151. A layer of high tensile stress material 174 is blanket deposited over the top of T-shaped gate electrode 151 and sidewall spacers 173 formed from the remaining portion of layer 168 of soft material as illustrated in FIG. 6. The layer of high tensile stress material, which forms a contact liner on the horizontal portion of gate electrode 151, can be, for example, a layer of silicon nitride deposited by LPCVD. Such a silicon nitride layer can have a tensile stress of about 1 GPa. The tensile stress of layer 174 exerts a downward stress on horizontal portion 172 of gate electrode 151 as indicated by arrows 176. The downward stress 176 exerted on horizontal portion 172 is transmitted to vertical portion 150 and, in turn, to channel region 158. The vertical stress on channel region 158, indicated by arrow 178, increases the mobility of majority carrier electrons in an NMOS transistor. Because downward stress 176 is exerted on horizontal portion 172 formed of a hard material and having a greater width than vertical portion 150, and because, in accordance with an embodiment of the invention, the space under horizontal portion 172 is filled with a soft material, the stress exerted on channel region 158 is increased in comparison to the stress that would be exerted by layer 174 on a conventionally shaped gate electrode. The increase in stress exerted on the channel region because of the T-shape of gate electrode 151 is proportional to the ratio of the widths of the horizontal and vertical portions of the gate electrode.
  • The portions of layer 174 that are in contact with the surface 145 of source and drain regions 164 and 166 also exert a longitudinal (horizontal) tensile stress on channel region 158. The longitudinal tensile stress increases the mobility of electrons, but degrades the mobility of holes. Sidewall spacers 173 increase the separation of the portions of layer 174 in contact with the source and drain regions from the channel region in contrast to the spacing that would be seen in a conventional MOS device with a conventionally shaped gate electrode. Consequently, the tensile longitudinal stress in the channel region 158 is decreased in comparison to the tensile longitudinal stress that would be exerted by layer 174 on the channel of an MOS device having a conventionally shaped gate electrode. The resulting mobility of electrons in channel regions 158 of NMOS transistors remains increased because the mobility of electrons in the channel is more sensitive to vertical stresses than to the longitudinal stress.
  • A tensile longitudinal stress on the channel of an MOS transistor increases the mobility of electrons in an NMOS transistor, but decreases the mobility of holes in a PMOS transistor. Accordingly, in fabricating a conventional MOS device, tensile stressed layer 174 is preferably selectively removed from PMOS devices to prevent the mobility degradation of holes, the major carriers of PMOS transistors. Selectively removing layer 174, however, increases the complexity of the fabrication process. In accordance with the present invention, the longitudinal tensile stress in channel region 158 caused by layer 174 is reduced because of the increased spacing caused by the shape of the gate electrode, so tensile stressed layer 174 may be left on PMOS devices without significant mobility degradation of holes.
  • In accordance with a further embodiment of the invention a method for fabricating a stressed MOS device proceeds in the same manner as illustrated in FIGS. 1-3. After removing sidewall spacers 162, spacers 181 and 182 are formed on opposing sidewalls 152 and 154 of vertical portion 150 as illustrated in FIG. 7. Sidewall spacers 181 and 182 are formed of a material such as silicon nitride deposited by LPCVD that has a high tensile stress. The sidewall spacers can be formed in known manner by blanket depositing a layer of silicon nitride or other tensile stressed material and subsequently anisotropically etching the layer to remove the layer from all horizontal surfaces. The tensile stress in the sidewall spacers exerts a downward stress on vertical portion 150 of gate electrode 151 as indicated by arrows 184. The vertical stress indicated by arrows 184 applies a vertical stress to channel region 158 which increases the mobility of carriers in the channel region. The method in accordance with this embodiment of the invention continues with the blanket deposition of a layer 168 of soft material that covers sidewall spacers 181 and 182, source 164 and drain 166 regions, and vertical portion 150 of gate electrode 151. A portion of layer 168 is removed, for example by CMP, to expose top 156 of vertical portion 150 of gate electrode 151. A layer of hard gate electrode forming material 170 is deposited over the remaining portion of layer 168 and contacting the top of the gate electrode. The method then continues with the same steps illustrated in FIGS. 5 and 6 to achieve the device structure illustrated in FIG. 8. The vertical stress exerted on the channel region by tensile stressed sidewall spacers 181 and 182 is combined with the vertical stress exerted on the channel region by tensile stressed layer 174 conveyed through horizontal portion 172 and vertical portion 150 of gate electrode 151.
  • Stressed MOS device 140 can be completed by well known steps (not illustrated) such as depositing a layer of dielectric material, etching opening through the dielectric material to expose portions of the source and drain regions, and forming metallization that extends through the openings to electrically contact the source and drain regions. Further layers of interlayer dielectric material, additional layers of interconnect metallization, and the like may also be applied and patterned to achiever the proper circuit function of the integrated circuit being implemented.
  • While at least one exemplary embodiment has been presented in the foregoing detailed description, it should be appreciated that a vast number of variations exist. It should also be appreciated that the exemplary embodiment or exemplary embodiments are only examples, and are not intended to limit the scope, applicability, or configuration of the invention in any way. Rather, the foregoing detailed description will provide those skilled in the art with a convenient road map for implementing the exemplary embodiment or exemplary embodiments. It should be understood that various changes can be made in the function and arrangement of elements without departing from the scope of the invention as set forth in the appended claims and the legal equivalents thereof.

Claims (20)

1. An MOS transistor comprising:
a monocrystalline semiconductor substrate;
a gate insulator overlying the monocrystalline semiconductor substrate;
a polycrystalline silicon gate electrode overlying the gate insulator, the polycrystalline silicon gate electrode comprising a first portion having a first width in contact with the gate insulator and extending upwardly away from the gate insulator to a second portion having a second width greater than the first width; and
a tensile stressed film overlying the second portion.
2. The MOS transistor of claim 1 further comprising a spacer material adjacent the first portion beneath the second portion, the spacer material having a Young's modulus significantly less than the Young's modulus of the polycrystalline silicon forming the polycrystalline silicon gate electrode.
3. The MOS transistor of claim 2 wherein the spacer material comprises silicon oxide and the tensile stressed film comprises silicon nitride.
4. The MOS transistor of claim 2 wherein the spacer material further comprises a layer of tensile stressed material.
5. The MOS transistor of claim 4 wherein the tensile stressed material comprises silicon nitride.
6. The MOS transistor of claim 1 wherein the monocrystalline semiconductor substrate comprises a silicon substrate having a surface oriented in the (100) crystalline plane.
7. The MOS transistor of claim 6 wherein the first portion overlies a channel in the silicon substrate and the channel has a longitudinal direction oriented along the [110] crystal direction.
8. An MOS transistor comprising:
a monocrystalline semiconductor substrate;
a T-shaped gate electrode formed of a material having a first Young's modulus overlying the monocrystalline semiconductor substrate, the T-shaped gate electrode having a first vertical portion having a first width and a second horizontal portion having a second width greater than the first width and overhanging a space beneath the second horizontal portion and adjacent the first vertical portion;
a tensile stressed film overlying the second horizontal portion; and
an insulating material comprising a material having a second Young's modulus less than the first Young's modulus in the space beneath the second horizontal portion.
9. The MOS transistor of claim 8 wherein the insulating material further comprises a layer of tensile stressed material.
10. The MOS transistor of claim 8 wherein the monocrystalline semiconductor substrate comprises a silicon substrate having a surface oriented in the (100) crystalline plane.
11. The MOS transistor of claim 10 wherein the first vertical portion overlies a channel in the silicon substrate and the channel has a longitudinal direction oriented along the [110] crystal direction.
12. The MOS transistor of claim 1, wherein the first portion has a thickness of about 100 nm.
13. The MOS transistor of claim 1, further comprising a thin layer of silicon oxide disposed adjacent sidewalls of the first portion of the polycrystalline silicon gate electrode.
14. The MOS transistor of claim 1, wherein the second portion is centered over the first portion.
15. The MOS transistor of claim 2, wherein the spacer material comprises silicon oxide, silica glass doped with phosphorous, silica glass doped with boron, silica glass doped with phosphorous and boron, or porous dielectric materials.
16. The MOS transistor of claim 2, further comprising sidewall spacers interposed between sidewalls of the first portion of the polycrystalline silicon gate electrode and the spacer material, wherein the sidewall spacers are formed of a material having a high tensile stress.
17. The MOS transistor of claim 16, wherein the sidewall spacers comprise silicon nitride.
18. The MOS transistor of claim 8, further comprising sidewall spacers interposed between sidewalls of the first vertical portion of the T-shaped gate electrode and the insulating material, wherein the sidewall spacers are formed of a material having a high tensile stress.
19. The MOS transistor of claim 18, wherein the sidewall spacers comprise silicon nitride.
20. The MOS transistor of claim 8, wherein the insulating material comprises silicon oxide, silica glass doped with phosphorous, silica glass doped with boron, silica glass doped with phosphorous and boron, or porous dielectric materials.
US12/254,682 2005-09-21 2008-10-20 Stressed mos device and methods for its fabrication Abandoned US20090050963A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/254,682 US20090050963A1 (en) 2005-09-21 2008-10-20 Stressed mos device and methods for its fabrication

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/231,405 US7456058B1 (en) 2005-09-21 2005-09-21 Stressed MOS device and methods for its fabrication
US12/254,682 US20090050963A1 (en) 2005-09-21 2008-10-20 Stressed mos device and methods for its fabrication

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/231,405 Division US7456058B1 (en) 2005-09-21 2005-09-21 Stressed MOS device and methods for its fabrication

Publications (1)

Publication Number Publication Date
US20090050963A1 true US20090050963A1 (en) 2009-02-26

Family

ID=40029454

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/231,405 Expired - Fee Related US7456058B1 (en) 2005-09-21 2005-09-21 Stressed MOS device and methods for its fabrication
US12/254,682 Abandoned US20090050963A1 (en) 2005-09-21 2008-10-20 Stressed mos device and methods for its fabrication

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/231,405 Expired - Fee Related US7456058B1 (en) 2005-09-21 2005-09-21 Stressed MOS device and methods for its fabrication

Country Status (1)

Country Link
US (2) US7456058B1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140319689A1 (en) * 2012-08-16 2014-10-30 Infineon Technologies Ag Contact Pads with Sidewall Spacers and Method of Making Contact Pads with Sidewall Spacers
US9558950B1 (en) * 2015-08-19 2017-01-31 International Business Machines Corporation Overhang hardmask to prevent parasitic epitaxial nodules at gate end during source drain epitaxy

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100788362B1 (en) * 2006-12-19 2008-01-02 동부일렉트로닉스 주식회사 Mosfet device and method of forming the same
US7671418B2 (en) * 2007-09-14 2010-03-02 Advanced Micro Devices, Inc. Double layer stress for multiple gate transistors
KR101923959B1 (en) * 2012-12-11 2018-12-03 한국전자통신연구원 Transistor and Method of Fabricating the Same
TWI492083B (en) * 2014-06-23 2015-07-11 中原大學 Gate configuration components with stress amplification

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6448163B1 (en) * 2000-08-10 2002-09-10 Advanced Micro Devices, Inc. Method for fabricating T-shaped transistor gate
US6884669B2 (en) * 2003-01-17 2005-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Hatted polysilicon gate structure for improving salicide performance and method of forming the same
US20050104139A1 (en) * 2000-11-15 2005-05-19 Toshiharu Furukawa Method of forming fet with T-shaped gate
US20050280121A1 (en) * 2004-06-21 2005-12-22 International Business Machines Corporation Hybrid substrate technology for high-mobility planar and multiple-gate MOSFETs
US20060009041A1 (en) * 2004-07-06 2006-01-12 Iyer R S Silicon nitride film with stress control
US7008832B1 (en) * 2000-07-20 2006-03-07 Advanced Micro Devices, Inc. Damascene process for a T-shaped gate electrode
US7148145B2 (en) * 2002-09-06 2006-12-12 Advanced Micro Devices, Inc. Semiconductor device having T-shaped gate structure comprising in situ sidewall spacers and method of forming the semiconductor device
US20070063290A1 (en) * 2005-09-20 2007-03-22 Po-Chao Tsao Metal oxide semiconductor transistor

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7008832B1 (en) * 2000-07-20 2006-03-07 Advanced Micro Devices, Inc. Damascene process for a T-shaped gate electrode
US6448163B1 (en) * 2000-08-10 2002-09-10 Advanced Micro Devices, Inc. Method for fabricating T-shaped transistor gate
US20050104139A1 (en) * 2000-11-15 2005-05-19 Toshiharu Furukawa Method of forming fet with T-shaped gate
US7148145B2 (en) * 2002-09-06 2006-12-12 Advanced Micro Devices, Inc. Semiconductor device having T-shaped gate structure comprising in situ sidewall spacers and method of forming the semiconductor device
US6884669B2 (en) * 2003-01-17 2005-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Hatted polysilicon gate structure for improving salicide performance and method of forming the same
US20050280121A1 (en) * 2004-06-21 2005-12-22 International Business Machines Corporation Hybrid substrate technology for high-mobility planar and multiple-gate MOSFETs
US20060009041A1 (en) * 2004-07-06 2006-01-12 Iyer R S Silicon nitride film with stress control
US20070063290A1 (en) * 2005-09-20 2007-03-22 Po-Chao Tsao Metal oxide semiconductor transistor

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140319689A1 (en) * 2012-08-16 2014-10-30 Infineon Technologies Ag Contact Pads with Sidewall Spacers and Method of Making Contact Pads with Sidewall Spacers
US10049994B2 (en) * 2012-08-16 2018-08-14 Infineon Technologies Ag Contact pads with sidewall spacers and method of making contact pads with sidewall spacers
US9558950B1 (en) * 2015-08-19 2017-01-31 International Business Machines Corporation Overhang hardmask to prevent parasitic epitaxial nodules at gate end during source drain epitaxy

Also Published As

Publication number Publication date
US7456058B1 (en) 2008-11-25

Similar Documents

Publication Publication Date Title
US7696534B2 (en) Stressed MOS device
US7326601B2 (en) Methods for fabrication of a stressed MOS device
US8159030B2 (en) Strained MOS device and methods for its fabrication
US10032910B2 (en) FinFET devices having asymmetrical epitaxially-grown source and drain regions and methods of forming the same
US8148214B2 (en) Stressed field effect transistor and methods for its fabrication
KR101243996B1 (en) Methods for fabricating a stressed mos device
US7902008B2 (en) Methods for fabricating a stressed MOS device
US8557666B2 (en) Methods for fabricating integrated circuits
US7612389B2 (en) Embedded SiGe stressor with tensile strain for NMOS current enhancement
US7943999B2 (en) Stress enhanced MOS circuits
US8828839B2 (en) Methods for fabricating electrically-isolated finFET semiconductor devices
US7442601B2 (en) Stress enhanced CMOS circuits and methods for their fabrication
US20020093053A1 (en) Self-aligned double-gate MOSFET by selective epitaxy and silicon wafer bonding techniques
US7601574B2 (en) Methods for fabricating a stress enhanced MOS transistor
US20090050963A1 (en) Stressed mos device and methods for its fabrication
US7462524B1 (en) Methods for fabricating a stressed MOS device

Legal Events

Date Code Title Description
AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: AFFIRMATION OF PATENT ASSIGNMENT;ASSIGNOR:ADVANCED MICRO DEVICES, INC.;REEL/FRAME:023120/0426

Effective date: 20090630

Owner name: GLOBALFOUNDRIES INC.,CAYMAN ISLANDS

Free format text: AFFIRMATION OF PATENT ASSIGNMENT;ASSIGNOR:ADVANCED MICRO DEVICES, INC.;REEL/FRAME:023120/0426

Effective date: 20090630

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117