US20090035665A1 - Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures - Google Patents

Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures Download PDF

Info

Publication number
US20090035665A1
US20090035665A1 US11/831,012 US83101207A US2009035665A1 US 20090035665 A1 US20090035665 A1 US 20090035665A1 US 83101207 A US83101207 A US 83101207A US 2009035665 A1 US2009035665 A1 US 2009035665A1
Authority
US
United States
Prior art keywords
features
photoresist
layer
mask
spacers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US11/831,012
Other versions
US8563229B2 (en
Inventor
Luan C. Tran
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
US Bank NA
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Priority to US11/831,012 priority Critical patent/US8563229B2/en
Assigned to MICRON TECHNOLOGY, INC. reassignment MICRON TECHNOLOGY, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: TRAN, LUAN C.
Priority to PCT/US2008/070932 priority patent/WO2009018059A2/en
Priority to TW097128891A priority patent/TW200913016A/en
Publication of US20090035665A1 publication Critical patent/US20090035665A1/en
Priority to US14/056,367 priority patent/US9412591B2/en
Application granted granted Critical
Publication of US8563229B2 publication Critical patent/US8563229B2/en
Assigned to U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT reassignment U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MICRON TECHNOLOGY, INC.
Assigned to MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT reassignment MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT PATENT SECURITY AGREEMENT Assignors: MICRON TECHNOLOGY, INC.
Assigned to U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT reassignment U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT CORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTEREST. Assignors: MICRON TECHNOLOGY, INC.
Assigned to JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT reassignment JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MICRON SEMICONDUCTOR PRODUCTS, INC., MICRON TECHNOLOGY, INC.
Assigned to MICRON TECHNOLOGY, INC. reassignment MICRON TECHNOLOGY, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT
Assigned to MICRON TECHNOLOGY, INC. reassignment MICRON TECHNOLOGY, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT
Assigned to MICRON TECHNOLOGY, INC., MICRON SEMICONDUCTOR PRODUCTS, INC. reassignment MICRON TECHNOLOGY, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Definitions

  • This invention relates generally to the fabrication of integrated circuits and electronic devices and, more particularly, to masking techniques and related structures.
  • integrated circuits are constantly being reduced in size.
  • the sizes of the constituent features that form the integrated circuits e.g., electrical devices and interconnect lines, are also constantly being decreased to facilitate this size reduction.
  • DRAM dynamic random access memories
  • SRAM static random access memories
  • FE ferroelectric
  • a memory cell typically consists of two electrical devices: a storage capacitor and an access field effect transistor. Each memory cell is an addressable location that may store one bit (binary digit) of data. A bit may be written to a cell through the transistor and may be read by sensing charge in the capacitor.
  • flash memory typically includes billions of flash memory cells containing floating gate field effect transistors that can retain a charge. The presence or absence of a charge in the floating gate determines the logic state of the memory cell. A bit may be written to a cell by injecting charge to or removing charge from a cell. Flash memory cells may be connected in different architecture configurations, each with different schemes for reading bits. In a “NOR” architecture configuration, each memory cell is coupled to a bit line and may be read individually. In a “NAND” architecture configuration, memory cells are aligned in a “string” of cells, and an entire bit line is activated to access data in one of the string of cells.
  • the memory devices may be made smaller. Additionally, storage capacities may be increased by fitting more memory cells on a given area in the memory devices.
  • pitch may be used to describe one aspect of the sizes of features in an integrated circuit such as a memory device.
  • Pitch is defined as the distance between an identical point in two neighboring features, such as features in an array, which are typically arranged in a repeating pattern. These features are typically defined by spaces between adjacent features, which spaces are typically filled by a material, such as an insulator.
  • pitch may be viewed as the sum of the width of a feature and of the width of the space on one side of the feature separating that feature from a neighboring feature. It will be appreciated that the spaces and features, such as lines, typically repeat to form a repetitive pattern of spacers and features.
  • Critical dimension is another term used to describe the sizes of features.
  • the critical dimension is the smallest dimension of a feature in a particular circuit or masking scheme. Controlling the CD of certain structures, such as shallow trench isolation (STI) structures, during integrated circuit fabrication helps to facilitate the continued size reduction of integrated circuits by, e.g., ensuring predictable circuit performance.
  • STI shallow trench isolation
  • photolithography is commonly used to pattern features, such as conductive lines, in integrated circuit fabrication.
  • photolithography techniques may each have a minimum pitch or critical dimension below which a particular photolithographic technique cannot reliably form features.
  • the inherent limitations of photolithographic techniques are obstacles to continued feature size reduction.
  • FIGS. 1A-1F A pitch multiplication method is illustrated in FIGS. 1A-1F and described in U.S. Pat. No. 5,328,810, issued to Lowrey et al., the entire disclosure of which is incorporated herein by reference.
  • a pattern of lines 10 is photolithographically formed in a photoresist layer, which overlies a layer 20 of an expendable material, which in turn overlies a substrate 30 .
  • the pattern in the photoresist layer is transferred to the layer 20 , thereby forming placeholders, or mandrels, 40 .
  • the photoresist lines 10 are stripped and the mandrels 40 are etched to increase the distance between neighboring mandrels 40 , as shown in FIG. 1C .
  • a layer 50 of spacer material is subsequently deposited over the mandrels 40 , as shown in FIG. 1D .
  • Spacers 60 are then formed on the sides of the mandrels 40 .
  • the spacer formation is accomplished by preferentially etching the spacer material from the horizontal surfaces 70 and 80 , as shown in FIG. 1E .
  • the remaining mandrels 40 are then removed, leaving behind only the spacers 60 , which together act as a mask for patterning, as shown in FIG. 1F .
  • the same width now includes two features and two spaces, with the spaces defined by the spacers 60 .
  • pitch doubling this reduction in pitch is conventionally referred to as pitch “doubling,” or, more generally, pitch “multiplication.”
  • pitch “multiplication” of pitch by a certain factor actually involves reducing the pitch by that factor.
  • the conventional terminology is retained herein.
  • FIGS. 1A-1F are schematic, cross-sectional side views of a sequence of masking patterns for forming conductive lines, in accordance with a prior art pitch doubling method
  • FIG. 2A is a schematic top plan view of a partially formed integrated circuit, in accordance with embodiments of the invention.
  • FIGS. 2B-2C are schematic cross-sectional side views of the partially formed integrated circuit of FIG. 2A , in accordance with embodiments of the invention.
  • FIGS. 3A and 3B are schematic cross-sectional side and top plan views of the partially formed integrated circuit of FIGS. 2A-2C after forming lines in a photoresist layer in an array region of the integrated circuit, in accordance with embodiments of the invention;
  • FIGS. 4A and 4B are schematic cross-sectional side and top plan views of the partially formed integrated circuit of FIGS. 3A and 3B after widening spaces between lines in the photoresist layer, in accordance with embodiments of the invention;
  • FIG. 5 is a schematic, cross-sectional side view of the partially formed integrated circuit of FIGS. 4A and 4B after depositing a layer of a spacer material, in accordance with embodiments of the invention
  • FIGS. 6A and 6B are schematic, cross-sectional side and top plan views of the partially formed integrated circuit of FIG. 5 after a spacer etch, in accordance with embodiments of the invention.
  • FIG. 7 is a schematic, cross-sectional side view of the partially formed integrated circuit of FIGS. 6A and 6B after removing a remaining portion of the temporary layer to leave a pattern of spacers in the array region of the integrated circuit, in accordance with embodiments of the invention;
  • FIG. 8 is a schematic, cross-sectional side view of the partially formed integrated circuit of FIG. 7 after depositing a negative photoresist layer between and over the spacers, in accordance with embodiments of the invention
  • FIG. 9 is a schematic, cross-sectional side view of the partially formed integrated circuit of FIG. 8 after forming a pattern in the negative photoresist layer, in accordance with embodiments of the invention.
  • FIG. 10 is a schematic, cross-sectional side view of the partially formed integrated circuit of FIG. 9 after transferring a combined pattern defined by the negative photoresist layer and the spacers to an underlying hard mask layer, in accordance with embodiments of the invention;
  • FIG. 11 is a schematic, cross-sectional side view of the partially formed integrated circuit of FIG. 10 after transferring the combined pattern to a primary mask layer, in accordance with embodiments of the invention.
  • FIG. 12 is a schematic, cross-sectional side view of the partially formed integrated circuit of FIG. 11 after transferring the combined pattern to the underlying substrate, in accordance with embodiments of the invention.
  • FIG. 13 is a schematic, cross-sectional side view of the partially formed integrated circuit of FIG. 11 after performing a spacer removal and before transferring the pattern into the substrate, in accordance with embodiments of the invention
  • FIG. 14 is a schematic, cross-sectional side view of the partially formed integrated circuit of FIG. 12 or 13 after transferring the pattern into the substrate and removing hard mask layers overlying the sustrate, in accordance with embodiments of the invention;
  • FIG. 15 is a schematic, cross-sectional side view of the partially formed integrated circuit of FIG. 14 after depositing a photoresist layer, in accordance with embodiments of the invention
  • FIG. 16 is a schematic, cross-sectional side view of the partially formed integrated circuit of FIG. 15 after patterning the photoresist layer, in accordance with embodiments of the invention.
  • memory circuits typically contain arrays of memory cells located in one part of the circuits and logic circuits located in the so-called “periphery,” outside of the arrays.
  • the memory cells are typically connected by conductive lines and, in the periphery, the conductive lines typically contact landing pads for connecting arrays to logic.
  • Peripheral features such as landing pads, however, may be larger than the conductive lines.
  • periphery electrical devices including peripheral transistors, may be larger than the electrical devices in the array.
  • Pitch multiplication is conventionally limited in its ability to form features of different sizes or shapes.
  • the layer 50 of spacer material typically has a single thickness and because the sizes of the features formed by the spacers 60 usually correspond to that thickness 90 , pitch doubling typically produces features of only one width.
  • peripheral features may be formed with the same pitch or widths as features in the array, because mask patterns formed by pitch multiplication may be limited to those that are formed along the sidewalls of mandrels, pitch multiplication typically does not offer the flexibility, e.g., geometric flexibility, required to define some desired features.
  • a non-pitch multiplied mask may be overlaid a pitch multiplied mask to form a combined mask.
  • the combined mask has the benefit of tightly-spaced, pitch-multiplied features on one part of a mask and larger and/or more geometrically varied features on another part of the mask.
  • pitch-multiplied mask features such as spacers
  • a photoresist layer is deposited directly on and extending above the pitch-multiplied features.
  • the photoresist layer may be patterned by photolithography to form the non-pitch multiplied mask pattern. Patterning the photoresist involves removing some of the photoresist extending on and over the spacers to expose the spacers, so that the part of the combined pattern defined by the spacers may later be transferred to a substrate.
  • positive photoresist may have difficulties forming combined mask patterns that are “clean.”
  • those portions of the photoresist are exposed to light and then developed.
  • the light exposure initiates a chemical reaction which facilitates photoresist removal during the development phase.
  • light is directed into the volume between those spacers.
  • the pitch of the spacers decrease, however, it becomes increasingly difficult for light to fully penetrate into that volume.
  • shadows cast by the spacers and the absorption of light by the photoresist itself may impede the penetration of the light.
  • some residual positive photoresist may remain between spacers after development, leaving a mask which is not “clean”.
  • Increasing the intensity of the light has been found to be ineffective for removing the residual photoresist.
  • increasing the light intensity may cause undesired cross-linking of the photoresist, thereby forming a polymer that is difficult to remove.
  • this cross-linking may be more pronounced in the volume between spacers and can be especially pronounced when spacer CD's, and/or the width of the volume between spacers, are reduced below about 30 nm.
  • some spacers such as silicon oxide spacers, may transmit and focus light. These spacers may locally increase the light intensity in some parts of the volume between the spacers.
  • increasing the light intensity may increase, rather than decrease, the amount of residual photoresist present after exposure in an area which is desired to be open, and spacers may further increase the occurrence of cross-linking.
  • Embodiments of the invention allow for the formation of small features using a mask that is exceptionally clean, with lower levels of residual photoresist than a similar mask formed using positive resist.
  • Embodiments of the invention utilize negative photoresist in combination with small or closely spaced features, including pitch-multiplied features such as spacers, to form the mask pattern.
  • the pitch-multiplied features are formed over a desired area of a substrate, thereby forming a pitch-multiplied pattern.
  • Negative photoresist is deposited over and between the spacers. The negative photoresist is patterned and, in some areas, may be removed from between the pitch-multiplied spacers, allowing a second pattern to be overlaid the pitch-multiplied pattern.
  • the second pattern may be connected to or separated from the pitch-multiplied pattern. It will be appreciated that multiple pitch-multiplied patterns may be formed and the second pattern may be overlaid one or more of those pitch-multiplied patterns. Thus, in some embodiments, some small features may be formed having a pitch below the minimum pitch of a photolithographic method which is used to form other relatively large features.
  • the negative photoresist may be removed without exposure to light.
  • the negative photoresist does not exhibit the problems associated with insufficient light penetration in the areas where photoresist removal is desired.
  • undesired cross-linking due to overexposure to light is prevented, since those areas are not exposed to light.
  • embodiments of the invention allow the formation of clean masks using photoresist in combination with features having a pitch of about 100 nm or less or about 50 nm or less.
  • the CD's of the features can be about half the pitch or less, e.g., about 50 nm or less, or about 25 nm or less.
  • spacer materials such as silicon oxide, which transmit and/or deflect the radiation used to pattern the photoresist, may be utilized without undesired focusing of the radiation causing crosslinking of the photoresist.
  • mask features are formed by pitch multiplication.
  • FIG. 2A shows a top view of a portion of an integrated circuit 100 .
  • the embodiments of the invention may be used to form any integrated circuit and may be applied to form masks for patterning various substrates, they may particularly advantageously be applied to form devices having arrays of electrical devices, including memory cell arrays for volatile and non-volatile memory devices such as DRAM, ROM or flash memory, including NAND or NOR flash memory, or integrated circuits having logic or gate arrays.
  • the logic array may be a field programmable gate array (FPGA) having a core array similar to a memory array and a periphery with supporting logics.
  • the integrated circuit 100 may be, e.g., a memory chip or a processor, which may include both a logic array and embedded memory, or any other integrated circuit having a logic or a gate array.
  • a central region 102 is surrounded by a peripheral region 104 , the “periphery.”
  • the array 102 will typically be densely populated with conducting lines and electrical devices, including transistors and/or capacitors.
  • the electrical devices form a plurality of memory cells, which may be arranged in a regular grid pattern at the intersection of word lines and bit lines.
  • pitch multiplication may be used to form features such as rows/columns of transistors and/or capacitors in the array 102 , as discussed below.
  • the periphery 104 typically comprises features larger than those in the array 102 .
  • FIG. 2B shows a cross-sectional side view of the partially formed integrated circuit 100 .
  • Various masking layers 120 - 140 are provided above a substrate 110 .
  • the layers 120 - 140 will be etched to form a mask for patterning the substrate 110 , as discussed below.
  • a selectively definable layer 120 overlies a hard mask, or etch stop, layer 130 , which overlies a primary mask layer 140 , which overlies the substrate 110 to be processed (e.g., etched) through a mask.
  • the materials for the layers 120 - 140 overlying the substrate 110 are chosen based upon consideration of the chemistry and process conditions for the various pattern forming and pattern transferring steps discussed herein. Because the layers between the topmost selectively definable layer 120 and the substrate 110 function to transfer a pattern derived from the selectively definable layer 120 to the substrate 110 , the layers 130 - 140 between the selectively definable layer 120 and the substrate 110 are chosen so that they may be selectively etched relative to other exposed materials. It will be appreciated that a material is considered selectively, or preferentially, etched when the etch rate for that material is at least about 2-3 times greater, at least about 10 times greater, at least about 20 times greater, or at least about 40 times greater than that for surrounding materials.
  • a goal of the layers 120 - 130 overlying the primary hard mask layer 140 is to allow well-defined patterns to be formed in that layer 140 , it will be appreciated that one or more of the layers 120 - 130 may be omitted or substituted if suitable other materials, chemistries and/or process conditions are used.
  • the primary hard mask layer 140 may be omitted and patterns may be transferred directly to the substrate using the hard mask layer 130 .
  • the selectively definable layer 120 is photodefinable, e.g., formed of a photoresist, including any photoresist, including any positive or negative photoresist, known in the art.
  • the photoresist may be any photoresist compatible with 157 nm, 193 nm, 248 nm or 365 nm wavelength systems, 193 nm wavelength immersion systems, extreme ultraviolet systems (including 13.7 nm wavelength systems) or electron beam lithographic systems.
  • maskless lithography, or maskless photolithography may be used to define the selectively definable layer 120 .
  • photoresist materials include argon fluoride (ArF) sensitive photoresist, i.e., photoresist suitable for use with an ArF light source, and krypton fluoride (KrF) sensitive photoresist, i.e., photoresist suitable for use with a KrF light source.
  • ArF photoresists are used with photolithography systems utilizing relatively short wavelength light, e.g., 193 nm wavelength light.
  • KrF photoresists are used with longer wavelength photolithography systems, such as 248 nm systems.
  • the layer 120 and any subsequent resist layers may be formed of a resist that can be patterned by nano-imprint lithography, e.g., by using a mold or mechanical force to pattern the resist.
  • the material for the hard mask layer 130 comprises an inorganic material.
  • Materials for the hard mask layer 130 include silicon oxide (SiO 2 ), silicon or an anti-reflective coating (ARC), such as a silicon-rich silicon oxynitride, a silicon-rich nitride, or a film that has the desired etch selectivity relative to the spacers 175 or other exposed materials ( FIG. 7 ).
  • the hard mask layer 130 can also include combinations of layers of materials, e.g., a bottom anti-reflective coating (BARC) over a dielectric anti-reflective coating (DARC).
  • BARC bottom anti-reflective coating
  • DARC dielectric anti-reflective coating
  • the hard mask layer 130 is an anti-reflective coating, such as DARC.
  • ARCs for the hard mask layer 130 may be particularly advantageous for forming patterns having pitches near the resolution limits of a photolithographic technique.
  • the ARCs can enhance resolution by minimizing light reflections, thus increasing the precision with which photolithography can define the edges of a pattern.
  • a combination of spin coated BARC over DARC can also be utilized.
  • DARC can be deposited more conformally than BARC in some cases, thereby facilitating the formation of a planar surface for later photoresist deposition.
  • BARC can have superior properties in contact with photoresist.
  • photoresist features formed over BARC can have reduced “footing” (in which the part of the photoresist in contact with the BARC extends out laterally relative to the upper parts of the photoresist feature) relative to similar features formed over DARC.
  • the primary masking layer 140 is formed of amorphous carbon, which advantagously offers very high etch selectivity relative to many hard mask and substrate materials. It has been found that pitch multiplication techniques can encounter difficulty in transferring spacer patterns to a substrate. In common methods of transferring patterns, both the spacers and the underlying substrate are exposed to an etchant, which preferentially etches away the substrate material. The etchants, however, may also wear away the spacers, albeit at a slower rate. Thus, over the course of transferring a pattern to an underlying material, the etchant may wear away the spacers before the pattern transfer is complete.
  • Embodiments of the invention may utilize a primary masking layer to facilitate pattern transfer to a substrate.
  • a primary masking layer may be formed of amorphous carbon, including transparent carbon.
  • the “substrate” to which patterns are transferred may include a layer of a single material, a plurality of layers of different materials, a layer or layers having regions of different materials or structures in them, etc. These materials may include semiconductors, insulators, conductors, or combinations thereof.
  • the substrate may comprise doped polysilicon, an electrical device active area, a silicide, or a metal layer, such as a tungsten, aluminum or copper layer, or combinations thereof.
  • the mask features discussed below may directly correspond to the desired placement of conductive features, such as interconnects, in the substrate.
  • the substrate may be an insulator and the location of mask features can correspond to the desired location of insulators, such as in damascene metallization. Examples of structures formed in the substrate in some embodiments include gate stacks and shallow trench isolation structures.
  • the thicknesses of the layers 120 - 140 are chosen depending upon compatibility with the etch chemistries and process conditions described herein. As discussed above, when transferring a pattern from an overlying layer to an underlying layer by selectively etching the underlying layer, materials from both layers are removed to some degree. Thus, the upper layer is thick enough so that it is not worn away over the course of the pattern transfer.
  • the photodefinable layer 120 is about 50-300 nm thick or about 200-250 nm thick for forming features with about 100 nm resolution or about 50-150 nm thick for forming features with about 50-70 nm resolution. It will be appreciated that, in cases where the layer 120 is a photoresist, this thickness may vary depending upon the wavelength of light used to pattern the layer 120 . A thickness of about 50-300 nm thick or about 200-250 nm thick is particularly advantageous for 248 nm wavelength systems.
  • the hard mask layer 130 is about 30-40 nm thick or about 20-30 nm thick. It will be appreciated that the thickness of the hard mask layer 130 can vary depending upon the selectivity of etches used to etch the hard mask layer 130 and neighboring layers, and depending upon photo-exposure conditions.
  • the thickness of the primary mask layer 140 is chosen based upon the selectivity of the etch chemistry for etching the substrate and based upon the materials and complexity of the substrate. It has been found that a thickness of about 100-400 nm or about 200-300 nm is effective for transferring patterns to a variety of substrates, including substrates having a plurality of different materials to be etched during the transfer. In some embodiments, for patterns with pattern features having critical dimensions of about 25 nm or less, the thickness of the primary mask layer 140 may be about 100-200 nm.
  • FIG. 2C shows a substrate 110 having a plurality of layers which may be etched to form control gates.
  • a silicide layer 110 a overlies a polysilicon layer 110 b, which overlies an oxide-nitride-oxide (ONO) composite layer 110 c, which overlies a polysilicon layer 110 d. Additional layers may be inserted, or some layers may be omitted, as desired, depending upon the application.
  • ONO oxide-nitride-oxide
  • the various layers discussed herein may be formed by various methods. For example, spin-on-coating processes may be used to form photodefinable layers.
  • Various vapor deposition processes such as chemical vapor deposition or atomic layer deposition, may be used to form hard mask layers.
  • the hard mask layer 130 is formed by low temperature deposition processes, performed at less than about 550° C. or at less than about 450° C. or at less than about 400° C. Processing at these low temperatures advantageously aids in maintaining the integrity of the primary masking layer 140 , especially when that layer is formed of amorphous carbon. For example, undesirable ashing may occur if amorphous carbon is exposed to higher temperatures.
  • the amorphous carbon layers may be formed by chemical vapor deposition using a hydrocarbon compound, or mixtures of such compounds, as carbon precursors.
  • Carbon precursors may include propylene, propyne, propane, butane, butylene, butadiene and acetelyne.
  • a method for forming amorphous carbon layers is described in U.S. Pat. No. 6,573,030 B1, issued to Fairbairn et al. on Jun. 3, 2003, the entire disclosure of which is incorporated herein by reference.
  • the amorphous carbon is a form of amorphous carbon that is highly transparent to light and that offers further improvements for photo alignment by being transparent to the wavelengths of light used for such alignment.
  • amorphous carbon may be doped.
  • a suitable method for forming doped amorphous carbon is described in U.S. patent application Ser. No. 10/652,174 to Yin et al., the entire disclosure of which is incorporated herein by reference.
  • the trenches 122 may be formed by, e.g., photolithography with 248 nm or 193 nm light, in which the layer 120 is exposed to radiation through a reticle and then developed. After being developed, the remaining photodefinable material, photoresist in the illustrated embodiment, forms mask features such as the illustrated lines 124 (shown in cross-section only).
  • the pitch of the resulting lines 124 is equal to the sum of the width of a line 124 and the width of a neighboring space 122 .
  • the pitch may be at or near the limits of the photolithographic technique used to pattern the photodefinable layer 120 .
  • the pitch of the lines 124 may be about 100 nm.
  • the pitch may be at the minimum pitch of the photolithographic technique and the spacer pattern discussed below may advantageously have a pitch below the minimum pitch of the photolithographic technique.
  • the lines 124 may be formed having larger feature sizes, e.g., 200 nm or more, to minimize errors in the position and sizes of the lines 124 .
  • the spaces 122 are widened by etching the photoresist lines 124 , to form modified spaces 122 a and lines 124 a.
  • the photoresist lines 124 are etched using an isotropic etch to “shrink” or trim those features. Suitable etches include etches using an oxygen-containing plasma, e.g., a SO 2 /O 2 /N 2 /Ar plasma, a Cl 2 /O 2 /He plasma or a HBr/O 2 /N 2 plasma.
  • the extent of the etch is selected so that the widths of the lines 124 a are substantially equal to the desired spacing between the later-formed spacers 175 ( FIG.
  • the width of the lines 124 may be reduced from about 80-120 nm to about 30-70 nm or about 50-70 nm.
  • the width-reducing etch allows the lines 124 a to be narrower than would otherwise be possible using the photolithographic technique used to pattern the photodefinable layer 120 .
  • the etch may smooth the edges of the lines 124 a, thus improving the uniformity of those lines. While the critical dimensions of the lines 124 a may be etched below the resolution limits of the photolithographic technique, it will be appreciated that this etch does not alter the pitch of the spaces 122 a and lines 124 a, since the distance between identical points in these features remains the same.
  • a layer 170 of spacer material is blanket deposited conformally over exposed surfaces, including the hard mask layer 150 and the top and sidewalls of the temporary layer 140 .
  • the spacer material may be any material that can act as a mask for transferring a pattern to the underlying hard mask layer 130 .
  • the spacer material 1) may be deposited with good step coverage; 2) may be deposited at a temperature compatible with the selectively definable layer 110 ; and 3) may be selectively etched relative to the selectively definable layer 110 and underlying hard mask layer 130 .
  • the spacer material may be, without limitation, silicon, silicon oxide and silicon nitride. In the illustrated embodiment, the spacer material is silicon oxide, which provides particular advantages in combination with other selected materials of the masking stack.
  • Methods for spacer material deposition include atomic layer deposition, e.g., using a self-limiting deposition with a silicon precursor and a subsequent exposure to an oxygen or nitrogen precursor to form silicon oxides and nitrides, respectively.
  • a silicon halide such as silicon hexachlorodisilane (HCD)
  • H 2 O oxygen precursor
  • ALD can be performed at relatively low temperatures, e.g., under about 200° C. or under about 100° C., which has advantages for preventing thermal damage to underlying carbon-based materials, such as photoresist and amorphous carbon layers.
  • chemical vapor deposition is used to deposit the spacer material, e.g., using O 3 and TEOS to form silicon oxide.
  • the thickness of the layer 170 is determined based upon the desired width of the spacers 175 ( FIG. 7 ). For example, in some embodiments, the layer 170 is deposited to a thickness of about 20-80 nm or about 40-60 nm to form spacers of roughly similar widths. The step coverage is about 80% or greater and or about 90% or greater.
  • the silicon oxide spacer layer 170 is subjected to an anisotropic etch to remove spacer material from horizontal surfaces 180 of the partially formed integrated circuit 100 .
  • an etch also known as a spacer etch, may be performed using a fluorocarbon plasma, e.g., containing CF 4 /CHF 3 , C 4 F 8 /CH 2 F 2 or CHF 3 /Ar plasma.
  • the selectively definable layer 120 is next removed to leave freestanding spacers 175 .
  • the selectively definable layer 120 is selectively removed using an organic strip process.
  • Etch chemistries include, without limitation, a oxygen-containing plasma etch, such as an etch using SO 2 .
  • the pitch of the spacers 175 is roughly half that of the photoresist lines 124 and spaces 122 ( FIG. 3A ) originally formed by photolithography. Where the photoresist lines 124 had a pitch of about 200 nm, spacers 175 having a pitch of about 100 nm or less may be formed. It will be appreciated that because the spacers 175 are formed on the sidewalls of the features or lines 124 b, the spacers 175 generally follow the outline of the pattern of features or lines 124 a in the modified photodefinable layer 120 a and, so, typically form a closed loop in the spaces 122 a between the lines 124 a. The spacers 175 form a first pattern 177 .
  • a second pattern is overlaid the first pattern 177 .
  • the second pattern may include features having larger widths than the first pattern 177 .
  • the second pattern may be formed completely, partially, or not overlapping the first pattern 177 .
  • a negative photoresist layer 200 is deposited between and over the pitch-multiplied mask features, the spacers 175 in the illustrated embodiment.
  • the negative photoresist layer 200 extends above an uppermost part of the spacers 175 to provide a substantially planar surface, which has advantages for improving photolithography results relative to an uneven surface by, e.g., reducing shadows that may be caused by uneven topography.
  • the photodefinable layer 200 is patterned by photolithography.
  • the negative photoresist layer 200 may be patterned by exposure to radiation through a reticle and then developed.
  • the negative photoresist may be exposed to an intensity of light that would cause undesired cross-linking of positive photoresist between silicon oxide spacers.
  • the negative photoresist may be exposed to light have an intensity of about 20 mJ/cm 2 or more.
  • a pattern 230 is formed in the photodefinable layer 200 .
  • the area in the photodefinable layer 220 in the array 102 is open, as illustrated.
  • the pattern 230 may partially or completely overlap the pattern 177 or be completely separated from the pattern 177 .
  • the use of different reference numerals ( 177 and 230 ) for these patterns indicates that they were originally formed in different steps.
  • the pattern 177 has a pitch or feature size smaller than the minimum pitch or resolution of the photolithographic technique used in forming it
  • the pattern 230 typically has a pitch or feature size equal to or greater than the minimum pitch or resolution of the photolithographic technique used to form that pattern. It will be appreciated that the pattern 230 at the periphery 104 may be used to form landing pads, transistors, local interconnects, etc.
  • the patterns 177 and 230 are transferred to a level below the spacers and simultaneously transferred to the substrate 110 .
  • transferring a pattern from an overlying level to an underlying level involves forming features in the underlying level that generally correspond to features in the overlying level.
  • the path of lines in the underlying level will generally follow the path of lines in the overlying level and the location of other features in the underlying level will correspond to the location of similar features in the overlying level.
  • the precise shapes and sizes of features may vary from the overlying level to the underlying level, however.
  • the sizes of and relative spacings between the features forming the transferred pattern may be enlarged or diminished relative to the pattern on the overlying level, while still resembling the same initial “pattern,” as can be seen from the example of shrinking the first resist mask in the embodiments described below.
  • the transferred pattern is still considered to be the same pattern as the initial pattern.
  • forming spacers around mask features may change the pattern.
  • the patterns 177 and 230 are both transferred to the hard mask layer 130 .
  • the hard mask 130 may be anisotropically etched using, e.g., an oxygen-containing plasma.
  • the plasma etch chemistries include, without limitation, a HBr/O 2 plasma or a SO 2 -containing plasma.
  • the first and second patterns 177 and 230 may be cleaned.
  • the carbon material forming the photoresist layer 200 may polymerize upon contact with etchants.
  • the etch of the hard mask layer 130 may cause parts of the photoresist layer 200 to polymerize and leave a residue around features in the hard mask layer 130 , causing a pattern having undesirably non-uniform features.
  • the patterns 177 and 230 may be cleaned by stripping off an organic material. The strip may be accomplished using, e.g., an isotropic etch with O 2 plasma or NH 3 /O 2 plasma.
  • an etch stop layer may be provided below the hard mask layer to protect underlying layers from etchants used in the cleaning step.
  • the patterns 177 and 230 are transferred to the primary mask layer 140 .
  • the transfer is accomplished by anisotropically etching the primary mask layer 140 , using, e.g., a SO 2 -containing plasma.
  • etch chemistries include, without limitation, a Cl 2 /O 2 , HBr/O 2 /N 2 or SiCl 4 /O 2 /N 2 /HBr or SiCl 4 /O 2 -containing plasma.
  • the SO 2 -containing plasma is used as it has been found to have excellent selectivity for the amorphous carbon of the primary mask layer 140 relative to the spacers 175 and the hard mask layer 130 .
  • a thick enough mask may be formed in the primary mask layer 140 to later effectively transfer the mask pattern to the substrate 110 , particularly through multiple materials of the substrate 110 using selective etch chemistries and without wearing away the primary mask layer 140 before the pattern transfer is complete.
  • the patterns 177 and 230 are transferred to the substrate 110 using the layer 140 as a mask.
  • the pattern transfer may be readily accomplished using etch chemistries appropriate for etching the material or materials of the substrate 110 .
  • a fluorocarbon etch comprising CF 4 , CHF 3 and/or NF 3 containing plasma may be used to etch silicon nitride
  • a fluorocarbon etch comprising CF 4 , CHF 3 , CH 2 F 2 and/or C 4 F 8 containing plasma may be used to etch silicon oxide and a HBr, Cl 2 , NF 3 , SF 6 and/or CF 4 containing plasma etch may be used to etch silicon.
  • the skilled artisan can readily determine suitable etch chemistries for other substrate materials, such as conductors, including aluminum, transition metals, and transition metal nitrides, or insulators, such as oxides and low-k dielectrics.
  • an aluminum substrate may be etched using a fluorocarbon etch.
  • the sequence of substrate layers 110 a - 110 d may be etched using various etch chemistries, which anisotropically etch the various layers.
  • the silicide layer 110 a may be etched using a Cl 2 /CF 4 plasma; the polysilicon layer 110 b may etched be using a HBr/Cl 2 plasma; the oxide-nitride-oxide (ONO) composite layer 110 c may be etched using a CF 4 /CH 2 F 2 /He plasma; and the polysilicon layer 110 d may be etched using a HBr/He/O 2 plasma
  • the substrate 110 comprises layers of different materials
  • a succession of different chemistries e.g., dry-etch chemistries, may be used to successively etch through these different layers, if a single chemistry is not sufficient to etch all the different materials.
  • the spacers 175 and the hard mask layer 130 may be etched.
  • amorphous carbon for the primary mask layer 140 offers excellent resistance to conventional etch chemistries, especially those used for etching silicon-containing materials.
  • the primary mask layer 140 may effectively be used as a mask for etching through a plurality of substrate layers, or for forming high aspect ratio trenches.
  • the pitch doubled pattern 177 and the pattern 230 formed by conventional lithography may simultaneously be transferred to the substrate 110 , or each individual layer of the substrate 110 , in a single etch step.
  • the spacers 175 may be removed before using the primary mask layer 140 to transfer the patterns 177 and 230 to the substrate 110 . While the primary mask layer is appropriately thick so that it is not worn away before the pattern transfer is complete, it will be appreciated that the spacers 175 and the hard mask layer 130 typically also overlie the primary mask layer 140 when etching the substrate 110 . It has been found, however, that, in cases where the primary mask layer is particularly thick and/or the mask features are very thin, the relatively tall and thin features in the mask may not be structurally stable. As a result, the mask features may deform.
  • an optional spacer or spacer and hard mask removal may be performed to straighten, stabilize and reduce the profile of the mask features before transfer of the pattern to the substrate.
  • the removal is performed using an etch selective for the spacers 175 .
  • the spacer removal may be accomplished using a wet or dry etch, e.g., a wet buffered oxide etch or a dry etch using a CH 2 F 2 /C 4 F 8 /Ar/O 2 plasma.
  • this spacer removal may advantageously straighten and/or stabilize the profile of the features forming the patterns 177 and 230 , especially where the features are taller than optimal for etching the substrate 110 .
  • the material forming the spacers 175 are chosen with structural properties suitable for forming stable high aspect ratio structures, so that the spacers 175 may be retained during etching the substrate.
  • the patterns 177 and 230 are transferred to the substrate 110 .
  • the patterns 177 and 230 are etched into the substrate 110 and overlying mask layers are removed.
  • Pitch multiplied features 310 are formed in the substrate 110 .
  • Transferring the patterns 177 and 230 into the substrate can define various features or electrical devices.
  • the substrate 110 can be etched through the patterns 177 and 230 to form a pattern of trenches.
  • the trenches may be used in damascene processing to form, e.g., electrical interconnects such as word lines and bit lines, by filling the trenches with conductive material.
  • the substrate 10 is a conductor
  • the un-etched conductive material may constitute the electrical interconnects.
  • Trenches defined by etching the substrate 110 may also be filled with insulating material, e.g., silicon oxide, for shall trench isolation applications.
  • insulating material e.g., silicon oxide
  • Memory cell active areas such as for flash memory, may be defined between the trenches and floating and control gates may be formed over the active areas.
  • the patterns 177 and 230 may be used to define gate structures in the substrate 110 .
  • floating and/or control gates may be defined by etching through a sequence of layers, which will form the floating and/or control gates.
  • Insulating material e.g., silicon oxide, can later be deposited between the gate structures for electrical isolation.
  • the patterns 177 and 230 can be transferred to an insulator layer to form trenches, which can then be filled with one or more conductors.
  • patterns according to embodiments of the invention offer numerous advantages. For example, because multiple patterns, with differently-sized features, may be consolidated on a single final mask layer before being transferred to a substrate, overlapping patterns may easily be transferred to the substrate. Thus, pitch-multiplied features and features formed by conventional photolithography may easily be formed connected to each other. In addition, the ease of removal of negative photoresist allows photoresist to be deposited directly on exceptionally small, pitch-multiplied features, e.g., features having a pitch of about 100 nm or less or about 60 nm or 50 nm or less, so that conventional photolithography can be used to define a mask on the same level as the pitch-multiplied features.
  • pitch multiplied patterns typically formed closed loops, since the patterns are formed by spacers that are formed along the walls of a mandrel. Consequently, where the pitch multiplied pattern is used to form conductive lines, additional processing steps may be used to cut off the ends of these loops, so that each loop forms two individual, non-connected lines. This may be accomplished, for example, by forming a protective mask around the parts of the lines to be maintained, while etching away the unprotected ends of the masks. A suitable method for cutting off the ends of the loops is disclosed in U.S. Pat. No. 7,151,040 to Tran et al., issued Dec. 19, 2006, the entire disclosure of which is incorporated be reference herein.
  • the transfer of the end portions of the spacers to a lower level is blocked by appropriate patterning of an overlaid pattern, such as that formed in the layer 200 .
  • an overlaid pattern such as that formed in the layer 200 .
  • negative resist can be applied to overlay a pattern over any pitch-multiplied feature.
  • the pattern 177 can be transferred to lower hard mask layers, or to a substrate, before negative photoresist is deposited on the pitch-multiplied features and patterned to form other features.
  • the negative photoresist can be used to protect patterned features in an array region while other features are formed or patterned in a periphery region.
  • contacts or electrodes may be formed in the periphery region or the substrate may be doped through the mask formed by the negative photoresist.
  • the pitch of the pattern 177 may be more than doubled.
  • the pattern 177 may be further pitch multiplied by forming spacers around the spacers 175 , then removing the spacers 175 , then forming spacers around the spacers that were formerly around the spacers 175 , and so on.
  • An exemplary method for further pitch multiplication is discussed in U.S. Pat. No. 5,328,810 to Lowrey et al.
  • embodiments of the invention may advantageously be applied to form patterns having both pitch multiplied and conventionally photolithographically defined features, the patterns 177 and 230 may both be pitch multiplied or may have different degrees of pitch multiplication.
  • more than two patterns 177 and 230 can be consolidated in the primary mask layer 140 if desired.
  • additional mask layers may be deposited between the layers 130 and 140 .
  • the patterns 177 and 230 may be transferred to a mask layer, e.g., the layer 130 or an additional mask layer overlying the primary hard mask layer 140 and then the sequence of steps illustrated in FIGS. 8-13 may be performed to form a new pattern in a photodefinable layer, and to transfer the patterns to the substrate 110 .
  • additional patterns can be overlaid pitch multiplied features that have been formed in the substrate 110 .
  • a negative photoresist layer 300 can be deposited over and between the pitch-multiplied features 310 formed in the substrate 110 .
  • the negative photoresist layer 300 can be patterned.
  • the substrate 110 can be processed through the patterned layer 300 .
  • the substrate 110 can be implanted with ions while the remaining parts of the layer 300 shields selected portions of the substrate 110 from the ion implantation.
  • embodiments of the invention may be employed multiple times throughout an integrated circuit fabrication process to form pitch multiplied features in a plurality of layers or vertical levels, which may be vertically contiguous or non-contiguous and vertically separated.
  • each of the individual levels to be patterned constitute a substrate 110 and the various layers 120 - 140 may be formed over the individual level to be patterned.
  • the particular composition and height of the various layers 120 - 140 discussed above may be varied depending upon a particular application.
  • the thickness of the layer 140 may be varied depending upon the identity of the substrate 110 , e.g., the chemical composition of the substrate, whether the substrate comprises single or multiple layers of material, the depth of features to be formed, etc., and the available etch chemistries.
  • one or more layers of the layer 120 - 140 may be omitted or more layers may be added.
  • the layer 140 may be omitted in cases where the hard mask layer 130 is sufficient to adequately transfer a pattern to the substrate 110 .
  • processing through the various mask layers may involve etching an underlying layer in some embodiments, processing through the mask layers may involve subjecting layers underlying the mask layers to any semiconductor fabrication process. For example, processing may involve ion implantation, diffusion doping, depositing, or wet etching, etc. through the mask layers and onto underlying layers.
  • the mask layers may be used as a stop or barrier for chemical mechanical polishing (CMP) or CMP may be performed on any of the layers to allow for both planarization and etching of the underlying layers, as discussed in U.S. Patent Application Publication No. 2006-0216923, published Sep. 28, 2006, the entire disclosure of which is incorporated by reference herein.
  • embodiments of the invention may be utilized to pattern other substrates.
  • embodiments of the invention may be applied to form gratings, disk drives, storage media or templates or masks for other lithography techniques, including X-ray or imprint lithography.
  • a method for patterning a substrate comprises providing a photoresist layer over an amorphous carbon layer.
  • the photoresist layer is patterned to form photoresist features.
  • a layer of spacer material is blanket deposited over the photoresist features.
  • the spacer material is preferentially etched from horizontal surfaces to define spacers on sidewalls of the photoresist features.
  • the photoresist features are preferentially removed relative to the spacers.
  • a pattern defined by the spacers is transferred to the amorphous carbon layer to define amorphous carbon mask features.
  • Negative photoresist is deposited over and around the amorphous carbon mask features.
  • the negative photoresist is patterned to form a mask pattern defined by the amorphous carbon mask features and the negative resist.
  • the mask pattern is transferred to a substrate.
  • a method for semiconductor fabrication comprises providing features spaced apart by about 50 nm or less, or about 30 nm or less. Negative photoresist is deposited between and above the features. The negative photoresist is patterned using a photolithographic technique to selectively remove at least some of the negative photoresist from between at least some sections of the features. The features have a feature pitch below a minimum pitch of the photolithographic technique.
  • a method for forming a mask for patterning a substrate comprises patterning a photoresist layer to define photoresist mask features.
  • Other mask features are derived from the photoresist mask features without performing photolithography.
  • the other mask features have a pitch less than about half a pitch of the photoresist mask features.
  • Negative photoresist is deposited over and above the other mask features.
  • the negative photoresist is patterned to expose at least some portions of the other mask features.
  • a pattern defined by the other mask features and the patterned negative photoresist is transferred to an underlying substrate.

Abstract

Spacers are formed by pitch multiplication and a layer of negative photoresist is deposited on and over the spacers to form additional mask features. The deposited negative photoresist layer is patterned, thereby removing photoresist from between the spacers in some areas. During patterning, it is not necessary to direct light to the areas where negative photoresist removal is desired, and the clean removal of the negative photoresist from between the spacers is facilitated. The pattern defined by the spacers and the patterned negative photoresist is transferred to one or more underlying masking layers before being transferred to a substrate.

Description

    REFERENCE TO RELATED APPLICATIONS
  • This application is related to and incorporates the following by reference in their entireties: U.S. patent application Ser. No. 10/931,772 to Abatchev et al., filed Aug. 31, 2004 (Attorney Docket No. MICRON.286A); U.S. patent application Ser. No. 10/932,993 to Abatchev et al., filed Sep. 1, 2004 (Attorney Docket No. MICRON.293A); U.S. patent application Ser. No. 10/931,771 to Tran et al., filed Aug. 31, 2004 (Attorney Docket No. MICRON.295A; U.S. patent application Ser. No. 10/934,317 to Sandhu et al., filed Sep. 2, 2004 (Attorney Docket No. MICRON.296A); U.S. patent application Ser. No. 10/934,778 to Abatchev et al., filed Sep. 2, 2004 (Attorney Docket No. MICRON.294A); U.S. patent application Ser. No. 11/216,477 to Tran et al., filed Aug. 31, 2005 (Attorney Docket No. MICRON.314A); U.S. patent application Ser. No. 11/214,544 to Tran et al., filed Aug. 29, 2005 (Attorney Docket No. MICRON.316A); U.S. patent application Ser. No. 11/134,982 to Abatchev et al., filed May 23, 2005 (Attorney Docket No. MICRON.317A); U.S. patent application Ser. No. 11/217,270 to Wells, filed Sep. 1, 2005 (Attorney Docket No. MICRON.324A); U.S. patent application Ser. No. 11/219,067 to Tran, filed Sep. 1, 2005 (Attorney Docket No. MICRON.331A); U.S. patent application Ser. No. 11/219,604 to Abatchev et al., filed Sep. 1, 2005 (Attorney Docket No. MICRON.333A); U.S. patent application Ser. No. 11/400,603 to Niroomand et al., filed Apr. 7, 2006 (Attorney Docket No. MICRON.343A); U.S. patent application Ser. No. 11/411,401 to Kewley, filed Apr. 25, 2006 (Attorney Docket No. MICRON.347A); and U.S. patent application Ser. No. 11/521,851 to Fischer et al., filed Sep. 14, 2006 (Attorney Docket No. MICRON.348A).
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • This invention relates generally to the fabrication of integrated circuits and electronic devices and, more particularly, to masking techniques and related structures.
  • 2. Description of the Related Art
  • As a consequence of many factors, including demand for increased portability, computing power, memory capacity and energy efficiency, integrated circuits are constantly being reduced in size. The sizes of the constituent features that form the integrated circuits, e.g., electrical devices and interconnect lines, are also constantly being decreased to facilitate this size reduction.
  • The trend of decreasing feature size is evident, for example, in memory circuits or devices such as dynamic random access memories (DRAMs), flash memory, static random access memories (SRAMs), ferroelectric (FE) memories, etc. To take one example, DRAM typically includes millions or billions of identical circuit elements, known as memory cells. A memory cell typically consists of two electrical devices: a storage capacitor and an access field effect transistor. Each memory cell is an addressable location that may store one bit (binary digit) of data. A bit may be written to a cell through the transistor and may be read by sensing charge in the capacitor.
  • In another example, flash memory typically includes billions of flash memory cells containing floating gate field effect transistors that can retain a charge. The presence or absence of a charge in the floating gate determines the logic state of the memory cell. A bit may be written to a cell by injecting charge to or removing charge from a cell. Flash memory cells may be connected in different architecture configurations, each with different schemes for reading bits. In a “NOR” architecture configuration, each memory cell is coupled to a bit line and may be read individually. In a “NAND” architecture configuration, memory cells are aligned in a “string” of cells, and an entire bit line is activated to access data in one of the string of cells.
  • In general, by decreasing the sizes of the electrical devices that constitute a memory cell and the sizes of the conducting lines that access the memory cells, the memory devices may be made smaller. Additionally, storage capacities may be increased by fitting more memory cells on a given area in the memory devices.
  • The concept of pitch may be used to describe one aspect of the sizes of features in an integrated circuit such as a memory device. Pitch is defined as the distance between an identical point in two neighboring features, such as features in an array, which are typically arranged in a repeating pattern. These features are typically defined by spaces between adjacent features, which spaces are typically filled by a material, such as an insulator. As a result, pitch may be viewed as the sum of the width of a feature and of the width of the space on one side of the feature separating that feature from a neighboring feature. It will be appreciated that the spaces and features, such as lines, typically repeat to form a repetitive pattern of spacers and features.
  • Critical dimension (CD) is another term used to describe the sizes of features. The critical dimension is the smallest dimension of a feature in a particular circuit or masking scheme. Controlling the CD of certain structures, such as shallow trench isolation (STI) structures, during integrated circuit fabrication helps to facilitate the continued size reduction of integrated circuits by, e.g., ensuring predictable circuit performance.
  • The continual reduction in feature sizes places ever greater demands on the techniques used to form the features. For example, photolithography is commonly used to pattern features, such as conductive lines, in integrated circuit fabrication. However, due to factors such as optics, light or radiation wavelength and available photoresist materials, photolithography techniques may each have a minimum pitch or critical dimension below which a particular photolithographic technique cannot reliably form features. Thus, the inherent limitations of photolithographic techniques are obstacles to continued feature size reduction.
  • “Pitch doubling” or “pitch multiplication” is one proposed method for extending the capabilities of photolithographic techniques beyond their minimum pitch. A pitch multiplication method is illustrated in FIGS. 1A-1F and described in U.S. Pat. No. 5,328,810, issued to Lowrey et al., the entire disclosure of which is incorporated herein by reference. With reference to FIG. 1A, a pattern of lines 10 is photolithographically formed in a photoresist layer, which overlies a layer 20 of an expendable material, which in turn overlies a substrate 30. As shown in FIG. 1B, the pattern in the photoresist layer is transferred to the layer 20, thereby forming placeholders, or mandrels, 40. The photoresist lines 10 are stripped and the mandrels 40 are etched to increase the distance between neighboring mandrels 40, as shown in FIG. 1C. A layer 50 of spacer material is subsequently deposited over the mandrels 40, as shown in FIG. 1D. Spacers 60 are then formed on the sides of the mandrels 40. The spacer formation is accomplished by preferentially etching the spacer material from the horizontal surfaces 70 and 80, as shown in FIG. 1E. The remaining mandrels 40 are then removed, leaving behind only the spacers 60, which together act as a mask for patterning, as shown in FIG. 1F. Thus, where a given pitch previously included a pattern defining one feature and one space, the same width now includes two features and two spaces, with the spaces defined by the spacers 60.
  • While the pitch is actually halved in the example above, this reduction in pitch is conventionally referred to as pitch “doubling,” or, more generally, pitch “multiplication.” Thus, conventionally, “multiplication” of pitch by a certain factor actually involves reducing the pitch by that factor. The conventional terminology is retained herein.
  • While allowing for smaller critical dimensions and pitch, pitch multiplication faces continuing development as new challenges emerge, as the sizes of features in integrated circuits continue to decrease. Accordingly, there is a constant need for methods and structures for forming small features.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1A-1F are schematic, cross-sectional side views of a sequence of masking patterns for forming conductive lines, in accordance with a prior art pitch doubling method;
  • FIG. 2A is a schematic top plan view of a partially formed integrated circuit, in accordance with embodiments of the invention;
  • FIGS. 2B-2C are schematic cross-sectional side views of the partially formed integrated circuit of FIG. 2A, in accordance with embodiments of the invention;
  • FIGS. 3A and 3B are schematic cross-sectional side and top plan views of the partially formed integrated circuit of FIGS. 2A-2C after forming lines in a photoresist layer in an array region of the integrated circuit, in accordance with embodiments of the invention;
  • FIGS. 4A and 4B are schematic cross-sectional side and top plan views of the partially formed integrated circuit of FIGS. 3A and 3B after widening spaces between lines in the photoresist layer, in accordance with embodiments of the invention;
  • FIG. 5 is a schematic, cross-sectional side view of the partially formed integrated circuit of FIGS. 4A and 4B after depositing a layer of a spacer material, in accordance with embodiments of the invention;
  • FIGS. 6A and 6B are schematic, cross-sectional side and top plan views of the partially formed integrated circuit of FIG. 5 after a spacer etch, in accordance with embodiments of the invention;
  • FIG. 7 is a schematic, cross-sectional side view of the partially formed integrated circuit of FIGS. 6A and 6B after removing a remaining portion of the temporary layer to leave a pattern of spacers in the array region of the integrated circuit, in accordance with embodiments of the invention;
  • FIG. 8 is a schematic, cross-sectional side view of the partially formed integrated circuit of FIG. 7 after depositing a negative photoresist layer between and over the spacers, in accordance with embodiments of the invention;
  • FIG. 9 is a schematic, cross-sectional side view of the partially formed integrated circuit of FIG. 8 after forming a pattern in the negative photoresist layer, in accordance with embodiments of the invention;
  • FIG. 10 is a schematic, cross-sectional side view of the partially formed integrated circuit of FIG. 9 after transferring a combined pattern defined by the negative photoresist layer and the spacers to an underlying hard mask layer, in accordance with embodiments of the invention;
  • FIG. 11 is a schematic, cross-sectional side view of the partially formed integrated circuit of FIG. 10 after transferring the combined pattern to a primary mask layer, in accordance with embodiments of the invention;
  • FIG. 12 is a schematic, cross-sectional side view of the partially formed integrated circuit of FIG. 11 after transferring the combined pattern to the underlying substrate, in accordance with embodiments of the invention;
  • FIG. 13 is a schematic, cross-sectional side view of the partially formed integrated circuit of FIG. 11 after performing a spacer removal and before transferring the pattern into the substrate, in accordance with embodiments of the invention;
  • FIG. 14 is a schematic, cross-sectional side view of the partially formed integrated circuit of FIG. 12 or 13 after transferring the pattern into the substrate and removing hard mask layers overlying the sustrate, in accordance with embodiments of the invention;
  • FIG. 15 is a schematic, cross-sectional side view of the partially formed integrated circuit of FIG. 14 after depositing a photoresist layer, in accordance with embodiments of the invention
  • FIG. 16 is a schematic, cross-sectional side view of the partially formed integrated circuit of FIG. 15 after patterning the photoresist layer, in accordance with embodiments of the invention.
  • DETAILED DESCRIPTION OF THE SOME EMBODIMENTS
  • It will be appreciated that integrated circuits generally employ features of different sizes. For example, memory circuits typically contain arrays of memory cells located in one part of the circuits and logic circuits located in the so-called “periphery,” outside of the arrays. In the arrays, the memory cells are typically connected by conductive lines and, in the periphery, the conductive lines typically contact landing pads for connecting arrays to logic. Peripheral features such as landing pads, however, may be larger than the conductive lines. In addition, periphery electrical devices, including peripheral transistors, may be larger than the electrical devices in the array.
  • Pitch multiplication, however, is conventionally limited in its ability to form features of different sizes or shapes. For example, with reference to FIGS. 1D and 1E, because the layer 50 of spacer material typically has a single thickness and because the sizes of the features formed by the spacers 60 usually correspond to that thickness 90, pitch doubling typically produces features of only one width. Moreover, even if peripheral features may be formed with the same pitch or widths as features in the array, because mask patterns formed by pitch multiplication may be limited to those that are formed along the sidewalls of mandrels, pitch multiplication typically does not offer the flexibility, e.g., geometric flexibility, required to define some desired features.
  • To overcome such limitations, a non-pitch multiplied mask may be overlaid a pitch multiplied mask to form a combined mask. The combined mask has the benefit of tightly-spaced, pitch-multiplied features on one part of a mask and larger and/or more geometrically varied features on another part of the mask. To form the combined mask, pitch-multiplied mask features, such as spacers, are formed by pitch multiplication and a photoresist layer is deposited directly on and extending above the pitch-multiplied features. The photoresist layer may be patterned by photolithography to form the non-pitch multiplied mask pattern. Patterning the photoresist involves removing some of the photoresist extending on and over the spacers to expose the spacers, so that the part of the combined pattern defined by the spacers may later be transferred to a substrate.
  • It has been found, however, that positive photoresist may have difficulties forming combined mask patterns that are “clean.” To remove desired portions of the positive photoresist, those portions of the photoresist are exposed to light and then developed. Typically, the light exposure initiates a chemical reaction which facilitates photoresist removal during the development phase. Thus, to remove photoresist between spacers, or other pitch multiplied features, light is directed into the volume between those spacers. As the pitch of the spacers decrease, however, it becomes increasingly difficult for light to fully penetrate into that volume. For example, shadows cast by the spacers and the absorption of light by the photoresist itself may impede the penetration of the light. As a result, some residual positive photoresist may remain between spacers after development, leaving a mask which is not “clean”.
  • Increasing the intensity of the light has been found to be ineffective for removing the residual photoresist. For example, increasing the light intensity may cause undesired cross-linking of the photoresist, thereby forming a polymer that is difficult to remove. Moreover, this cross-linking may be more pronounced in the volume between spacers and can be especially pronounced when spacer CD's, and/or the width of the volume between spacers, are reduced below about 30 nm. For example, without being limited by theory, some spacers, such as silicon oxide spacers, may transmit and focus light. These spacers may locally increase the light intensity in some parts of the volume between the spacers. Thus, increasing the light intensity may increase, rather than decrease, the amount of residual photoresist present after exposure in an area which is desired to be open, and spacers may further increase the occurrence of cross-linking.
  • Embodiments of the invention allow for the formation of small features using a mask that is exceptionally clean, with lower levels of residual photoresist than a similar mask formed using positive resist. Embodiments of the invention utilize negative photoresist in combination with small or closely spaced features, including pitch-multiplied features such as spacers, to form the mask pattern. The pitch-multiplied features are formed over a desired area of a substrate, thereby forming a pitch-multiplied pattern. Negative photoresist is deposited over and between the spacers. The negative photoresist is patterned and, in some areas, may be removed from between the pitch-multiplied spacers, allowing a second pattern to be overlaid the pitch-multiplied pattern. The second pattern may be connected to or separated from the pitch-multiplied pattern. It will be appreciated that multiple pitch-multiplied patterns may be formed and the second pattern may be overlaid one or more of those pitch-multiplied patterns. Thus, in some embodiments, some small features may be formed having a pitch below the minimum pitch of a photolithographic method which is used to form other relatively large features.
  • The negative photoresist may be removed without exposure to light. As a result, the negative photoresist does not exhibit the problems associated with insufficient light penetration in the areas where photoresist removal is desired. Moreover, undesired cross-linking due to overexposure to light is prevented, since those areas are not exposed to light. Advantageously, embodiments of the invention allow the formation of clean masks using photoresist in combination with features having a pitch of about 100 nm or less or about 50 nm or less. In some embodiments, the CD's of the features can be about half the pitch or less, e.g., about 50 nm or less, or about 25 nm or less. It will be appreciated that using positive photoresist with such closely spaced features may result in relatively high levels of residue photoresist between the features. In addition, spacer materials, such as silicon oxide, which transmit and/or deflect the radiation used to pattern the photoresist, may be utilized without undesired focusing of the radiation causing crosslinking of the photoresist.
  • Reference will now be made to the Figures, wherein like numerals refer to like parts throughout. It will be appreciated that these Figures are not necessarily drawn to scale.
  • In a first phase of embodiments of the invention, mask features are formed by pitch multiplication.
  • FIG. 2A shows a top view of a portion of an integrated circuit 100. While the embodiments of the invention may be used to form any integrated circuit and may be applied to form masks for patterning various substrates, they may particularly advantageously be applied to form devices having arrays of electrical devices, including memory cell arrays for volatile and non-volatile memory devices such as DRAM, ROM or flash memory, including NAND or NOR flash memory, or integrated circuits having logic or gate arrays. For example, the logic array may be a field programmable gate array (FPGA) having a core array similar to a memory array and a periphery with supporting logics. Consequently, the integrated circuit 100 may be, e.g., a memory chip or a processor, which may include both a logic array and embedded memory, or any other integrated circuit having a logic or a gate array.
  • With continued reference to FIG. 2A, a central region 102, the “array,” is surrounded by a peripheral region 104, the “periphery.” It will be appreciated that, in a fully formed integrated circuit 100, the array 102 will typically be densely populated with conducting lines and electrical devices, including transistors and/or capacitors. In a memory device, the electrical devices form a plurality of memory cells, which may be arranged in a regular grid pattern at the intersection of word lines and bit lines. Desirably, pitch multiplication may be used to form features such as rows/columns of transistors and/or capacitors in the array 102, as discussed below. On the other hand, the periphery 104 typically comprises features larger than those in the array 102. Conventional photolithography, rather than pitch multiplication, is preferably used to pattern features, such as logic circuitry, in the periphery 104, because the geometric complexity of logic circuits located in the periphery 104 makes using pitch multiplication difficult, whereas the regular grid typical of array patterns is conducive to pitch multiplication. In addition, some devices in the periphery require larger geometries due to electrical constraints, thereby making pitch multiplication less advantageous than conventional photolithography for such devices. In some cases, the periphery 104 can contain patterns/circuits defined by both conventional lithography and pitch multiplication. In addition to possible differences in relative scale, it will be appreciated by the skilled artisan that the relative positions, and the number of periphery 104 and array 102 regions in the integrated circuit 100 may vary from that depicted.
  • FIG. 2B shows a cross-sectional side view of the partially formed integrated circuit 100. Various masking layers 120-140 are provided above a substrate 110. The layers 120-140 will be etched to form a mask for patterning the substrate 110, as discussed below. In the illustrated embodiment, a selectively definable layer 120 overlies a hard mask, or etch stop, layer 130, which overlies a primary mask layer 140, which overlies the substrate 110 to be processed (e.g., etched) through a mask.
  • The materials for the layers 120-140 overlying the substrate 110 are chosen based upon consideration of the chemistry and process conditions for the various pattern forming and pattern transferring steps discussed herein. Because the layers between the topmost selectively definable layer 120 and the substrate 110 function to transfer a pattern derived from the selectively definable layer 120 to the substrate 110, the layers 130-140 between the selectively definable layer 120 and the substrate 110 are chosen so that they may be selectively etched relative to other exposed materials. It will be appreciated that a material is considered selectively, or preferentially, etched when the etch rate for that material is at least about 2-3 times greater, at least about 10 times greater, at least about 20 times greater, or at least about 40 times greater than that for surrounding materials. Because a goal of the layers 120-130 overlying the primary hard mask layer 140 is to allow well-defined patterns to be formed in that layer 140, it will be appreciated that one or more of the layers 120-130 may be omitted or substituted if suitable other materials, chemistries and/or process conditions are used. For example, where the substrate is relatively simple and may be selectively etched relative to the hard mask layer 130, the primary hard mask layer 140 may be omitted and patterns may be transferred directly to the substrate using the hard mask layer 130.
  • With continued reference to FIG. 2B, the selectively definable layer 120 is photodefinable, e.g., formed of a photoresist, including any photoresist, including any positive or negative photoresist, known in the art. For example, the photoresist may be any photoresist compatible with 157 nm, 193 nm, 248 nm or 365 nm wavelength systems, 193 nm wavelength immersion systems, extreme ultraviolet systems (including 13.7 nm wavelength systems) or electron beam lithographic systems. In addition, maskless lithography, or maskless photolithography, may be used to define the selectively definable layer 120. Examples of photoresist materials include argon fluoride (ArF) sensitive photoresist, i.e., photoresist suitable for use with an ArF light source, and krypton fluoride (KrF) sensitive photoresist, i.e., photoresist suitable for use with a KrF light source. ArF photoresists are used with photolithography systems utilizing relatively short wavelength light, e.g., 193 nm wavelength light. KrF photoresists are used with longer wavelength photolithography systems, such as 248 nm systems. In other embodiments, the layer 120 and any subsequent resist layers may be formed of a resist that can be patterned by nano-imprint lithography, e.g., by using a mold or mechanical force to pattern the resist.
  • In some embodiments, the material for the hard mask layer 130 comprises an inorganic material. Materials for the hard mask layer 130 include silicon oxide (SiO2), silicon or an anti-reflective coating (ARC), such as a silicon-rich silicon oxynitride, a silicon-rich nitride, or a film that has the desired etch selectivity relative to the spacers 175 or other exposed materials (FIG. 7). The hard mask layer 130 can also include combinations of layers of materials, e.g., a bottom anti-reflective coating (BARC) over a dielectric anti-reflective coating (DARC). For ease of description, in the illustrated embodiment, the hard mask layer 130 is an anti-reflective coating, such as DARC. It will be appreciated that using ARCs for the hard mask layer 130 may be particularly advantageous for forming patterns having pitches near the resolution limits of a photolithographic technique. The ARCs can enhance resolution by minimizing light reflections, thus increasing the precision with which photolithography can define the edges of a pattern.
  • A combination of spin coated BARC over DARC can also be utilized. DARC can be deposited more conformally than BARC in some cases, thereby facilitating the formation of a planar surface for later photoresist deposition. BARC, however, can have superior properties in contact with photoresist. For example, photoresist features formed over BARC can have reduced “footing” (in which the part of the photoresist in contact with the BARC extends out laterally relative to the upper parts of the photoresist feature) relative to similar features formed over DARC.
  • With continued reference to FIG. 2B, the primary masking layer 140 is formed of amorphous carbon, which advantagously offers very high etch selectivity relative to many hard mask and substrate materials. It has been found that pitch multiplication techniques can encounter difficulty in transferring spacer patterns to a substrate. In common methods of transferring patterns, both the spacers and the underlying substrate are exposed to an etchant, which preferentially etches away the substrate material. The etchants, however, may also wear away the spacers, albeit at a slower rate. Thus, over the course of transferring a pattern to an underlying material, the etchant may wear away the spacers before the pattern transfer is complete. These difficulties are exacerbated by the trend towards decreasing feature size, which, for example, increasingly leads to the need to form trenches which have increasingly higher depth to width ratios. Thus, in conjunction with difficulties in producing structures having different feature sizes, pattern transfer limitations make the application of pitch multiplication principles to integrated circuit manufacture even more difficult.
  • Embodiments of the invention may utilize a primary masking layer to facilitate pattern transfer to a substrate. As noted above, in common methods of transferring patterns, both the mask and the underlying substrate are exposed to etchant, which may wear away a mask before the pattern transfer is complete. These difficulties are exacerbated where the substrate comprises multiple different materials to be etched. Due to its excellent etch selectivity relative to a variety of materials, including oxides, nitrides and silicon, the primary masking layer may be formed of amorphous carbon, including transparent carbon.
  • It will be appreciated that the “substrate” to which patterns are transferred may include a layer of a single material, a plurality of layers of different materials, a layer or layers having regions of different materials or structures in them, etc. These materials may include semiconductors, insulators, conductors, or combinations thereof. For example, the substrate may comprise doped polysilicon, an electrical device active area, a silicide, or a metal layer, such as a tungsten, aluminum or copper layer, or combinations thereof. In some embodiments, the mask features discussed below may directly correspond to the desired placement of conductive features, such as interconnects, in the substrate. In other embodiments, the substrate may be an insulator and the location of mask features can correspond to the desired location of insulators, such as in damascene metallization. Examples of structures formed in the substrate in some embodiments include gate stacks and shallow trench isolation structures.
  • In addition to selecting appropriate materials for the various layers, the thicknesses of the layers 120-140 are chosen depending upon compatibility with the etch chemistries and process conditions described herein. As discussed above, when transferring a pattern from an overlying layer to an underlying layer by selectively etching the underlying layer, materials from both layers are removed to some degree. Thus, the upper layer is thick enough so that it is not worn away over the course of the pattern transfer.
  • In the illustrated embodiment, the photodefinable layer 120 is about 50-300 nm thick or about 200-250 nm thick for forming features with about 100 nm resolution or about 50-150 nm thick for forming features with about 50-70 nm resolution. It will be appreciated that, in cases where the layer 120 is a photoresist, this thickness may vary depending upon the wavelength of light used to pattern the layer 120. A thickness of about 50-300 nm thick or about 200-250 nm thick is particularly advantageous for 248 nm wavelength systems.
  • In the illustrated embodiment, the hard mask layer 130 is about 30-40 nm thick or about 20-30 nm thick. It will be appreciated that the thickness of the hard mask layer 130 can vary depending upon the selectivity of etches used to etch the hard mask layer 130 and neighboring layers, and depending upon photo-exposure conditions.
  • As discussed above, the thickness of the primary mask layer 140 is chosen based upon the selectivity of the etch chemistry for etching the substrate and based upon the materials and complexity of the substrate. It has been found that a thickness of about 100-400 nm or about 200-300 nm is effective for transferring patterns to a variety of substrates, including substrates having a plurality of different materials to be etched during the transfer. In some embodiments, for patterns with pattern features having critical dimensions of about 25 nm or less, the thickness of the primary mask layer 140 may be about 100-200 nm.
  • As an example of a substrate having a stack of layers, FIG. 2C shows a substrate 110 having a plurality of layers which may be etched to form control gates. A silicide layer 110 a overlies a polysilicon layer 110 b, which overlies an oxide-nitride-oxide (ONO) composite layer 110 c, which overlies a polysilicon layer 110 d. Additional layers may be inserted, or some layers may be omitted, as desired, depending upon the application.
  • The various layers discussed herein may be formed by various methods. For example, spin-on-coating processes may be used to form photodefinable layers. Various vapor deposition processes, such as chemical vapor deposition or atomic layer deposition, may be used to form hard mask layers. In some embodiments, the hard mask layer 130 is formed by low temperature deposition processes, performed at less than about 550° C. or at less than about 450° C. or at less than about 400° C. Processing at these low temperatures advantageously aids in maintaining the integrity of the primary masking layer 140, especially when that layer is formed of amorphous carbon. For example, undesirable ashing may occur if amorphous carbon is exposed to higher temperatures.
  • In addition, the amorphous carbon layers may be formed by chemical vapor deposition using a hydrocarbon compound, or mixtures of such compounds, as carbon precursors. Carbon precursors may include propylene, propyne, propane, butane, butylene, butadiene and acetelyne. A method for forming amorphous carbon layers is described in U.S. Pat. No. 6,573,030 B1, issued to Fairbairn et al. on Jun. 3, 2003, the entire disclosure of which is incorporated herein by reference. In some embodiments, the amorphous carbon is a form of amorphous carbon that is highly transparent to light and that offers further improvements for photo alignment by being transparent to the wavelengths of light used for such alignment. Deposition techniques for forming such transparent carbon can be found in A. Helmbold, D. Meissner, Thin Solid Films, 283 (1996) 196-203, the entire disclosure of which is incorporated herein by reference. In addition, the amorphous carbon may be doped. A suitable method for forming doped amorphous carbon is described in U.S. patent application Ser. No. 10/652,174 to Yin et al., the entire disclosure of which is incorporated herein by reference.
  • With reference to FIGS. 3A and 3B, a pattern comprising spaces or trenches 122, which are delimited by photodefinable material features 124, is formed in the photodefinable layer 120. The trenches 122 may be formed by, e.g., photolithography with 248 nm or 193 nm light, in which the layer 120 is exposed to radiation through a reticle and then developed. After being developed, the remaining photodefinable material, photoresist in the illustrated embodiment, forms mask features such as the illustrated lines 124 (shown in cross-section only).
  • The pitch of the resulting lines 124 is equal to the sum of the width of a line 124 and the width of a neighboring space 122. To minimize the critical dimensions of features formed using this pattern of lines 124 and spaces 122, the pitch may be at or near the limits of the photolithographic technique used to pattern the photodefinable layer 120. For example, for photolithography utilizing 248 nm light, the pitch of the lines 124 may be about 100 nm. Thus, the pitch may be at the minimum pitch of the photolithographic technique and the spacer pattern discussed below may advantageously have a pitch below the minimum pitch of the photolithographic technique. Alternatively, because the margin of error for position and feature size typically increases as the limits of a photolithographic technique are approached, the lines 124 may be formed having larger feature sizes, e.g., 200 nm or more, to minimize errors in the position and sizes of the lines 124.
  • As shown in FIGS. 4A and 4B, the spaces 122 are widened by etching the photoresist lines 124, to form modified spaces 122 a and lines 124 a. The photoresist lines 124 are etched using an isotropic etch to “shrink” or trim those features. Suitable etches include etches using an oxygen-containing plasma, e.g., a SO2/O2/N2/Ar plasma, a Cl2/O2/He plasma or a HBr/O2/N2 plasma. The extent of the etch is selected so that the widths of the lines 124 a are substantially equal to the desired spacing between the later-formed spacers 175 (FIG. 7), as will be appreciated from the discussion below. For example, the width of the lines 124 may be reduced from about 80-120 nm to about 30-70 nm or about 50-70 nm. Advantageously, the width-reducing etch allows the lines 124 a to be narrower than would otherwise be possible using the photolithographic technique used to pattern the photodefinable layer 120. In addition, the etch may smooth the edges of the lines 124 a, thus improving the uniformity of those lines. While the critical dimensions of the lines 124 a may be etched below the resolution limits of the photolithographic technique, it will be appreciated that this etch does not alter the pitch of the spaces 122 a and lines 124 a, since the distance between identical points in these features remains the same.
  • Next, with reference to FIG. 5, a layer 170 of spacer material is blanket deposited conformally over exposed surfaces, including the hard mask layer 150 and the top and sidewalls of the temporary layer 140. The spacer material may be any material that can act as a mask for transferring a pattern to the underlying hard mask layer 130. The spacer material: 1) may be deposited with good step coverage; 2) may be deposited at a temperature compatible with the selectively definable layer 110; and 3) may be selectively etched relative to the selectively definable layer 110 and underlying hard mask layer 130. The spacer material may be, without limitation, silicon, silicon oxide and silicon nitride. In the illustrated embodiment, the spacer material is silicon oxide, which provides particular advantages in combination with other selected materials of the masking stack.
  • Methods for spacer material deposition include atomic layer deposition, e.g., using a self-limiting deposition with a silicon precursor and a subsequent exposure to an oxygen or nitrogen precursor to form silicon oxides and nitrides, respectively. In some embodiments, to form silicon oxide, a silicon halide, such as silicon hexachlorodisilane (HCD), is introduced in alternating pulses with an oxygen precursor, such as H2O. ALD can be performed at relatively low temperatures, e.g., under about 200° C. or under about 100° C., which has advantages for preventing thermal damage to underlying carbon-based materials, such as photoresist and amorphous carbon layers. In other embodiments, chemical vapor deposition is used to deposit the spacer material, e.g., using O3 and TEOS to form silicon oxide.
  • The thickness of the layer 170 is determined based upon the desired width of the spacers 175 (FIG. 7). For example, in some embodiments, the layer 170 is deposited to a thickness of about 20-80 nm or about 40-60 nm to form spacers of roughly similar widths. The step coverage is about 80% or greater and or about 90% or greater.
  • With reference to FIGS. 6A and 6B, the silicon oxide spacer layer 170 is subjected to an anisotropic etch to remove spacer material from horizontal surfaces 180 of the partially formed integrated circuit 100. Such an etch, also known as a spacer etch, may be performed using a fluorocarbon plasma, e.g., containing CF4/CHF3, C4F8/CH2F2 or CHF3/Ar plasma.
  • With reference to FIG. 7, the selectively definable layer 120 is next removed to leave freestanding spacers 175. The selectively definable layer 120 is selectively removed using an organic strip process. Etch chemistries include, without limitation, a oxygen-containing plasma etch, such as an etch using SO2.
  • Thus, pitch-multiplied mask features have been formed. In the illustrated embodiment, the pitch of the spacers 175 is roughly half that of the photoresist lines 124 and spaces 122 (FIG. 3A) originally formed by photolithography. Where the photoresist lines 124 had a pitch of about 200 nm, spacers 175 having a pitch of about 100 nm or less may be formed. It will be appreciated that because the spacers 175 are formed on the sidewalls of the features or lines 124 b, the spacers 175 generally follow the outline of the pattern of features or lines 124 a in the modified photodefinable layer 120 a and, so, typically form a closed loop in the spaces 122 a between the lines 124 a. The spacers 175 form a first pattern 177.
  • Next, in a second phase of methods according to embodiments of the invention, a second pattern is overlaid the first pattern 177. The second pattern may include features having larger widths than the first pattern 177. In addition, the second pattern may be formed completely, partially, or not overlapping the first pattern 177.
  • With reference to FIG. 8, a negative photoresist layer 200 is deposited between and over the pitch-multiplied mask features, the spacers 175 in the illustrated embodiment. In embodiments of the invention, the negative photoresist layer 200 extends above an uppermost part of the spacers 175 to provide a substantially planar surface, which has advantages for improving photolithography results relative to an uneven surface by, e.g., reducing shadows that may be caused by uneven topography.
  • With reference to FIG. 9, the photodefinable layer 200 is patterned by photolithography. The negative photoresist layer 200 may be patterned by exposure to radiation through a reticle and then developed. For example, the negative photoresist may be exposed to an intensity of light that would cause undesired cross-linking of positive photoresist between silicon oxide spacers. In some embodiments, the negative photoresist may be exposed to light have an intensity of about 20 mJ/cm2 or more.
  • With continued reference to FIG. 9, a pattern 230 is formed in the photodefinable layer 200. Where the pattern 230 is used to define features in the periphery 104, the area in the photodefinable layer 220 in the array 102 is open, as illustrated. As noted above, however, while illustrated laterally adjacent the pattern 177, the pattern 230 may partially or completely overlap the pattern 177 or be completely separated from the pattern 177. Thus, the use of different reference numerals (177 and 230) for these patterns indicates that they were originally formed in different steps.
  • While the pattern 177 has a pitch or feature size smaller than the minimum pitch or resolution of the photolithographic technique used in forming it, the pattern 230 typically has a pitch or feature size equal to or greater than the minimum pitch or resolution of the photolithographic technique used to form that pattern. It will be appreciated that the pattern 230 at the periphery 104 may be used to form landing pads, transistors, local interconnects, etc.
  • Next, in a third phase according to embodiment of the invention, the patterns 177 and 230 are transferred to a level below the spacers and simultaneously transferred to the substrate 110.
  • In any of the steps described herein, transferring a pattern from an overlying level to an underlying level involves forming features in the underlying level that generally correspond to features in the overlying level. For example, the path of lines in the underlying level will generally follow the path of lines in the overlying level and the location of other features in the underlying level will correspond to the location of similar features in the overlying level. The precise shapes and sizes of features may vary from the overlying level to the underlying level, however. For example, depending upon etch chemistries and conditions, the sizes of and relative spacings between the features forming the transferred pattern may be enlarged or diminished relative to the pattern on the overlying level, while still resembling the same initial “pattern,” as can be seen from the example of shrinking the first resist mask in the embodiments described below. Thus, even with some changes in the dimensions of features, the transferred pattern is still considered to be the same pattern as the initial pattern. In contrast, forming spacers around mask features may change the pattern.
  • With reference to FIG. 10, the patterns 177 and 230 are both transferred to the hard mask layer 130. Where the hard mask 130 is formed of DARC, it may be anisotropically etched using, e.g., an oxygen-containing plasma. The plasma etch chemistries include, without limitation, a HBr/O2 plasma or a SO2-containing plasma.
  • With continued reference to FIG. 10, the first and second patterns 177 and 230 may be cleaned. As noted above, the carbon material forming the photoresist layer 200 may polymerize upon contact with etchants. For example, the etch of the hard mask layer 130 may cause parts of the photoresist layer 200 to polymerize and leave a residue around features in the hard mask layer 130, causing a pattern having undesirably non-uniform features. Thus, the patterns 177 and 230 may be cleaned by stripping off an organic material. The strip may be accomplished using, e.g., an isotropic etch with O2 plasma or NH3/O2 plasma. In some embodiments, an etch stop layer may be provided below the hard mask layer to protect underlying layers from etchants used in the cleaning step.
  • With reference to FIG. 11, the patterns 177 and 230 are transferred to the primary mask layer 140. The transfer is accomplished by anisotropically etching the primary mask layer 140, using, e.g., a SO2-containing plasma. Other etch chemistries include, without limitation, a Cl2/O2, HBr/O2/N2 or SiCl4/O2/N2/HBr or SiCl4/O2-containing plasma. As noted above, the SO2-containing plasma is used as it has been found to have excellent selectivity for the amorphous carbon of the primary mask layer 140 relative to the spacers 175 and the hard mask layer 130. Thus, a thick enough mask may be formed in the primary mask layer 140 to later effectively transfer the mask pattern to the substrate 110, particularly through multiple materials of the substrate 110 using selective etch chemistries and without wearing away the primary mask layer 140 before the pattern transfer is complete.
  • With reference to FIG. 12, after being transferred to the primary mask layer 140, the patterns 177 and 230 are transferred to the substrate 110 using the layer 140 as a mask. Given the disparate materials typically used for the primary mask layer 140 and the substrate 110 (e.g., amorphous carbon and silicon or silicon compounds, respectively), the pattern transfer may be readily accomplished using etch chemistries appropriate for etching the material or materials of the substrate 110. For example, a fluorocarbon etch comprising CF4, CHF3 and/or NF3 containing plasma may be used to etch silicon nitride, a fluorocarbon etch comprising CF4, CHF3, CH2F2 and/or C4F8 containing plasma may be used to etch silicon oxide and a HBr, Cl2, NF3, SF6 and/or CF4 containing plasma etch may be used to etch silicon. In addition, the skilled artisan can readily determine suitable etch chemistries for other substrate materials, such as conductors, including aluminum, transition metals, and transition metal nitrides, or insulators, such as oxides and low-k dielectrics. For example, an aluminum substrate may be etched using a fluorocarbon etch. In one example, the sequence of substrate layers 110 a-110 d may be etched using various etch chemistries, which anisotropically etch the various layers. The silicide layer 110 a may be etched using a Cl2/CF4 plasma; the polysilicon layer 110 b may etched be using a HBr/Cl2 plasma; the oxide-nitride-oxide (ONO) composite layer 110 c may be etched using a CF4/CH2F2/He plasma; and the polysilicon layer 110 d may be etched using a HBr/He/O2 plasma
  • It will be appreciated that where the substrate 110 comprises layers of different materials, a succession of different chemistries, e.g., dry-etch chemistries, may be used to successively etch through these different layers, if a single chemistry is not sufficient to etch all the different materials. It will also be appreciated that, depending upon the chemistry or chemistries used, the spacers 175 and the hard mask layer 130 may be etched. Using amorphous carbon for the primary mask layer 140, however, offers excellent resistance to conventional etch chemistries, especially those used for etching silicon-containing materials. Thus, the primary mask layer 140 may effectively be used as a mask for etching through a plurality of substrate layers, or for forming high aspect ratio trenches. In addition, the pitch doubled pattern 177 and the pattern 230 formed by conventional lithography may simultaneously be transferred to the substrate 110, or each individual layer of the substrate 110, in a single etch step.
  • With reference to FIG. 13, in some embodiments, the spacers 175 may be removed before using the primary mask layer 140 to transfer the patterns 177 and 230 to the substrate 110. While the primary mask layer is appropriately thick so that it is not worn away before the pattern transfer is complete, it will be appreciated that the spacers 175 and the hard mask layer 130 typically also overlie the primary mask layer 140 when etching the substrate 110. It has been found, however, that, in cases where the primary mask layer is particularly thick and/or the mask features are very thin, the relatively tall and thin features in the mask may not be structurally stable. As a result, the mask features may deform. Thus, an optional spacer or spacer and hard mask removal may be performed to straighten, stabilize and reduce the profile of the mask features before transfer of the pattern to the substrate. The removal is performed using an etch selective for the spacers 175. For example, where the spacers 175 comprise a silicon oxide, the spacer removal may be accomplished using a wet or dry etch, e.g., a wet buffered oxide etch or a dry etch using a CH2F2/C4F8/Ar/O2 plasma. As noted above, this spacer removal may advantageously straighten and/or stabilize the profile of the features forming the patterns 177 and 230, especially where the features are taller than optimal for etching the substrate 110. In other embodiments, the material forming the spacers 175 are chosen with structural properties suitable for forming stable high aspect ratio structures, so that the spacers 175 may be retained during etching the substrate.
  • With reference to FIG. 14, the patterns 177 and 230 are transferred to the substrate 110. In the illustrated embodiment, the patterns 177 and 230 are etched into the substrate 110 and overlying mask layers are removed. Pitch multiplied features 310 are formed in the substrate 110.
  • Transferring the patterns 177 and 230 into the substrate can define various features or electrical devices. For example, the substrate 110 can be etched through the patterns 177 and 230 to form a pattern of trenches. The trenches may be used in damascene processing to form, e.g., electrical interconnects such as word lines and bit lines, by filling the trenches with conductive material. Where the substrate 10 is a conductor, the un-etched conductive material may constitute the electrical interconnects.
  • Trenches defined by etching the substrate 110 may also be filled with insulating material, e.g., silicon oxide, for shall trench isolation applications. Memory cell active areas, such as for flash memory, may be defined between the trenches and floating and control gates may be formed over the active areas.
  • In some embodiments, the patterns 177 and 230 may be used to define gate structures in the substrate 110. For example, floating and/or control gates may be defined by etching through a sequence of layers, which will form the floating and/or control gates. Insulating material, e.g., silicon oxide, can later be deposited between the gate structures for electrical isolation. Alternatively, the patterns 177 and 230 can be transferred to an insulator layer to form trenches, which can then be filled with one or more conductors.
  • It will be appreciated that the formation of patterns according to embodiments of the invention offer numerous advantages. For example, because multiple patterns, with differently-sized features, may be consolidated on a single final mask layer before being transferred to a substrate, overlapping patterns may easily be transferred to the substrate. Thus, pitch-multiplied features and features formed by conventional photolithography may easily be formed connected to each other. In addition, the ease of removal of negative photoresist allows photoresist to be deposited directly on exceptionally small, pitch-multiplied features, e.g., features having a pitch of about 100 nm or less or about 60 nm or 50 nm or less, so that conventional photolithography can be used to define a mask on the same level as the pitch-multiplied features.
  • It will also be appreciated that various modifications of the illustrated process flow are possible. For example, pitch multiplied patterns typically formed closed loops, since the patterns are formed by spacers that are formed along the walls of a mandrel. Consequently, where the pitch multiplied pattern is used to form conductive lines, additional processing steps may be used to cut off the ends of these loops, so that each loop forms two individual, non-connected lines. This may be accomplished, for example, by forming a protective mask around the parts of the lines to be maintained, while etching away the unprotected ends of the masks. A suitable method for cutting off the ends of the loops is disclosed in U.S. Pat. No. 7,151,040 to Tran et al., issued Dec. 19, 2006, the entire disclosure of which is incorporated be reference herein.
  • In some embodiments, the transfer of the end portions of the spacers to a lower level is blocked by appropriate patterning of an overlaid pattern, such as that formed in the layer 200. As a result, the transferred pattern does not contain loops, since the transfer of the loop ends can be blocked as desired by the overlaid pattern.
  • While shown deposited over and between pitch-multiplied spacers, negative resist can be applied to overlay a pattern over any pitch-multiplied feature. For example, the pattern 177 can be transferred to lower hard mask layers, or to a substrate, before negative photoresist is deposited on the pitch-multiplied features and patterned to form other features. The negative photoresist can be used to protect patterned features in an array region while other features are formed or patterned in a periphery region. For example, contacts or electrodes may be formed in the periphery region or the substrate may be doped through the mask formed by the negative photoresist.
  • It will also be appreciated that the pitch of the pattern 177 may be more than doubled. For example, the pattern 177 may be further pitch multiplied by forming spacers around the spacers 175, then removing the spacers 175, then forming spacers around the spacers that were formerly around the spacers 175, and so on. An exemplary method for further pitch multiplication is discussed in U.S. Pat. No. 5,328,810 to Lowrey et al. In addition, while embodiments of the invention may advantageously be applied to form patterns having both pitch multiplied and conventionally photolithographically defined features, the patterns 177 and 230 may both be pitch multiplied or may have different degrees of pitch multiplication.
  • Moreover, more than two patterns 177 and 230 can be consolidated in the primary mask layer 140 if desired. In such cases, additional mask layers may be deposited between the layers 130 and 140. For example, the patterns 177 and 230 may be transferred to a mask layer, e.g., the layer 130 or an additional mask layer overlying the primary hard mask layer 140 and then the sequence of steps illustrated in FIGS. 8-13 may be performed to form a new pattern in a photodefinable layer, and to transfer the patterns to the substrate 110.
  • With reference to FIG. 15, additional patterns can be overlaid pitch multiplied features that have been formed in the substrate 110. For example, after removing overlying mask layers, a negative photoresist layer 300 can be deposited over and between the pitch-multiplied features 310 formed in the substrate 110.
  • With reference to FIG. 16, the negative photoresist layer 300 can be patterned. The substrate 110 can be processed through the patterned layer 300. For example, the substrate 110 can be implanted with ions while the remaining parts of the layer 300 shields selected portions of the substrate 110 from the ion implantation.
  • In addition, embodiments of the invention may be employed multiple times throughout an integrated circuit fabrication process to form pitch multiplied features in a plurality of layers or vertical levels, which may be vertically contiguous or non-contiguous and vertically separated. In such cases, each of the individual levels to be patterned constitute a substrate 110 and the various layers 120-140 may be formed over the individual level to be patterned. It will also be appreciated that the particular composition and height of the various layers 120-140 discussed above may be varied depending upon a particular application. For example, the thickness of the layer 140 may be varied depending upon the identity of the substrate 110, e.g., the chemical composition of the substrate, whether the substrate comprises single or multiple layers of material, the depth of features to be formed, etc., and the available etch chemistries. In some cases, one or more layers of the layer 120-140 may be omitted or more layers may be added. For example, the layer 140 may be omitted in cases where the hard mask layer 130 is sufficient to adequately transfer a pattern to the substrate 110.
  • Also, while “processing” through the various mask layers may involve etching an underlying layer in some embodiments, processing through the mask layers may involve subjecting layers underlying the mask layers to any semiconductor fabrication process. For example, processing may involve ion implantation, diffusion doping, depositing, or wet etching, etc. through the mask layers and onto underlying layers. In addition, the mask layers may be used as a stop or barrier for chemical mechanical polishing (CMP) or CMP may be performed on any of the layers to allow for both planarization and etching of the underlying layers, as discussed in U.S. Patent Application Publication No. 2006-0216923, published Sep. 28, 2006, the entire disclosure of which is incorporated by reference herein.
  • In addition to partially fabricated integrated circuits, embodiments of the invention may be utilized to pattern other substrates. For example, embodiments of the invention may be applied to form gratings, disk drives, storage media or templates or masks for other lithography techniques, including X-ray or imprint lithography.
  • Consequently, it will be appreciated from the description herein that the invention includes various embodiments. For example, according to some embodiments of the invention, a method for patterning a substrate is provided. The method comprises providing a photoresist layer over an amorphous carbon layer. The photoresist layer is patterned to form photoresist features. A layer of spacer material is blanket deposited over the photoresist features. The spacer material is preferentially etched from horizontal surfaces to define spacers on sidewalls of the photoresist features. The photoresist features are preferentially removed relative to the spacers. A pattern defined by the spacers is transferred to the amorphous carbon layer to define amorphous carbon mask features. Negative photoresist is deposited over and around the amorphous carbon mask features. The negative photoresist is patterned to form a mask pattern defined by the amorphous carbon mask features and the negative resist. The mask pattern is transferred to a substrate.
  • According to other embodiments the invention, a method for semiconductor fabrication is provided. The method comprises providing features spaced apart by about 50 nm or less, or about 30 nm or less. Negative photoresist is deposited between and above the features. The negative photoresist is patterned using a photolithographic technique to selectively remove at least some of the negative photoresist from between at least some sections of the features. The features have a feature pitch below a minimum pitch of the photolithographic technique.
  • According to yet other embodiments of the invention, a method for forming a mask for patterning a substrate is provided. The method comprises patterning a photoresist layer to define photoresist mask features. Other mask features are derived from the photoresist mask features without performing photolithography. The other mask features have a pitch less than about half a pitch of the photoresist mask features. Negative photoresist is deposited over and above the other mask features. The negative photoresist is patterned to expose at least some portions of the other mask features. A pattern defined by the other mask features and the patterned negative photoresist is transferred to an underlying substrate.
  • In addition to the above disclosure, it will also be appreciated by those skilled in the art that various omissions, additions and modifications may be made to the methods and structures described above without departing from the scope of the invention. All such modifications and changes are intended to fall within the scope of the invention, as defined by the appended claims.

Claims (36)

1. A method for patterning a substrate, comprising:
providing a photoresist layer over an amorphous carbon layer;
patterning the photoresist layer to form photoresist features;
blanket depositing a layer of spacer material over the photoresist features;
preferentially etching the spacer material from horizontal surfaces to define spacers on sidewalls of the photoresist features;
preferentially removing the photoresist features relative to the spacers;
transferring a pattern defined by the spacers to the amorphous carbon layer to define amorphous carbon mask features;
depositing negative photoresist over and around the amorphous carbon mask features;
patterning the negative photoresist to form a mask pattern defined by the amorphous carbon mask features and the negative resist; and
transferring the mask pattern to a substrate.
2. The method of claim 1, further comprising trimming the photoresist features before blanket depositing the layer of spacer material.
3. The method of claim 2, wherein trimming the photoresist features comprises exposing the photoresist features to an isotropic etch.
4. The method of claim 1, further comprising removing the spacers before depositing the negative photoresist.
5. The method of claim 1, wherein blanket depositing the layer of spacer material comprises depositing the spacer material by atomic layer deposition.
6. The method of claim 1, wherein the spacer material is silicon oxide.
7. The method of claim 1, further comprising transferring the pattern defined by the spacers to a hardmask layer before transferring the pattern defined by the spacers to the amorphous carbon layer.
8. The method of claim 7, wherein providing the photoresist layer over the amorphous carbon layer comprises providing the photoresist layer directly on the hardmask layer.
9. The method of claim 7, wherein the hardmask layer comprises an antireflective coating.
10. The method of claim 1, wherein the amorphous carbon is transparent carbon.
11. The method of claim 1, wherein transferring the mask pattern to the substrate defines interconnect lines.
12. The method of claim 1, wherein transferring the mask pattern to the substrate defines control gates of a memory device.
13. The method of claim 1, wherein the spacers extend in spaced, generally parallel relation to one another for at least a part of a length of the spacers.
14. A method for semiconductor fabrication, comprising:
providing features spaced apart by about 50 nm or less;
depositing negative photoresist between and above the features; and
patterning the negative photoresist using a photolithographic technique to selectively remove at least some of the negative photoresist from between at least some sections of the features, wherein the features have a feature pitch below a minimum pitch of the photolithographic technique.
15. The method of claim 14, wherein selectively removing comprises selectively exposing the negative photoresist to light, wherein an intensity of the light is about 20 mJ/cm or more.
16. The method of claim 14, wherein the feature pitch is about 100 nm or less.
17. The method of claim 14, wherein providing features comprises:
providing a plurality of mandrels;
depositing a layer of material on sidewalls and top surfaces of the mandrels;
anisotropically etching the layer of material to form the mask features on sidewalls of the mandrels; and
preferentially removing the mandrels relative to the mask features.
18. The method of claim 17, wherein providing the plurality of mandrels comprises patterning a photoresist layer, wherein the mandrels are formed of photoresist.
19. A method for forming a mask for patterning a substrate, comprising:
patterning a photoresist layer to define photoresist mask features;
deriving other mask features from the photoresist mask features without performing photolithography, the other mask features having a pitch less than about half a pitch of the photoresist mask features;
depositing negative photoresist over and above the other mask features;
patterning the negative photoresist to expose at least some portions of the other mask features; and
transferring a pattern defined by the other mask features and the patterned negative photoresist to an underlying substrate.
20. The method of claim 19, wherein selectively removing the photoresist mask features comprises performing a development process without exposing the photoresist mask features to light through a reticle.
21. The method of claim 19, wherein patterning the photoresist layer comprises performing photolithography, wherein the pitch of the other mask features is less than a minimum pitch of the photolithographic technique used to define the photoresist mask features.
22. The method of claim 21, wherein performing photolithography comprises exposing photoresist to light having a wavelength chosen from the group consisting of 13.7 nm, 157 nm, 193 nm, 248 nm or 365 nm wavelength light.
23. The method of claim 19, wherein deriving other mask features comprises:
blanket depositing a layer of spacer material on the photoresist mask features;
exposing the layer of spacer material to a directional etch to form spacers on sidewalls of the photoresist mask features, wherein the spacers constitute the other mask features; and
selectively removing the photoresist mask features.
24. The method of claim 19, wherein the substrate is a partially fabricated integrated circuit, wherein patterning the negative photoresist comprises exposing the portions of the other mask features directly overlying an array region of the partially fabricated integrated circuit.
25. The method of claim 24, wherein patterning the negative photoresist comprises defining peripheral mask features directly over a periphery region of the partially fabricated integrated circuit.
26. A partially formed integrated circuit, comprising:
a substrate;
a primary mask layer overlying the substrate, the primary mask layer formed of a material different from photoresist;
a hard mask layer overlying the primary mask layer;
mask features overlying the hard mask layer; and
patterned negative photoresist on a same level as the mask features, at least some of the negative photoresist extending above an uppermost part of the mask features.
27. The partially formed integrated circuit of claim 26, wherein the primary mask layer is amorphous carbon.
28. The partially formed integrated circuit of claim 26, wherein the hard mask layer is an anti-reflective coating.
29. The partially formed integrated circuit of claim 26, wherein the mask features are spacers.
30. The partially formed integrated circuit of claim 29, wherein the spacers are formed of silicon oxide.
31. The partially formed integrated circuit of claim 26, wherein the substrate comprises a plurality of layers of different materials.
32. The partially formed integrated circuit of claim 31, wherein the partially formed integrated circuit is a memory device.
33. The partially formed integrated circuit of claim 26, wherein the mask features correspond to features of a gate array.
34. The partially formed integrated circuit of claim 26, wherein the mask features correspond to features of a logic array.
35. The partially formed integrated circuit of claim 26, wherein the mask features have a pitch of about 50 nm or less.
36. The partially formed integrated circuit of claim 26, wherein the pitch is about 30 nm or less.
US11/831,012 2007-07-31 2007-07-31 Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures Active 2029-05-05 US8563229B2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US11/831,012 US8563229B2 (en) 2007-07-31 2007-07-31 Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
PCT/US2008/070932 WO2009018059A2 (en) 2007-07-31 2008-07-23 Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
TW097128891A TW200913016A (en) 2007-07-31 2008-07-30 Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US14/056,367 US9412591B2 (en) 2007-07-31 2013-10-17 Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/831,012 US8563229B2 (en) 2007-07-31 2007-07-31 Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/056,367 Division US9412591B2 (en) 2007-07-31 2013-10-17 Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures

Publications (2)

Publication Number Publication Date
US20090035665A1 true US20090035665A1 (en) 2009-02-05
US8563229B2 US8563229B2 (en) 2013-10-22

Family

ID=40305195

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/831,012 Active 2029-05-05 US8563229B2 (en) 2007-07-31 2007-07-31 Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US14/056,367 Active US9412591B2 (en) 2007-07-31 2013-10-17 Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures

Family Applications After (1)

Application Number Title Priority Date Filing Date
US14/056,367 Active US9412591B2 (en) 2007-07-31 2013-10-17 Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures

Country Status (3)

Country Link
US (2) US8563229B2 (en)
TW (1) TW200913016A (en)
WO (1) WO2009018059A2 (en)

Cited By (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070048942A1 (en) * 2005-08-30 2007-03-01 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US20080012070A1 (en) * 2005-07-08 2008-01-17 Werner Juengling Apparatus for a self-aligned recessed access device (rad) transistor gate
US20080142882A1 (en) * 2004-09-01 2008-06-19 Tang Sanh D Transistors
US20080166856A1 (en) * 2005-03-25 2008-07-10 Parekh Kunal R Methods of Forming Recessed Access Devices Associated With Semiconductor Constructions
US20090032963A1 (en) * 2007-08-03 2009-02-05 Micron Technology, Inc. Semiconductor structures including tight pitch contacts and methods to form same
US20090087990A1 (en) * 2007-09-28 2009-04-02 Tokyo Electron Limited Manufacturing method, manufacturing apparatus, control program and program recording medium of semiconductor device
US20090163035A1 (en) * 2005-03-08 2009-06-25 Lam Research Corporation Etch with high etch rate resist mask
US20090212012A1 (en) * 2008-02-27 2009-08-27 Molecular Imprints, Inc. Critical dimension control during template formation
US20090305497A1 (en) * 2008-06-05 2009-12-10 Mitsuhiro Omura Method for fabricating semiconductor device
US20090311845A1 (en) * 2006-09-07 2009-12-17 Micron Technology, Inc. One Transistor Memory Cell with Bias Gate
US20100028801A1 (en) * 2008-08-01 2010-02-04 International Businesss Machines Corporation Lithography for pitch reduction
US7700441B2 (en) 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US20100240217A1 (en) * 2009-03-13 2010-09-23 Tokyo Electron Limited Substrate processing method
US20110223734A1 (en) * 2010-03-09 2011-09-15 Davis Neal L Methods of forming an array of memory cells, methods of forming a plurality of field effect transistors, methods of forming source/drain regions and isolation trenches, and methods of forming a series of spaced trenches into a substrate
US20120009791A1 (en) * 2010-07-08 2012-01-12 Zhang Yingkang Pattern formation method
US20130049072A1 (en) * 2011-08-25 2013-02-28 Lars P. Heineck Arrays Of Recessed Access Devices, Methods Of Forming Recessed Access Gate Constructions, And Methods Of Forming Isolation Gate Constructions In The Fabrication Of Recessed Access Devices
US8394699B2 (en) 2006-08-21 2013-03-12 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US20130122699A1 (en) * 2010-02-11 2013-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Novel hard mask removal method
US8492278B2 (en) 2010-03-30 2013-07-23 Micron Technology, Inc. Method of forming a plurality of spaced features
US8551823B2 (en) 2006-07-17 2013-10-08 Micron Technology, Inc. Methods of forming lines of capacitorless one transistor DRAM cells, methods of patterning substrates, and methods of forming two conductive lines
JP2014029997A (en) * 2012-06-26 2014-02-13 Dainippon Printing Co Ltd Manufacturing method of template for nanoimprint
US8772166B2 (en) 2007-11-01 2014-07-08 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
US8865600B2 (en) * 2013-01-04 2014-10-21 Taiwan Semiconductor Manufacturing Company Limited Patterned line end space
US8871646B2 (en) 2008-11-24 2014-10-28 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
US8871648B2 (en) 2007-12-06 2014-10-28 Micron Technology, Inc. Method for forming high density patterns
US8928111B2 (en) 2008-07-03 2015-01-06 Micron Technology, Inc. Transistor with high breakdown voltage having separated drain extensions
US8932960B2 (en) 2007-12-18 2015-01-13 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
US20150087144A1 (en) * 2013-09-26 2015-03-26 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and method of manufacturing metal gate semiconductor device
US9005463B2 (en) 2013-05-29 2015-04-14 Micron Technology, Inc. Methods of forming a substrate opening
US9048194B2 (en) 2008-03-21 2015-06-02 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
CN107863318A (en) * 2017-11-22 2018-03-30 睿力集成电路有限公司 Integrated circuit patterns and forming method based on pitch-multiplied formation
US10262856B2 (en) * 2016-12-16 2019-04-16 The United States Of America, As Represented By The Secretary Of The Navy Selective oxidation of transition metal nitride layers within compound semiconductor device structures
CN109937467A (en) * 2016-11-14 2019-06-25 朗姆研究公司 For high mode ALD SiO2The method of spacer
US10515801B2 (en) 2007-06-04 2019-12-24 Micron Technology, Inc. Pitch multiplication using self-assembling materials

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8980756B2 (en) 2007-07-30 2015-03-17 Micron Technology, Inc. Methods for device fabrication using pitch reduction
US8026179B2 (en) * 2009-04-09 2011-09-27 Macronix International Co., Ltd. Patterning method and integrated circuit structure
FR2990794B1 (en) * 2012-05-16 2016-11-18 Commissariat Energie Atomique METHOD FOR PRODUCING A SUBSTRATE WITH VARIED ACTIVE ZONES AND PLANAR AND THREE DIMENSIONAL TRANSISTORS
JP2014135417A (en) * 2013-01-11 2014-07-24 Canon Inc Method for forming pattern and method for manufacturing article using the same
US9312191B2 (en) 2014-08-14 2016-04-12 Globalfoundries Inc. Block patterning process for post fin
US9412612B2 (en) * 2014-08-29 2016-08-09 Macronix International Co., Ltd. Method of forming semiconductor device
WO2016124345A1 (en) * 2015-02-04 2016-08-11 Asml Netherlands B.V. Metrology method, metrology apparatus and device manufacturing method
US9443731B1 (en) 2015-02-20 2016-09-13 Tokyo Electron Limited Material processing to achieve sub-10nm patterning
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
US10559492B2 (en) 2017-11-15 2020-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning methods for semiconductor devices and structures resulting therefrom

Citations (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4432132A (en) * 1981-12-07 1984-02-21 Bell Telephone Laboratories, Incorporated Formation of sidewall oxide layers by reactive oxygen ion etching to define submicron features
US4502914A (en) * 1982-11-13 1985-03-05 International Business Machines Corporation Method of making structures with dimensions in the sub-micrometer range
US4508579A (en) * 1981-03-30 1985-04-02 International Business Machines Corporation Lateral device structures using self-aligned fabrication techniques
US4648937A (en) * 1985-10-30 1987-03-10 International Business Machines Corporation Method of preventing asymmetric etching of lines in sub-micrometer range sidewall images transfer
US4838991A (en) * 1987-10-30 1989-06-13 International Business Machines Corporation Process for defining organic sidewall structures
US5013680A (en) * 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5117027A (en) * 1990-10-31 1992-05-26 Huls Aktiengesellschaft Process for the preparation of organosilanes containing methacryloyloxy or acryloyloxy groups
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5330879A (en) * 1992-07-16 1994-07-19 Micron Technology, Inc. Method for fabrication of close-tolerance lines and sharp emission tips on a semiconductor wafer
US5514885A (en) * 1986-10-09 1996-05-07 Myrick; James J. SOI methods and apparatus
US5593813A (en) * 1994-07-14 1997-01-14 Hyundai Electronics Industries Co. Ltd. Method for forming submicroscopic patterns
US5670794A (en) * 1994-10-07 1997-09-23 Micron Technology, Inc. Thin film transistors
US5753546A (en) * 1995-06-30 1998-05-19 Hyundai Electronics Industries Co., Ltd. Method for fabricating metal oxide field effect transistors
US5795830A (en) * 1995-06-06 1998-08-18 International Business Machines Corporation Reducing pitch with continuously adjustable line and space dimensions
US6010946A (en) * 1996-08-21 2000-01-04 Nec Corporation Semiconductor device with isolation insulating film tapered and method of manufacturing the same
US6020255A (en) * 1998-07-13 2000-02-01 Taiwan Semiconductor Manufacturing Company Dual damascene interconnect process with borderless contact
US6042998A (en) * 1993-09-30 2000-03-28 The University Of New Mexico Method and apparatus for extending spatial frequencies in photolithography images
US6057573A (en) * 1998-05-27 2000-05-02 Vanguard International Semiconductor Corporation Design for high density memory with relaxed metal pitch
US6063688A (en) * 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
US6071789A (en) * 1998-11-10 2000-06-06 Vanguard International Semiconductor Corporation Method for simultaneously fabricating a DRAM capacitor and metal interconnections
US6207490B1 (en) * 1997-12-11 2001-03-27 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US6211044B1 (en) * 1999-04-12 2001-04-03 Advanced Micro Devices Process for fabricating a semiconductor device component using a selective silicidation reaction
US6288454B1 (en) * 1999-05-13 2001-09-11 Lsi Logic Corporation Semiconductor wafer having a layer-to-layer alignment mark and method for fabricating the same
US6291334B1 (en) * 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6348380B1 (en) * 2000-08-25 2002-02-19 Micron Technology, Inc. Use of dilute steam ambient for improvement of flash devices
US6362057B1 (en) * 1999-10-26 2002-03-26 Motorola, Inc. Method for forming a semiconductor device
US20020042198A1 (en) * 2000-09-20 2002-04-11 Bjarni Bjarnason Method in etching of a substrate
US20020045308A1 (en) * 1996-11-01 2002-04-18 Werner Juengling Semiconductor processing methods of forming a plurality of capacitors on a substrate, bit line contacts and method of forming bit line contacts
US6383907B1 (en) * 1999-09-08 2002-05-07 Sony Corporation Process for fabricating a semiconductor device
US20020063110A1 (en) * 2000-11-30 2002-05-30 Cantell Marc W. Etching of hard masks
US20020094688A1 (en) * 2001-01-16 2002-07-18 Semiconductor Leading Edge Technologies, Inc. Method of forming fine patterns
US6423474B1 (en) * 2000-03-21 2002-07-23 Micron Technology, Inc. Use of DARC and BARC in flash memory processing
US20020127810A1 (en) * 2000-05-29 2002-09-12 Fujitsu Limited Semiconductor device and method for fabricating the same
US6455372B1 (en) * 2000-08-14 2002-09-24 Micron Technology, Inc. Nucleation for improved flash erase characteristics
US20030006410A1 (en) * 2000-03-01 2003-01-09 Brian Doyle Quantum wire gate device and method of making same
US6514884B2 (en) * 1998-02-06 2003-02-04 Semiconductor Process Laboratory Co., Ltd. Method for reforming base surface, method for manufacturing semiconductor device and equipment for manufacturing the same
US6522584B1 (en) * 2001-08-02 2003-02-18 Micron Technology, Inc. Programming methods for multi-level flash EEPROMs
US20030044722A1 (en) * 2001-08-28 2003-03-06 Yi-Yu Hsu Process for improving critical dimension uniformity
US6534243B1 (en) * 2000-10-23 2003-03-18 Advanced Micro Devices, Inc. Chemical feature doubling process
US6548396B2 (en) * 1998-07-23 2003-04-15 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
US6566280B1 (en) * 2002-08-26 2003-05-20 Intel Corporation Forming polymer features on a substrate
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US20030119307A1 (en) * 2001-12-26 2003-06-26 Applied Materials, Inc. Method of forming a dual damascene structure
US20030127426A1 (en) * 2002-01-07 2003-07-10 Macronix International Co., Ltd. Method for pitch reduction
US6602779B1 (en) * 2002-05-13 2003-08-05 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming low dielectric constant damascene structure while employing carbon doped silicon oxide planarizing stop layer
US20030157436A1 (en) * 2002-02-20 2003-08-21 Dirk Manger Method for forming a hard mask in a layer on a planar device
US6620715B1 (en) * 2002-03-29 2003-09-16 Cypress Semiconductor Corp. Method for forming sub-critical dimension structures in an integrated circuit
US20040000534A1 (en) * 2002-06-28 2004-01-01 Infineon Technologies North America Corp. Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US6673684B1 (en) * 2002-07-31 2004-01-06 Advanced Micro Devices, Inc. Use of diamond as a hard mask material
US20040018738A1 (en) * 2002-07-22 2004-01-29 Wei Liu Method for fabricating a notch gate structure of a field effect transistor
US6686245B1 (en) * 2002-12-20 2004-02-03 Motorola, Inc. Vertical MOSFET with asymmetric gate structure
US20040023475A1 (en) * 2002-07-31 2004-02-05 Advanced Micro Devices, Inc. Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication
US20040023502A1 (en) * 2002-08-02 2004-02-05 Applied Materials Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US6689695B1 (en) * 2002-06-28 2004-02-10 Taiwan Semiconductor Manufacturing Company Multi-purpose composite mask for dual damascene patterning
US20040043623A1 (en) * 2002-06-20 2004-03-04 Wei Liu Method for fabricating a gate structure of a field effect transistor
US6706571B1 (en) * 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US20040053475A1 (en) * 2002-09-18 2004-03-18 Gian Sharma Method for forming a sublithographic opening in a semiconductor process
US6709807B2 (en) * 1999-12-02 2004-03-23 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
US20040079988A1 (en) * 2002-10-28 2004-04-29 Sandisk Corporation Flash memory cell arrays having dual control gates per memory cell charge storage element
US6734107B2 (en) * 2002-06-12 2004-05-11 Macronix International Co., Ltd. Pitch reduction in semiconductor fabrication
US6744094B2 (en) * 2001-08-24 2004-06-01 Micron Technology Inc. Floating gate transistor with horizontal gate layers stacked next to vertical body
US20040106257A1 (en) * 2002-04-12 2004-06-03 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
US6762449B2 (en) * 1999-04-23 2004-07-13 Hitachi, Ltd. Semiconductor integrated circuit device and the process of manufacturing the same having poly-silicon plug, wiring trenches and bit lines formed in the wiring trenches having a width finer than a predetermined size
US6773998B1 (en) * 2003-05-20 2004-08-10 Advanced Micro Devices, Inc. Modified film stack and patterning strategy for stress compensation and prevention of pattern distortion in amorphous carbon gate patterning
US6867116B1 (en) * 2003-11-10 2005-03-15 Macronix International Co., Ltd. Fabrication method of sub-resolution pitch for integrated circuits
US6875703B1 (en) * 2004-01-20 2005-04-05 International Business Machines Corporation Method for forming quadruple density sidewall image transfer (SIT) structures
US20050074949A1 (en) * 2003-10-01 2005-04-07 Dongbu Electronics Co., Ltd. Semiconductor device and a method for fabricating the semiconductor device
US6893972B2 (en) * 2001-08-31 2005-05-17 Infineon Technologies Ag Process for sidewall amplification of resist structures and for the production of structures having reduced structure size
US6916594B2 (en) * 2002-12-30 2005-07-12 Hynix Semiconductor Inc. Overcoating composition for photoresist and method for forming photoresist pattern using the same
US20050186705A1 (en) * 2002-07-31 2005-08-25 Jackson Timothy L. Semiconductor dice having backside redistribution layer accessed using through-silicon vias, methods
US20060011947A1 (en) * 2004-05-26 2006-01-19 Werner Juengling Semiconductor structures and memory device constructions
US20060024940A1 (en) * 2004-07-28 2006-02-02 International Business Machines Corporation Borderless contact structures
US20060046201A1 (en) * 2004-09-02 2006-03-02 Sandhu Gurtej S Method to align mask patterns
US20060046422A1 (en) * 2004-08-31 2006-03-02 Micron Technology, Inc. Methods for increasing photo alignment margins
US20060046484A1 (en) * 2004-09-02 2006-03-02 Abatchev Mirzafer K Method for integrated circuit fabrication using pitch multiplication
US20060046200A1 (en) * 2004-09-01 2006-03-02 Abatchev Mirzafer K Mask material conversion
US20060083996A1 (en) * 2004-10-11 2006-04-20 Ho-Chul Kim Apparatus for exposing a substrate, photomask and modified illuminating system of the apparatus, and method of forming a pattern on a substrate using the apparatus
US20060115978A1 (en) * 2004-11-30 2006-06-01 Michael Specht Charge-trapping memory cell and method for production
US7084076B2 (en) * 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US20060172540A1 (en) * 2005-02-03 2006-08-03 Jeffrey Marks Reduction of feature critical dimensions using multiple masks
US20060211260A1 (en) * 2005-03-15 2006-09-21 Luan Tran Pitch reduced patterns relative to photolithography features
US20060216923A1 (en) * 2005-03-28 2006-09-28 Tran Luan C Integrated circuit fabrication
US20070026672A1 (en) * 2005-07-29 2007-02-01 Micron Technology, Inc. Pitch doubled circuit layout
US7183205B2 (en) * 2004-06-08 2007-02-27 Macronix International Co., Ltd. Method of pitch dimension shrinkage
US20070048674A1 (en) * 2005-09-01 2007-03-01 Wells David H Methods for forming arrays of small, closely spaced features
US20070049032A1 (en) * 2005-09-01 2007-03-01 Mirzafer Abatchev Protective coating for planarization
US20070050748A1 (en) * 2005-08-30 2007-03-01 Micron Technology, Inc., A Corporation Method and algorithm for random half pitched interconnect layout with constant spacing
US20070049035A1 (en) * 2005-08-31 2007-03-01 Tran Luan C Method of forming pitch multipled contacts
US20070049011A1 (en) * 2005-09-01 2007-03-01 Micron Technology, Inc., A Corporation Method of forming isolated features using pitch multiplication
US20070049030A1 (en) * 2005-09-01 2007-03-01 Sandhu Gurtej S Pitch multiplication spacers and methods of forming the same
US20070049040A1 (en) * 2005-03-15 2007-03-01 Micron Technology, Inc., A Corporation Multiple deposition for integration of spacers in pitch multiplication process
US20070045712A1 (en) * 2005-09-01 2007-03-01 Haller Gordon A Memory cell layout and process flow
US20070077524A1 (en) * 2005-09-30 2007-04-05 Samsung Electronics Co., Ltd. Method for forming patterns of semiconductor device
US7202174B1 (en) * 2006-02-02 2007-04-10 Hynix Semiconductor Inc. Method of forming micro pattern in semiconductor device
US20080054350A1 (en) * 2006-09-06 2008-03-06 International Business Machines Corporation Vertical field effect transistor arrays and methods for fabrication thereof
US7537866B2 (en) * 2006-05-24 2009-05-26 Synopsys, Inc. Patterning a single integrated circuit layer using multiple masks and multiple masking layers

Family Cites Families (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5748237Y2 (en) 1978-12-28 1982-10-22
US4234362A (en) 1978-11-03 1980-11-18 International Business Machines Corporation Method for forming an insulator between layers of conductive material
US4419809A (en) 1981-12-30 1983-12-13 International Business Machines Corporation Fabrication process of sub-micrometer channel length MOSFETs
US4716131A (en) 1983-11-28 1987-12-29 Nec Corporation Method of manufacturing semiconductor device having polycrystalline silicon layer with metal silicide film
GB8528967D0 (en) 1985-11-25 1986-01-02 Plessey Co Plc Semiconductor device manufacture
EP0238690B1 (en) 1986-03-27 1991-11-06 International Business Machines Corporation Process for forming sidewalls
JPS6435916U (en) 1987-08-28 1989-03-03
US4776922A (en) 1987-10-30 1988-10-11 International Business Machines Corporation Formation of variable-width sidewall structures
US5053105A (en) 1990-07-19 1991-10-01 Micron Technology, Inc. Process for creating an etch mask suitable for deep plasma etches employing self-aligned silicidation of a metal layer masked with a silicon dioxide template
IT1243919B (en) 1990-11-20 1994-06-28 Cons Ric Microelettronica PROCEDURE FOR OBTAINING PLANARIZED SUBMICHROMETRIC GROOVES IN INTEGRATED CIRCUITS REALIZED WITH ULSI TECHNOLOGY
JPH05343370A (en) 1992-06-10 1993-12-24 Toshiba Corp Forming method for fine pattern
DE4236609A1 (en) 1992-10-29 1994-05-05 Siemens Ag Method for forming a structure in the surface of a substrate - with an auxiliary structure laterally bounding an initial masking structure, followed by selective removal of masking structure using the auxiliary structure as an etching mask
US5407785A (en) 1992-12-18 1995-04-18 Vlsi Technology, Inc. Method for generating dense lines on a semiconductor wafer using phase-shifting and multiple exposures
US5470661A (en) 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
JPH0855920A (en) 1994-08-15 1996-02-27 Toshiba Corp Manufacture of semiconductor device
JPH0855908A (en) 1994-08-17 1996-02-27 Toshiba Corp Semiconductor device
TW366367B (en) 1995-01-26 1999-08-11 Ibm Sputter deposition of hydrogenated amorphous carbon film
JP3393286B2 (en) 1995-09-08 2003-04-07 ソニー株式会社 Pattern formation method
US5789320A (en) 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
TW329539B (en) 1996-07-05 1998-04-11 Mitsubishi Electric Corp The semiconductor device and its manufacturing method
US5998256A (en) 1996-11-01 1999-12-07 Micron Technology, Inc. Semiconductor processing methods of forming devices on a substrate, forming device arrays on a substrate, forming conductive lines on a substrate, and forming capacitor arrays on a substrate, and integrated circuitry
US5895740A (en) 1996-11-13 1999-04-20 Vanguard International Semiconductor Corp. Method of forming contact holes of reduced dimensions by using in-situ formed polymeric sidewall spacers
KR100231134B1 (en) 1997-06-14 1999-11-15 문정환 Method for forming metal interconnector of semiconductor device
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6004862A (en) 1998-01-20 1999-12-21 Advanced Micro Devices, Inc. Core array and periphery isolation technique
US6204187B1 (en) 1999-01-06 2001-03-20 Infineon Technologies North America, Corp. Contact and deep trench patterning
US6110837A (en) 1999-04-28 2000-08-29 Worldwide Semiconductor Manufacturing Corp. Method for forming a hard mask of half critical dimension
JP2000357736A (en) 1999-06-15 2000-12-26 Toshiba Corp Semiconductor device and manufacture thereof
US6297554B1 (en) 2000-03-10 2001-10-02 United Microelectronics Corp. Dual damascene interconnect structure with reduced parasitic capacitance
US6632741B1 (en) 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
US6335257B1 (en) 2000-09-29 2002-01-01 Vanguard International Semiconductor Corporation Method of making pillar-type structure on semiconductor substrate
US6667237B1 (en) 2000-10-12 2003-12-23 Vram Technologies, Llc Method and apparatus for patterning fine dimensions
US6664028B2 (en) 2000-12-04 2003-12-16 United Microelectronics Corp. Method of forming opening in wafer layer
US6740594B2 (en) 2001-05-31 2004-05-25 Infineon Technologies Ag Method for removing carbon-containing polysilane from a semiconductor without stripping
US6960806B2 (en) 2001-06-21 2005-11-01 International Business Machines Corporation Double gated vertical transistor with different first and second gate materials
US7045383B2 (en) 2001-09-19 2006-05-16 BAE Systems Information and Ovonyx, Inc Method for making tapered opening for programmable resistance memory element
JP2003133437A (en) 2001-10-24 2003-05-09 Hitachi Ltd Semiconductor device and manufacturing method thereof
TW576864B (en) 2001-12-28 2004-02-21 Toshiba Corp Method for manufacturing a light-emitting device
US6759180B2 (en) 2002-04-23 2004-07-06 Hewlett-Packard Development Company, L.P. Method of fabricating sub-lithographic sized line and space patterns for nano-imprinting lithography
US20030207584A1 (en) 2002-05-01 2003-11-06 Swaminathan Sivakumar Patterning tighter and looser pitch geometries
US6951709B2 (en) 2002-05-03 2005-10-04 Micron Technology, Inc. Method of fabricating a semiconductor multilevel interconnect structure
US6703312B2 (en) 2002-05-17 2004-03-09 International Business Machines Corporation Method of forming active devices of different gatelengths using lithographic printed gate images of same length
US6818141B1 (en) 2002-06-10 2004-11-16 Advanced Micro Devices, Inc. Application of the CVD bilayer ARC as a hard mask for definition of the subresolution trench features between polysilicon wordlines
US6548385B1 (en) 2002-06-12 2003-04-15 Jiun-Ren Lai Method for reducing pitch between conductive features, and structure formed using the method
US6559017B1 (en) 2002-06-13 2003-05-06 Advanced Micro Devices, Inc. Method of using amorphous carbon as spacer material in a disposable spacer process
KR100476924B1 (en) 2002-06-14 2005-03-17 삼성전자주식회사 Method Of Forming Fine Pattern Of Semiconductor Device
AU2003280498A1 (en) 2002-06-27 2004-01-19 Advanced Micro Devices, Inc. Method of defining the dimensions of circuit elements by using spacer deposition techniques
US6500756B1 (en) 2002-06-28 2002-12-31 Advanced Micro Devices, Inc. Method of forming sub-lithographic spaces between polysilicon lines
US6913871B2 (en) 2002-07-23 2005-07-05 Intel Corporation Fabricating sub-resolution structures in planar lightwave devices
KR100480610B1 (en) 2002-08-09 2005-03-31 삼성전자주식회사 Forming method for fine patterns using silicon oxide layer
JP4034164B2 (en) 2002-10-28 2008-01-16 富士通株式会社 Method for manufacturing fine pattern and method for manufacturing semiconductor device
US7015124B1 (en) 2003-04-28 2006-03-21 Advanced Micro Devices, Inc. Use of amorphous carbon for gate patterning
JP4578785B2 (en) 2003-05-21 2010-11-10 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US6835662B1 (en) 2003-07-14 2004-12-28 Advanced Micro Devices, Inc. Partially de-coupled core and periphery gate module process
DE10345455A1 (en) 2003-09-30 2005-05-04 Infineon Technologies Ag Method for producing a hard mask and hard mask arrangement
JP2005150333A (en) 2003-11-14 2005-06-09 Sony Corp Method of manufacturing semiconductor device
TWI274397B (en) 2003-11-20 2007-02-21 Winbond Electronics Corp Method for forming narrow trench structure and method for forming gate structure with narrow spacing
KR101002928B1 (en) 2003-11-29 2010-12-27 주식회사 하이닉스반도체 Fabricating method of minute line in semiconductor device
KR100554514B1 (en) 2003-12-26 2006-03-03 삼성전자주식회사 Method for forming pattern and gate electrode in semiconductor processing
US6998332B2 (en) 2004-01-08 2006-02-14 International Business Machines Corporation Method of independent P and N gate length control of FET device made by sidewall image transfer technique
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US8486287B2 (en) 2004-03-19 2013-07-16 The Regents Of The University Of California Methods for fabrication of positional and compositionally controlled nanostructures on substrate
US6955961B1 (en) 2004-05-27 2005-10-18 Macronix International Co., Ltd. Method for defining a minimum pitch in an integrated circuit beyond photolithographic resolution
US7473644B2 (en) 2004-07-01 2009-01-06 Micron Technology, Inc. Method for forming controlled geometry hardmasks including subresolution elements
KR100704470B1 (en) 2004-07-29 2007-04-10 주식회사 하이닉스반도체 Method for fabrication of semiconductor device using amorphous carbon layer to sacrificial hard mask
US7175944B2 (en) 2004-08-31 2007-02-13 Micron Technology, Inc. Prevention of photoresist scumming
US7442976B2 (en) 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7208379B2 (en) 2004-11-29 2007-04-24 Texas Instruments Incorporated Pitch multiplication process
KR100596795B1 (en) 2004-12-16 2006-07-05 주식회사 하이닉스반도체 Capacitor of semiconductor device and method for forming the same
US7183142B2 (en) 2005-01-13 2007-02-27 International Business Machines Corporation FinFETs with long gate length at high density
KR100787352B1 (en) 2005-02-23 2007-12-18 주식회사 하이닉스반도체 Composition for Hard Mask and Method for Forming Pattern of Semiconductor Device using it
KR100640639B1 (en) 2005-04-19 2006-10-31 삼성전자주식회사 Semiconductor device having fine contact and method of manufacturing the same
KR100674970B1 (en) 2005-04-21 2007-01-26 삼성전자주식회사 Method for fabricating small pitch patterns by using double spacers
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7547599B2 (en) 2005-05-26 2009-06-16 Micron Technology, Inc. Multi-state memory cell
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
JP2006351861A (en) 2005-06-16 2006-12-28 Toshiba Corp Manufacturing method of semiconductor device
US7291560B2 (en) 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US7244638B2 (en) 2005-09-30 2007-07-17 Infineon Technologies Ag Semiconductor memory device and method of production
KR100714305B1 (en) 2005-12-26 2007-05-02 삼성전자주식회사 Method of forming self aligned double pattern
US20070190762A1 (en) 2006-02-13 2007-08-16 Asml Netherlands B.V. Device manufacturing method and computer program product
US7842558B2 (en) 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US20070210449A1 (en) 2006-03-07 2007-09-13 Dirk Caspary Memory device and an array of conductive lines and methods of making the same
US7351666B2 (en) 2006-03-17 2008-04-01 International Business Machines Corporation Layout and process to contact sub-lithographic structures
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US8003310B2 (en) 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7795149B2 (en) 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
KR100763538B1 (en) 2006-08-29 2007-10-05 삼성전자주식회사 Method of forming mask pattern and method of forming fine pattern using the same in a semiconductor device fabricating
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7666578B2 (en) 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
KR100790998B1 (en) 2006-10-02 2008-01-03 삼성전자주식회사 Method of forming pad pattern using self-align double patterning method, and method of forming contact hole using self-align double patterning method
US20080292991A1 (en) 2007-05-24 2008-11-27 Advanced Micro Devices, Inc. High fidelity multiple resist patterning
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US7851135B2 (en) 2007-11-30 2010-12-14 Hynix Semiconductor Inc. Method of forming an etching mask pattern from developed negative and positive photoresist layers

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4508579A (en) * 1981-03-30 1985-04-02 International Business Machines Corporation Lateral device structures using self-aligned fabrication techniques
US4432132A (en) * 1981-12-07 1984-02-21 Bell Telephone Laboratories, Incorporated Formation of sidewall oxide layers by reactive oxygen ion etching to define submicron features
US4502914A (en) * 1982-11-13 1985-03-05 International Business Machines Corporation Method of making structures with dimensions in the sub-micrometer range
US4648937A (en) * 1985-10-30 1987-03-10 International Business Machines Corporation Method of preventing asymmetric etching of lines in sub-micrometer range sidewall images transfer
US5514885A (en) * 1986-10-09 1996-05-07 Myrick; James J. SOI methods and apparatus
US4838991A (en) * 1987-10-30 1989-06-13 International Business Machines Corporation Process for defining organic sidewall structures
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5013680A (en) * 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5117027A (en) * 1990-10-31 1992-05-26 Huls Aktiengesellschaft Process for the preparation of organosilanes containing methacryloyloxy or acryloyloxy groups
US5330879A (en) * 1992-07-16 1994-07-19 Micron Technology, Inc. Method for fabrication of close-tolerance lines and sharp emission tips on a semiconductor wafer
US6042998A (en) * 1993-09-30 2000-03-28 The University Of New Mexico Method and apparatus for extending spatial frequencies in photolithography images
US5593813A (en) * 1994-07-14 1997-01-14 Hyundai Electronics Industries Co. Ltd. Method for forming submicroscopic patterns
US5670794A (en) * 1994-10-07 1997-09-23 Micron Technology, Inc. Thin film transistors
US5795830A (en) * 1995-06-06 1998-08-18 International Business Machines Corporation Reducing pitch with continuously adjustable line and space dimensions
US5753546A (en) * 1995-06-30 1998-05-19 Hyundai Electronics Industries Co., Ltd. Method for fabricating metal oxide field effect transistors
US6010946A (en) * 1996-08-21 2000-01-04 Nec Corporation Semiconductor device with isolation insulating film tapered and method of manufacturing the same
US20020045308A1 (en) * 1996-11-01 2002-04-18 Werner Juengling Semiconductor processing methods of forming a plurality of capacitors on a substrate, bit line contacts and method of forming bit line contacts
US6063688A (en) * 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
US6207490B1 (en) * 1997-12-11 2001-03-27 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US6291334B1 (en) * 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6514884B2 (en) * 1998-02-06 2003-02-04 Semiconductor Process Laboratory Co., Ltd. Method for reforming base surface, method for manufacturing semiconductor device and equipment for manufacturing the same
US6057573A (en) * 1998-05-27 2000-05-02 Vanguard International Semiconductor Corporation Design for high density memory with relaxed metal pitch
US6020255A (en) * 1998-07-13 2000-02-01 Taiwan Semiconductor Manufacturing Company Dual damascene interconnect process with borderless contact
US6548396B2 (en) * 1998-07-23 2003-04-15 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
US6071789A (en) * 1998-11-10 2000-06-06 Vanguard International Semiconductor Corporation Method for simultaneously fabricating a DRAM capacitor and metal interconnections
US6211044B1 (en) * 1999-04-12 2001-04-03 Advanced Micro Devices Process for fabricating a semiconductor device component using a selective silicidation reaction
US6762449B2 (en) * 1999-04-23 2004-07-13 Hitachi, Ltd. Semiconductor integrated circuit device and the process of manufacturing the same having poly-silicon plug, wiring trenches and bit lines formed in the wiring trenches having a width finer than a predetermined size
US6288454B1 (en) * 1999-05-13 2001-09-11 Lsi Logic Corporation Semiconductor wafer having a layer-to-layer alignment mark and method for fabricating the same
US6383907B1 (en) * 1999-09-08 2002-05-07 Sony Corporation Process for fabricating a semiconductor device
US6362057B1 (en) * 1999-10-26 2002-03-26 Motorola, Inc. Method for forming a semiconductor device
US6709807B2 (en) * 1999-12-02 2004-03-23 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US20030006410A1 (en) * 2000-03-01 2003-01-09 Brian Doyle Quantum wire gate device and method of making same
US6423474B1 (en) * 2000-03-21 2002-07-23 Micron Technology, Inc. Use of DARC and BARC in flash memory processing
US20020127810A1 (en) * 2000-05-29 2002-09-12 Fujitsu Limited Semiconductor device and method for fabricating the same
US6455372B1 (en) * 2000-08-14 2002-09-24 Micron Technology, Inc. Nucleation for improved flash erase characteristics
US6348380B1 (en) * 2000-08-25 2002-02-19 Micron Technology, Inc. Use of dilute steam ambient for improvement of flash devices
US6395613B1 (en) * 2000-08-30 2002-05-28 Micron Technology, Inc. Semiconductor processing methods of forming a plurality of capacitors on a substrate, bit line contacts and method of forming bit line contacts
US20020042198A1 (en) * 2000-09-20 2002-04-11 Bjarni Bjarnason Method in etching of a substrate
US6534243B1 (en) * 2000-10-23 2003-03-18 Advanced Micro Devices, Inc. Chemical feature doubling process
US20020063110A1 (en) * 2000-11-30 2002-05-30 Cantell Marc W. Etching of hard masks
US20020094688A1 (en) * 2001-01-16 2002-07-18 Semiconductor Leading Edge Technologies, Inc. Method of forming fine patterns
US6522584B1 (en) * 2001-08-02 2003-02-18 Micron Technology, Inc. Programming methods for multi-level flash EEPROMs
US6744094B2 (en) * 2001-08-24 2004-06-01 Micron Technology Inc. Floating gate transistor with horizontal gate layers stacked next to vertical body
US20030044722A1 (en) * 2001-08-28 2003-03-06 Yi-Yu Hsu Process for improving critical dimension uniformity
US6893972B2 (en) * 2001-08-31 2005-05-17 Infineon Technologies Ag Process for sidewall amplification of resist structures and for the production of structures having reduced structure size
US20030119307A1 (en) * 2001-12-26 2003-06-26 Applied Materials, Inc. Method of forming a dual damascene structure
US20030127426A1 (en) * 2002-01-07 2003-07-10 Macronix International Co., Ltd. Method for pitch reduction
US20030157436A1 (en) * 2002-02-20 2003-08-21 Dirk Manger Method for forming a hard mask in a layer on a planar device
US6620715B1 (en) * 2002-03-29 2003-09-16 Cypress Semiconductor Corp. Method for forming sub-critical dimension structures in an integrated circuit
US20040106257A1 (en) * 2002-04-12 2004-06-03 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
US6602779B1 (en) * 2002-05-13 2003-08-05 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming low dielectric constant damascene structure while employing carbon doped silicon oxide planarizing stop layer
US6734107B2 (en) * 2002-06-12 2004-05-11 Macronix International Co., Ltd. Pitch reduction in semiconductor fabrication
US20040043623A1 (en) * 2002-06-20 2004-03-04 Wei Liu Method for fabricating a gate structure of a field effect transistor
US20040000534A1 (en) * 2002-06-28 2004-01-01 Infineon Technologies North America Corp. Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US6689695B1 (en) * 2002-06-28 2004-02-10 Taiwan Semiconductor Manufacturing Company Multi-purpose composite mask for dual damascene patterning
US20040018738A1 (en) * 2002-07-22 2004-01-29 Wei Liu Method for fabricating a notch gate structure of a field effect transistor
US20040023475A1 (en) * 2002-07-31 2004-02-05 Advanced Micro Devices, Inc. Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication
US6673684B1 (en) * 2002-07-31 2004-01-06 Advanced Micro Devices, Inc. Use of diamond as a hard mask material
US20050186705A1 (en) * 2002-07-31 2005-08-25 Jackson Timothy L. Semiconductor dice having backside redistribution layer accessed using through-silicon vias, methods
US20040023502A1 (en) * 2002-08-02 2004-02-05 Applied Materials Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US6566280B1 (en) * 2002-08-26 2003-05-20 Intel Corporation Forming polymer features on a substrate
US20040053475A1 (en) * 2002-09-18 2004-03-18 Gian Sharma Method for forming a sublithographic opening in a semiconductor process
US6706571B1 (en) * 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US20040079988A1 (en) * 2002-10-28 2004-04-29 Sandisk Corporation Flash memory cell arrays having dual control gates per memory cell charge storage element
US6686245B1 (en) * 2002-12-20 2004-02-03 Motorola, Inc. Vertical MOSFET with asymmetric gate structure
US6916594B2 (en) * 2002-12-30 2005-07-12 Hynix Semiconductor Inc. Overcoating composition for photoresist and method for forming photoresist pattern using the same
US7084076B2 (en) * 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US6773998B1 (en) * 2003-05-20 2004-08-10 Advanced Micro Devices, Inc. Modified film stack and patterning strategy for stress compensation and prevention of pattern distortion in amorphous carbon gate patterning
US20050074949A1 (en) * 2003-10-01 2005-04-07 Dongbu Electronics Co., Ltd. Semiconductor device and a method for fabricating the semiconductor device
US6867116B1 (en) * 2003-11-10 2005-03-15 Macronix International Co., Ltd. Fabrication method of sub-resolution pitch for integrated circuits
US6875703B1 (en) * 2004-01-20 2005-04-05 International Business Machines Corporation Method for forming quadruple density sidewall image transfer (SIT) structures
US20060011947A1 (en) * 2004-05-26 2006-01-19 Werner Juengling Semiconductor structures and memory device constructions
US7183205B2 (en) * 2004-06-08 2007-02-27 Macronix International Co., Ltd. Method of pitch dimension shrinkage
US20060024940A1 (en) * 2004-07-28 2006-02-02 International Business Machines Corporation Borderless contact structures
US20060046422A1 (en) * 2004-08-31 2006-03-02 Micron Technology, Inc. Methods for increasing photo alignment margins
US20060046200A1 (en) * 2004-09-01 2006-03-02 Abatchev Mirzafer K Mask material conversion
US20060046201A1 (en) * 2004-09-02 2006-03-02 Sandhu Gurtej S Method to align mask patterns
US20060046484A1 (en) * 2004-09-02 2006-03-02 Abatchev Mirzafer K Method for integrated circuit fabrication using pitch multiplication
US7687408B2 (en) * 2004-09-02 2010-03-30 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US20060083996A1 (en) * 2004-10-11 2006-04-20 Ho-Chul Kim Apparatus for exposing a substrate, photomask and modified illuminating system of the apparatus, and method of forming a pattern on a substrate using the apparatus
US20060115978A1 (en) * 2004-11-30 2006-06-01 Michael Specht Charge-trapping memory cell and method for production
US20060172540A1 (en) * 2005-02-03 2006-08-03 Jeffrey Marks Reduction of feature critical dimensions using multiple masks
US20070049040A1 (en) * 2005-03-15 2007-03-01 Micron Technology, Inc., A Corporation Multiple deposition for integration of spacers in pitch multiplication process
US7651951B2 (en) * 2005-03-15 2010-01-26 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US20060211260A1 (en) * 2005-03-15 2006-09-21 Luan Tran Pitch reduced patterns relative to photolithography features
US20060216923A1 (en) * 2005-03-28 2006-09-28 Tran Luan C Integrated circuit fabrication
US20070026672A1 (en) * 2005-07-29 2007-02-01 Micron Technology, Inc. Pitch doubled circuit layout
US20070050748A1 (en) * 2005-08-30 2007-03-01 Micron Technology, Inc., A Corporation Method and algorithm for random half pitched interconnect layout with constant spacing
US20070049035A1 (en) * 2005-08-31 2007-03-01 Tran Luan C Method of forming pitch multipled contacts
US20070049011A1 (en) * 2005-09-01 2007-03-01 Micron Technology, Inc., A Corporation Method of forming isolated features using pitch multiplication
US20070049030A1 (en) * 2005-09-01 2007-03-01 Sandhu Gurtej S Pitch multiplication spacers and methods of forming the same
US20070045712A1 (en) * 2005-09-01 2007-03-01 Haller Gordon A Memory cell layout and process flow
US20070049032A1 (en) * 2005-09-01 2007-03-01 Mirzafer Abatchev Protective coating for planarization
US20070048674A1 (en) * 2005-09-01 2007-03-01 Wells David H Methods for forming arrays of small, closely spaced features
US20070077524A1 (en) * 2005-09-30 2007-04-05 Samsung Electronics Co., Ltd. Method for forming patterns of semiconductor device
US7202174B1 (en) * 2006-02-02 2007-04-10 Hynix Semiconductor Inc. Method of forming micro pattern in semiconductor device
US7537866B2 (en) * 2006-05-24 2009-05-26 Synopsys, Inc. Patterning a single integrated circuit layer using multiple masks and multiple masking layers
US20080054350A1 (en) * 2006-09-06 2008-03-06 International Business Machines Corporation Vertical field effect transistor arrays and methods for fabrication thereof

Cited By (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8120101B2 (en) 2004-09-01 2012-02-21 Micron Technology, Inc. Semiconductor constructions and transistors, and methods of forming semiconductor constructions and transistors
US20080142882A1 (en) * 2004-09-01 2008-06-19 Tang Sanh D Transistors
US7825462B2 (en) 2004-09-01 2010-11-02 Micron Technology, Inc. Transistors
US20090163035A1 (en) * 2005-03-08 2009-06-25 Lam Research Corporation Etch with high etch rate resist mask
US20080166856A1 (en) * 2005-03-25 2008-07-10 Parekh Kunal R Methods of Forming Recessed Access Devices Associated With Semiconductor Constructions
US8067286B2 (en) 2005-03-25 2011-11-29 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
US7897460B2 (en) 2005-03-25 2011-03-01 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
US9536971B2 (en) 2005-07-08 2017-01-03 Micron Technology, Inc. Semiconductor device comprising a transistor gate having multiple vertically oriented sidewalls
US8399920B2 (en) 2005-07-08 2013-03-19 Werner Juengling Semiconductor device comprising a transistor gate having multiple vertically oriented sidewalls
US8916912B2 (en) 2005-07-08 2014-12-23 Micron Technology, Inc. Semiconductor device comprising a transistor gate having multiple vertically oriented sidewalls
US20080012070A1 (en) * 2005-07-08 2008-01-17 Werner Juengling Apparatus for a self-aligned recessed access device (rad) transistor gate
US8877589B2 (en) 2005-08-30 2014-11-04 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US20070048942A1 (en) * 2005-08-30 2007-03-01 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US7867851B2 (en) 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US20100173456A1 (en) * 2006-02-02 2010-07-08 Micron Technology, Inc. Methods of Forming Field Effect Transistors, Methods of Forming Field Effect Transistor Gates, Methods of Forming Integrated Circuitry Comprising a Transistor Gate Array and Circuitry Peripheral to the Gate Array, and Methods of Forming Integrated Circuitry Comprising a Transistor Gate Array Including First Gates and Second Grounded Isolation Gates
US7700441B2 (en) 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US7902028B2 (en) 2006-02-02 2011-03-08 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US8389363B2 (en) 2006-02-02 2013-03-05 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US8551823B2 (en) 2006-07-17 2013-10-08 Micron Technology, Inc. Methods of forming lines of capacitorless one transistor DRAM cells, methods of patterning substrates, and methods of forming two conductive lines
US9129847B2 (en) 2006-07-17 2015-09-08 Micron Technology, Inc. Transistor structures and integrated circuitry comprising an array of transistor structures
US8394699B2 (en) 2006-08-21 2013-03-12 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US20090311845A1 (en) * 2006-09-07 2009-12-17 Micron Technology, Inc. One Transistor Memory Cell with Bias Gate
US7944743B2 (en) 2006-09-07 2011-05-17 Micron Technology, Inc. Methods of making a semiconductor memory device
US8446762B2 (en) 2006-09-07 2013-05-21 Micron Technology, Inc. Methods of making a semiconductor memory device
US10515801B2 (en) 2007-06-04 2019-12-24 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8481417B2 (en) 2007-08-03 2013-07-09 Micron Technology, Inc. Semiconductor structures including tight pitch contacts and methods to form same
US8723326B2 (en) 2007-08-03 2014-05-13 Micron Technology, Inc. Semiconductor structures including tight pitch contacts
US8994189B2 (en) 2007-08-03 2015-03-31 Micron Technology, Inc. Semiconductor structures including tight pitch contacts
US20090032963A1 (en) * 2007-08-03 2009-02-05 Micron Technology, Inc. Semiconductor structures including tight pitch contacts and methods to form same
US9437480B2 (en) 2007-08-03 2016-09-06 Micron Technology, Inc. Methods of forming semiconductor structures including tight pitch contacts and lines
US20090087990A1 (en) * 2007-09-28 2009-04-02 Tokyo Electron Limited Manufacturing method, manufacturing apparatus, control program and program recording medium of semiconductor device
US8772166B2 (en) 2007-11-01 2014-07-08 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
US8871648B2 (en) 2007-12-06 2014-10-28 Micron Technology, Inc. Method for forming high density patterns
US9941155B2 (en) 2007-12-18 2018-04-10 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
US8932960B2 (en) 2007-12-18 2015-01-13 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
US9666695B2 (en) 2007-12-18 2017-05-30 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
US10497611B2 (en) 2007-12-18 2019-12-03 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
US20090212012A1 (en) * 2008-02-27 2009-08-27 Molecular Imprints, Inc. Critical dimension control during template formation
US9048194B2 (en) 2008-03-21 2015-06-02 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
US20090305497A1 (en) * 2008-06-05 2009-12-10 Mitsuhiro Omura Method for fabricating semiconductor device
US8928111B2 (en) 2008-07-03 2015-01-06 Micron Technology, Inc. Transistor with high breakdown voltage having separated drain extensions
US20100028801A1 (en) * 2008-08-01 2010-02-04 International Businesss Machines Corporation Lithography for pitch reduction
US7883829B2 (en) * 2008-08-01 2011-02-08 International Business Machines Corporation Lithography for pitch reduction
US8871646B2 (en) 2008-11-24 2014-10-28 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
US8491804B2 (en) * 2009-03-13 2013-07-23 Tokyo Electron Limited Substrate processing method
US20100240217A1 (en) * 2009-03-13 2010-09-23 Tokyo Electron Limited Substrate processing method
US9099299B2 (en) * 2010-02-11 2015-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Hard mask removal method
US20130122699A1 (en) * 2010-02-11 2013-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Novel hard mask removal method
US8586429B2 (en) 2010-03-09 2013-11-19 Micron Technology, Inc. Methods of forming an array of memory cells, methods of forming a plurality of field effect transistors, methods of forming source/drain regions and isolation trenches, and methods of forming a series of spaced trenches into a substrate
US8039340B2 (en) 2010-03-09 2011-10-18 Micron Technology, Inc. Methods of forming an array of memory cells, methods of forming a plurality of field effect transistors, methods of forming source/drain regions and isolation trenches, and methods of forming a series of spaced trenches into a substrate
US8796086B2 (en) 2010-03-09 2014-08-05 Micron Technology, Inc. Methods of forming an array of memory cells, methods of forming a plurality of field effect transistors, methods of forming source/drain regions and isolation trenches, and methods of forming a series of spaced trenches into a substrate
TWI447848B (en) * 2010-03-09 2014-08-01 Micron Technology Inc Methods of forming an array of memory cells, methods of forming a plurality of field effect transistors, methods of forming source/drain regions and isolation trenches, and methods of forming a series of spaced trenches into a substrate
US8389353B2 (en) 2010-03-09 2013-03-05 Micron Technology, Inc. Methods of forming an array of memory cells, methods of forming a plurality of field effect transistors, methods of forming source/drain regions and isolation trenches, and methods of forming a series of spaced trenches into a substrate
WO2011112303A3 (en) * 2010-03-09 2011-12-22 Micron Technology, Inc. Methods of forming an array of memory cells, methods of forming a plurality of field effect transistors, methods of forming source/drain regions and isolation trenches, and methods of forming a series of spaced trenches into a substrate
CN102792429A (en) * 2010-03-09 2012-11-21 美光科技公司 Methods of forming an array of memory cells, methods of forming a plurality of field effect transistors, methods of forming source/drain regions and isolation trenches, and methods of forming a series of spaced trenches into a substrate
WO2011112303A2 (en) * 2010-03-09 2011-09-15 Micron Technology, Inc. Methods of forming an array of memory cells, methods of forming a plurality of field effect transistors, methods of forming source/drain regions and isolation trenches, and methods of forming a series of spaced trenches into a substrate
US20110223734A1 (en) * 2010-03-09 2011-09-15 Davis Neal L Methods of forming an array of memory cells, methods of forming a plurality of field effect transistors, methods of forming source/drain regions and isolation trenches, and methods of forming a series of spaced trenches into a substrate
US8492278B2 (en) 2010-03-30 2013-07-23 Micron Technology, Inc. Method of forming a plurality of spaced features
US8980752B2 (en) 2010-03-30 2015-03-17 Micron Technology, Inc. Method of forming a plurality of spaced features
US20120009791A1 (en) * 2010-07-08 2012-01-12 Zhang Yingkang Pattern formation method
US9385132B2 (en) * 2011-08-25 2016-07-05 Micron Technology, Inc. Arrays of recessed access devices, methods of forming recessed access gate constructions, and methods of forming isolation gate constructions in the fabrication of recessed access devices
US20130049072A1 (en) * 2011-08-25 2013-02-28 Lars P. Heineck Arrays Of Recessed Access Devices, Methods Of Forming Recessed Access Gate Constructions, And Methods Of Forming Isolation Gate Constructions In The Fabrication Of Recessed Access Devices
JP2014029997A (en) * 2012-06-26 2014-02-13 Dainippon Printing Co Ltd Manufacturing method of template for nanoimprint
US8865600B2 (en) * 2013-01-04 2014-10-21 Taiwan Semiconductor Manufacturing Company Limited Patterned line end space
US9005463B2 (en) 2013-05-29 2015-04-14 Micron Technology, Inc. Methods of forming a substrate opening
US9443756B2 (en) 2013-05-29 2016-09-13 Micron Technology, Inc. Methods of forming a substrate opening
US20150087144A1 (en) * 2013-09-26 2015-03-26 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and method of manufacturing metal gate semiconductor device
CN109937467A (en) * 2016-11-14 2019-06-25 朗姆研究公司 For high mode ALD SiO2The method of spacer
US10262856B2 (en) * 2016-12-16 2019-04-16 The United States Of America, As Represented By The Secretary Of The Navy Selective oxidation of transition metal nitride layers within compound semiconductor device structures
CN107863318A (en) * 2017-11-22 2018-03-30 睿力集成电路有限公司 Integrated circuit patterns and forming method based on pitch-multiplied formation

Also Published As

Publication number Publication date
WO2009018059A2 (en) 2009-02-05
US8563229B2 (en) 2013-10-22
TW200913016A (en) 2009-03-16
WO2009018059A3 (en) 2009-04-02
US9412591B2 (en) 2016-08-09
US20140045125A1 (en) 2014-02-13

Similar Documents

Publication Publication Date Title
US9412591B2 (en) Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US20220262626A1 (en) Methods of forming electronic devices using pitch reduction
US7253118B2 (en) Pitch reduced patterns relative to photolithography features
US7666578B2 (en) Efficient pitch multiplication process
JP4945802B2 (en) Integrated circuit manufactured using pitch multiplication and method of manufacturing the same
US20130210228A1 (en) Method of forming pitch multiplied contacts

Legal Events

Date Code Title Description
AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:TRAN, LUAN C.;REEL/FRAME:019626/0489

Effective date: 20070717

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT, CALIFORNIA

Free format text: SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038669/0001

Effective date: 20160426

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGEN

Free format text: SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038669/0001

Effective date: 20160426

AS Assignment

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT, MARYLAND

Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038954/0001

Effective date: 20160426

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL

Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038954/0001

Effective date: 20160426

FPAY Fee payment

Year of fee payment: 4

AS Assignment

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT, CALIFORNIA

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:043079/0001

Effective date: 20160426

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGEN

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:043079/0001

Effective date: 20160426

AS Assignment

Owner name: JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT, ILLINOIS

Free format text: SECURITY INTEREST;ASSIGNORS:MICRON TECHNOLOGY, INC.;MICRON SEMICONDUCTOR PRODUCTS, INC.;REEL/FRAME:047540/0001

Effective date: 20180703

Owner name: JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT, IL

Free format text: SECURITY INTEREST;ASSIGNORS:MICRON TECHNOLOGY, INC.;MICRON SEMICONDUCTOR PRODUCTS, INC.;REEL/FRAME:047540/0001

Effective date: 20180703

AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT;REEL/FRAME:047243/0001

Effective date: 20180629

AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT;REEL/FRAME:050937/0001

Effective date: 20190731

AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT;REEL/FRAME:051028/0001

Effective date: 20190731

Owner name: MICRON SEMICONDUCTOR PRODUCTS, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT;REEL/FRAME:051028/0001

Effective date: 20190731

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8