US20090028673A1 - Direct tool loading - Google Patents

Direct tool loading Download PDF

Info

Publication number
US20090028673A1
US20090028673A1 US12/246,379 US24637908A US2009028673A1 US 20090028673 A1 US20090028673 A1 US 20090028673A1 US 24637908 A US24637908 A US 24637908A US 2009028673 A1 US2009028673 A1 US 2009028673A1
Authority
US
United States
Prior art keywords
load port
container
conveyor
conveyor rails
foup
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/246,379
Inventor
Anthony C. Bonora
Michael Krolak
Roger G. Hine
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Muratec Automation Co Ltd
Original Assignee
Bonora Anthony C
Michael Krolak
Hine Roger G
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Bonora Anthony C, Michael Krolak, Hine Roger G filed Critical Bonora Anthony C
Priority to US12/246,379 priority Critical patent/US20090028673A1/en
Publication of US20090028673A1 publication Critical patent/US20090028673A1/en
Assigned to MURATEC AUTOMATION CO., LTD. reassignment MURATEC AUTOMATION CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ASYST TECHNOLOGIES, INC.
Assigned to MURATEC AUTOMATION CO., LTD. reassignment MURATEC AUTOMATION CO., LTD. ADDENDUM TO ASSIGNMENT Assignors: ASYST TECHNOLOGIES, INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67736Loading to or unloading from a conveyor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Definitions

  • the present invention generally relates to an automated material handling system (AMHS). More specifically, the present invention comprises a load port having a vertically movable container support structure that is able to load and unload containers directly from a container transport system.
  • AMHS automated material handling system
  • OHT overhead transport
  • the OHT system lowers a FOUP onto the kinematic plate of the load port at approximately 900 mm height from the fabrication facility floor.
  • An OHT system uses sophisticated ceiling mounted tracks and cable hoist vehicles to deliver FOUPs to, for example, a load port of a processing tool.
  • the combination of horizontal moves, cable hoist extensions, and unidirectional operation, must be coordinated for transporting FOUPs quickly between processing tools.
  • a transport vehicle must be available at the instant when a processing tool needs to be loaded or unloaded for best efficiency.
  • OHT systems are often mounted on portions of a facility ceiling, and therefore, are located above the processing tools and load ports.
  • OHT systems utilize free space in the fabrication facility as the processing tools are typically floor mounted equipment. Ceiling mounted OHT systems must raise or lower a container a substantial distance between the OHT track and, by way of example only, a load port.
  • An OHT system preferably has a very high cleanliness performance because any particles created from moving FOUPs along the track may fall onto the tool areas located underneath and potentially damage wafers.
  • RGVs Rail guided vehicles
  • AGVs automatic guided vehicles
  • RGV's and AGV's are easier to access for maintenance purposes than an OHT system and are typically less costly than ceiling mounted OHT systems.
  • Particle control is also simplified because particles generated by an RGV or AGV remain below the datum plane of a load port.
  • RGVs and AGVs occupy valuable floor space—which is at a premium in a semiconductor fabrication facility—and pose safety issues (e.g., tool operators and RGV's operate in the same space).
  • Wafer throughput could be improved in a semiconductor facility by delivering wafers to tools by both a floor-based transport system and an OHT system.
  • an OHT system could deliver the FOUP or bottom opening container to a processing tool while the numerous container deliveries between adjacent processing tools are handled by a floor based transport system. This would be the case, for example, when process tools require that the first wafer of every FOUP be tested on a metrology tool in the bay.
  • the present invention provides a container delivery system that reduces the cost of container delivery, increases accuracy of container delivery, simplifies installation and maintenance, improves the cleanliness performance and safety features and reduces delays associated with conventional container transport systems.
  • One aspect of the present invention is to provide a transport system to move containers efficiently between a tool and a conveyor.
  • the present invention provides a load port having a vertically movable container support plate. The load port lifts a container, whether it is a front opening or bottom opening container, directly off a conveyor reducing the number of times the container is handled during tool loading.
  • One system includes a pair of conveyor rails for transporting a container capable of holding semiconductor wafers around a processing facility.
  • the pair of conveyor rails defining a plane on which the container is supported and transported.
  • the system includes a load port positioned adjacent to the conveyor rails.
  • the load port has a support plate for holding a container and an arm coupled to the support plate.
  • the arm is configured to move between a lower position and an upper position, and the lower position is defined between the pair of conveyor rails and below the plane of the conveyor rails.
  • the upper position is in a load/unload position, and the arm has a bend that enables the support plate to be placed over one of the pair of conveyor rails without requiring a notch in the one conveyor rail.
  • Another aspect of the present invention is to provide a transport system that complements OHT systems and functions as the primary AMHS for a bay (e.g., row of tools) or for the entire fabrication facility.
  • the present invention loads/unloads containers from a conveyor that transports containers throughout at least a portion of the fabrication facility.
  • the support plate comprises a carrier advance plate assembly for moving the support plate horizontally.
  • the conveyor may be mounted to the facility floor, flush with the facility floor, beneath the facility floor or raised above the facility floor.
  • Other embodiments of the present invention are compatible with RGVs, AGVs and person guided vehicles (PGVs) to transport containers throughout the fabrication facility.
  • PGVs person guided vehicles
  • Still another aspect of the present invention is to provide a transport and delivery system that is easy to service.
  • OHT systems are located high above the facility floor (e.g., 8-20 ft). Thus, OHT systems are not as easy to access as a floor-based transport system.
  • a conveyor is mounted to the facility floor. Service personnel can easily access the conveyor for maintenance purposes.
  • the load port is also designed to be removed from the tool and lifted over the conveyor.
  • the present invention includes a safety rail that separates the conveyor from the rest of the facility.
  • the rail provides a barrier to prevent a tool operator from coming into contact with a moving container.
  • Another embodiment of the present invention encloses the conveyor within an isolation tube.
  • the tube prevents a tool operator from coming into contact with a moving container.
  • the tube isolates the container or article from the rest of the facility by, for example, having an active air flow system for directing particles generated within the tube away from the article.
  • Floor-based transport systems e.g., conveyor, RGV, AGV
  • Another aspect of the present invention is to provide a floor-based transport and delivery system that occupies a similar or smaller foot print than occupied by a conventional load port and floor-based container transport system (e.g., AGV).
  • a conventional load port and floor-based container transport system e.g., AGV.
  • the present invention which comprises a floor mounted conveyor and a load port, occupies the same footprint typically occupied solely by a conventional load port.
  • the present invention which comprises a shuttle and load port, also occupies a small footprint on the facility floor.
  • Another aspect of the present invention is to provide a container transport and delivery system that improves the cleanliness performance without compromising the integrity of the wafers.
  • containers are transported along a conveyor that passes below the container plate advance assembly of each load port. Particles generated by this transport system fall to the facility floor or are entrained in laminar flow and do not contaminate wafers being processed by the processing tool.
  • the load port includes a shroud that partially encloses the opening in the port plate. When a container shell is retained by a mechanism in the shroud, the container shell creates a proximity seal with the shroud and forms a mini-environment within the shroud that is isolated from the facility environment.
  • Another aspect of the present invention is to provide a transport and delivery system that does not require extensive modifications to the existing process tools, fabrication facility layout or fabrication software in order to run efficiently with existing systems.
  • the load port secures to the front end of a tool through a BOLTS interface (SEMI Standard E63) or the proposed BOLTS-light standard.
  • the controls which are typically located in a housing underneath the container plate advance assembly, are relocated inside the load port.
  • a processing tool does not have to be modified at all to accommodate a load port according to the present invention.
  • the present invention comprises a load port that is able to lift a container directly off a conveyor and remove the container shell so that a wafer handling robot may access the wafer.
  • the load port also provides a mini-environment to isolate the exposed wafer from the facility's ambient environment.
  • the mini-environment in one embodiment, has a higher pressure than the facility's pressure to encourage air flow from the processing tool (clean environment), through the mini-environment and out into the facility.
  • FIG. 1 is a perspective view of an embodiment of the present invention
  • FIGS. 2A-2F are a perspective views of the embodiment shown in FIG. 1 , further illustrating a load port having a vertically movable FOUP advance plate assembly;
  • FIG. 3 is a top view of the embodiment of the present invention shown in FIGS. 2A-2F , further illustrating how the conveyor accommodates a FOUP advance plate assembly located in a lowermost position;
  • FIG. 4 is a plan view of a conventional load port mounted to a processing tool, according to the prior art
  • FIG. 5 is a plan view illustrating a profile of a conventional load port, according to the prior art
  • FIG. 6 is a plan view of an embodiment of the present invention, illustrating a profile of a load port according to the present invention
  • FIG. 7 is a plan view of the embodiment shown in FIG. 6 , illustrating the space allocated under the FOUP advance plate assembly for a container transport system;
  • FIG. 8 is a plan view of another embodiment of the present invention, illustrating an embodiment of a conveyor system
  • FIG. 9 is a plan view of yet another embodiment of the present invention, illustrating the system having a floor mounted conveyor system
  • FIG. 10 is a plan view of still another embodiment of the present invention, illustrating the system having a conveyor embedded in the facility floor;
  • FIG. 11 is a plan view of yet another embodiment of the present invention, illustrating a sub-floor conveyor system
  • FIG. 12 is a plan view of an embodiment of the present invention, illustrating a range of motion of the load port
  • FIG. 13 is a perspective view of another embodiment of the present invention.
  • FIG. 14 is a plan view of an embodiment of the present invention, illustrating the system shown in FIG. 13 ;
  • FIG. 15 is a front view of an embodiment of the present invention, illustrating the system shown in FIG. 13 ;
  • FIG. 16 is a perspective view of another embodiment of the present invention, illustrating a container transport system isolated from the facility;
  • FIG. 17 is a perspective view of another embodiment of the present invention, illustrating a two-stage reduced height vertical drive
  • FIG. 18 is a perspective view of another embodiment of the present invention, illustrating a yet another embodiment of a load port
  • FIG. 19 is a perspective view of the load port shown in FIG. 18 ;
  • FIG. 20 is a perspective view of another embodiment of the present invention, illustrating an embodiment of a wafer shuttle for moving FOUPs between two tools;
  • FIG. 21 is a plan view of the embodiment shown in FIG. 20 ;
  • FIG. 22 is a perspective view of another embodiment of a wafer shuttle
  • FIG. 23 is a front view of the wafer shuttle shown in FIG. 22 ;
  • FIG. 24 is a plan view of the wafer shuttle shown in FIG. 22 ;
  • FIG. 25 is a perspective view of another embodiment of the present invention, illustrating a conveyor having a belt for movably supporting a container;
  • FIGS. 26A and 26B are views of another embodiment of the present invention, illustrating a conveyor having cantilevered wheels for movably supporting a container;
  • FIG. 27 is a perspective view another embodiment of the present invention, illustrating a conveyor having cantilevered wheels for movably supporting a container;
  • FIG. 28 is a perspective view of yet another embodiment of the present invention.
  • FIG. 29 is schematic view of still another embodiment of the present invention, illustrating a load port for use with bottom opening containers.
  • SEMI Semiconductor Equipment and Materials International
  • Container is defined as any type of structure for supporting an article including, but not limited to, a semiconductor substrate.
  • a container includes a structure that comprises an open volume whereby the article can be accessed (e.g., FPD transport) or a container having a mechanically openable door (e.g., bottom opening SMIF pod and FOUP).
  • Load port is defined as interface equipment that handles containers. For purposes of describing this invention, however, only load ports for handling FOUPs and bottom opening containers will be referenced herein.
  • FIGS. 4-5 illustrate a conventional load port 10 for handling FOUPs (e.g., Asyst Technologies, Inc.'s IsoPortTM).
  • the load port complies with, at a minimum, SEMI Standards E15.1, E47.1, E57, E62, E63, E64, S2-93A, S8-95 and 1300L.
  • the load port 10 includes, among other things, a housing 11 , a FOUP advance plate assembly 12 , a kinematic plate 13 , a port door 14 and a fastening or mounting plate 16 having an opening (not shown).
  • the mounting plate 16 secures to the front end 52 of a processing tool 50 through, for example, a BOLTS Interface.
  • the mounting plate 16 may comprise a unitary structure or be constructed of multiple pieces.
  • the port door 14 moves between a closed position (as shown in FIG. 4 ) and an open position.
  • closed position means any position of the port door 14 that prevents an article, such as a wafer, from passing through the opening in the mounting plate 16 .
  • open position means any position of the port door 14 that allows an article, such as a wafer, to pass through the opening in the mounting plate 16 , including the position whereby the port door 14 does not block any portion of the opening.
  • the kinematic plate 13 is adapted to receive and support a FOUP 2 .
  • the kinematic plate 13 includes, among other things, kinematic pins 18 , a latch assembly and FOUP detection sensors.
  • the kinematic pins 18 align the FOUP on the kinematic plate 13 .
  • the latch assembly secures the FOUP to the kinematic plate 13 .
  • the FOUP advance plate assembly 12 moves the kinematic plate 13 horizontally between a load/unload position and a position whereby the FOUP door is located proximate to the port door. In the load/unload position, a FOUP may be transferred onto or off of the kinematic plate 13 by, for example, and OHT system or an AGV.
  • a floor-based transport system must have an apparatus (e.g., robotic arm) for loading and unloading the FOUP from the kinematic plate 13 .
  • FIG. 4 illustrates a conventional floor based transport system, such as an RGV, that travels along the facility floor on a railway system.
  • the railway system (shown as outline 30 ) may travel throughout the facility, or down a given bay, and eventually passes adjacent the housing 11 of a load port 10 .
  • the RGV, carrying a FOUP stops in front of the load port 10 and places the FOUP onto the kinematic plate 13 .
  • the FOUP is then advanced towards the port door 14 whereby the port door 14 eventually removes the FOUP door.
  • FIG. 5 illustrates that a conventional load port 10 occupies an area in front of the tool 50 (shown as outline 18 ).
  • the outline 18 which mostly consists of the housing 11 , generally occupies a rectangular volume (width not shown in FIG. 5 )—comprising a depth X 2 outward from the front end 52 of the processing tool 50 and a vertical height X 3 .
  • the AGV in combination with the load port 10 , extends outward from the tool 50 (e.g., X 1 +X 2 ) and occupies a large footprint on the facility floor 4 .
  • FIGS. 1-3 illustrate one embodiment of the present invention, which comprises a floor mounted conveyor 160 and a load port 100 having a vertically movable FOUP advance plate assembly 122 .
  • the conveyor 160 and load port 100 do not extend outward from the tool 101 any further than the conventional load port 10 extended outward from the tool by itself (e.g., X 2 ). It is within the scope of the invention for the conveyor 160 to extend outward from the tool 101 further than the FOUP advance plate assembly 122 .
  • the term “conveyor” means an apparatus that conveys, such as a mechanical apparatus that transports materials, packages, or items from one place to another. By way of example only, the articles may be moved along the conveyor 160 by rollers, air track, railway, belt(s) or any other means known within the art.
  • the load port 100 includes, among other things, a kinematic plate 112 , a port door 114 , a mounting plate 116 and a FOUP advance plate assembly 122 .
  • the mounting plate 116 preferably secures to a tool 101 through either a BOLTS Interface or the proposed SEMI BOLTS-Light Interface (discussed later in application) and has an opening.
  • the kinematic plate 112 preferably includes three kinematic pins 118 and an active container hold down mechanism (in compliance with SEMI Standard E15.1).
  • the port door 114 moves between an open and closed position.
  • the port door 114 comprises a Front Opening Interface Mechanical Standard (FIMS) door assembly.
  • FIMS Front Opening Interface Mechanical Standard
  • the FIMS door 114 includes a pair of vacuum cups 115 and a pair of latch keys 117 .
  • the latch keys 117 open and close the FOUP door.
  • the vacuum cups 115 evacuate the area between the FOUP door and the port door when the two doors are coupled together.
  • the FIMS door 114 is not limited to the example shown in FIG. 1 and may include other features. In addition, it is within the scope of the invention for the load port 100 to not have a port door 114 .
  • the FOUP advance plate assembly 122 includes a drive 126 for moving the kinematic plate 112 horizontally.
  • the kinematic plate 112 supports the bottom surface of a FOUP and aligns the FOUP with respect to the opening in the mounting plate 116 .
  • the drive 126 moves the kinematic plate 112 between a first position (see FIGS. 2A-2D ) and a second position (see FIGS. 2E-2F ). In the first position, an OHT system may load or unload a FOUP 2 from the kinematic plate 112 .
  • the first position also places the kinematic plate 112 in a load/unload position for placing and removing a FOUP 2 from the conveyor or other transport device.
  • the FOUP advance plate assembly 122 may move the kinematic plate 112 to the first position before the z-drive 120 lowers the FOUP advance plate 122 to the conveyor 160 or the kinematic plate 112 may move horizontally while the FOUP advance plate assembly 122 moves vertically.
  • the kinematic plate 112 may not move horizontally at all.
  • the port door 114 may move horizontally towards the FOUP door to uncouple and remove the FOUP door.
  • a port door may not be required at all if the container does not have a mechanically openable door.
  • a container may be raised from the conveyor to a height where the tool can access the article.
  • FIG. 2A illustrates that, in one embodiment, a pair of supports 124 connect the FOUP advance plate assembly 122 to a z-drive mechanism 120 .
  • the present invention is not limited to the supports 124 shown in FIG. 2A .
  • any support mechanism that connects the FOUP advance plate assembly 122 to the z-drive mechanism 120 will suffice.
  • a single support may connect the FOUP advance plate assembly 122 to the z-drive mechanism 120 .
  • the supports 124 may be connected to the FOUP advance plate assembly 122 and the z-drive mechanism 120 by any structure known within the art.
  • the z-drive mechanism 120 may comprise any drive assembly known within the art.
  • the load port 100 does not include a housing located below the FOUP advance plate assembly 122 similar to a conventional load port (e.g., housing 11 of load port 10 ).
  • the area between the FOUP advance plate assembly 122 and the facility floor 4 is therefore cleared of obstructing components.
  • the FOUP advance plate assembly 122 is able to move substantially vertically and parallel to the mounting plate 116 .
  • the FOUP advance plate assembly 122 moves vertically between an uppermost height (see FIG. 2A ) and a lowermost height (see FIG. 2B ).
  • the FOUP advance plate assembly 122 is able move to any position between these two heights. It is also within the scope of the invention for the FOUP advance plate assembly 122 to move between other heights (e.g., above the opening in the mounting plate 116 ).
  • the FOUP advance plate assembly 122 To pick up a FOUP 2 off the conveyor 160 , the FOUP advance plate assembly 122 is placed in the lowermost position. To do so, the z-drive mechanism 120 lowers the FOUP advance plate assembly 122 to the position is shown FIG. 2B .
  • the FOUP advance plate assembly 122 while located in the lowermost position, is preferably situated between the first rail 164 and the second rail 166 of the conveyor 160 .
  • the FOUP advance plate assembly 122 must be lowered enough so that a FOUP 2 traveling along the conveyor 160 may pass unobstructed over the kinematic plate 112 . In this embodiment, the kinematic plate 112 is moved to a forward position (away from port door) to fit between the rails 162 , 164 .
  • FIG. 2C illustrates a FOUP 2 that has come to a complete stop on the conveyor 160 over the kinematic plate 112 .
  • the FOUP 2 preferably comes to rest over the kinematic plate 112 when the kinematic pins 118 align with the pin receptacles on the bottom surface of the FOUP 2 .
  • z-drive 120 raises the FOUP advance plate assembly 122 .
  • the kinematic plate 112 eventually contacts the bottom surface of the FOUP 2 and lifts the FOUP 2 off the conveyor 160 as the z-drive 120 continues to raise the FOUP advance plate assembly 122 towards the uppermost position (see FIG. 2D ). No further adjustment between the FOUP 2 and the kinematic plate 112 are necessary in order to access wafers in the FOUP.
  • the conveyor 160 shown in FIGS. 2A-2C transports the FOUP 2 so that the FOUP door faces the load port when the FOUP arrives at the load port. It is within the scope and spirit of the invention to transport the FOUP along the conveyor in other orientations.
  • the FOUP may travel along the conveyor with the FOUP door facing the direction the FOUP is moving.
  • the FOUP advance plate assembly 122 after it picks up a FOUP 2 from the conveyor 160 , rotates the FOUP 2 ninety degrees so that the FOUP door faces the load port.
  • the FOUP advance plate assembly 122 moves the kinematic plate 112 towards the port door 114 .
  • the FOUP is moved forward until the port door is close enough to the FOUP door to uncouple and remove the FOUP door.
  • a port door that is able to unlock and remove the FOUP door and transport the FOUP door and port door within the tool is described in U.S. Pat. No. 6,419,438, entitled “FIMS Interface Without Alignment Pins,” which is assigned to Asyst Technologies, Inc., and is incorporated herein by reference.
  • FIG. 2F illustrates that additional FOUPs in the fabrication facility travel unobstructed along the conveyor 160 to another processing tool while the wafers within the FOUP 2 located on the kinematic plate 112 are being processed.
  • a FOUP 2 travels along the first and second rails 164 , 166 of the conveyor 160 .
  • FIG. 3 illustrates that the rails are preferably spaced apart to accommodate the FOUP advance plate assembly 122 while located in the lowermost position, between the rails.
  • each section of the conveyor 160 located in front of the load port 100 includes two slots 162 in the first rail 164 .
  • Each slot 162 allows a support 124 to pass through the first rail 164 as the FOUP advance plate assembly 122 is lowered to the lowermost position (see FIG. 2B ).
  • the slots 162 allow the z-drive 120 to lower the kinematic plate 112 to a position where a FOUP 2 traveling along the conveyor 160 can pass over the kinematic plate unobstructed. Any modification to the first rail 164 that accommodates a support 124 is within the spirit and scope of this invention. Similarly, if the load port 100 only includes one support 124 , the rail 164 only requires one slot 162 .
  • FIGS. 1-2 illustrate several features of a floor mounted conveyor 160 . It is within the scope of the present invention to place the conveyor at any height within the fabrication facility. By way of example only, the conveyor 160 may be located below the facility floor 4 (e.g., FIG. 11 ), flush with the facility floor 4 (e.g., FIG. 10 ) or above the load port (not shown).
  • the conveyor 160 may be located below the facility floor 4 (e.g., FIG. 11 ), flush with the facility floor 4 (e.g., FIG. 10 ) or above the load port (not shown).
  • each FOUP 2 preferably travels along the conveyor 160 such that the FOUP door 6 , when the FOUP 2 arrives at the load port 100 , faces the port door.
  • a FOUP may travel along the conveyor in other orientations and can eventually be rotated to face the port door. Either way, the number of times each FOUP 2 is handled between the conveyor and the load port is greatly reduced. For example, after a FOUP is lifted off the conveyor by the FOUP advance plate assembly, the FOUP does not have to be aligned again prior to accessing the wafers. The FOUP is lifted off the conveyor and does not have to be handled by a robotic arm (e.g., required in a conventional RGV system).
  • the load port 100 eliminates this additional handling step, which provides faster transfer of FOUPs from a conveyor or other transport device to a load port and minimizes handling of the FOUP 2 .
  • FIGS. 8-11 provide examples of FOUP transport systems for use with the load port 100 according to the present invention. However, other FOUP transport systems are within the spirit and scope of this invention.
  • FIG. 8 illustrates a conveyor 160 raised above the facility floor 4 .
  • This conveyor 160 provides room for a SEMI specified PGV docking area “toe kick” 170 at each load port.
  • This conveyor 160 minimizes the z-stroke required to move a FOUP 2 between the conveyor 160 (position B) and the uppermost position of the FOUP advance plate assembly 122 (position A).
  • the conveyor installation is simple because the facility floor 4 stays in place and there is no required alignment with floor tiles.
  • FIG. 9 illustrates a low-profile conveyor 160 .
  • This low-profile conveyor 160 makes it easier to have step-over areas for tool operators. FOUPs are moved between position A and position B as described above. The step-over areas allow operator foot traffic to pass over the conveyor 160 where, for example, the fence or rail 150 has a portion missing (see FIG. 1 ).
  • the low-profile conveyor also makes it easier for an operator to lift a load port 100 over the conveyor, for example, to service the load port 100 .
  • FIG. 10 illustrates a conveyor 160 embedded into the facility floor 4 .
  • the bottom surface 3 of a FOUP 2 travels along the conveyor 160 substantially at floor level.
  • the conveyor 160 does not obstruct access to the front of the load port 100 .
  • the z-stroke requirement between the conveyor 160 (position B) and the uppermost position (position A) is increased and the floor 4 must be modified to allow room for the conveyor 160 .
  • this embedded conveyor 160 provides several advantages.
  • the wheels of the conveyor 160 could, for example, retract lower into the facility floor 4 in sections located between processing tools 101 —allowing foot traffic to easily walk over the conveyor 160 or allow equipment to be rolled over the conveyor 160 .
  • a temporary plate could be placed over the conveyor 160 with clearance for the wheels that would allow foot traffic and easier equipment roll in.
  • FIG. 11 illustrates a conveyor 160 located below the facility floor 4 .
  • This embodiment allows foot traffic and equipment roll-in to occur completely unobstructed by the conveyor 160 .
  • the z-stroke requirement between the conveyor 160 (position B) and the uppermost position (position A) is much greater in this embodiment than the conveyors shown in FIGS. 8-10 .
  • FIGS. 13-16 illustrate an embodiment of a two-stage “telescoping” z-mechanism 220 for moving the FOUP advance plate assembly 222 between position A and position B for use with a conveyor located below the facility floor (see FIG. 11 ).
  • the retracted mechanism 240 is preferably located above the facility floor 4 when it is in a retracted position. This feature allows for easier removal of the load port 200 .
  • the telescoping z-mechanism 220 could also be used in a fabrication facility having a floor mounted conveyor 160 as long as the second z-guide 242 is not operated. Similar to the load port 100 , the FOUP advance plate assembly 222 can be raised to position A, allowing a FOUP to travel along the conveyor 160 and pass underneath the FOUP advance plate assembly 222 .
  • FIGS. 13-15 illustrate two processing tools 101 .
  • Each tool 101 includes two load ports 200 . Similar to previous load ports described above, each load port includes a mounting plate 216 having an I/O port 215 , a port door 214 , and kinematic plate 212 and a z-drive mechanism 220 .
  • the z-drive mechanism 220 moves the FOUP advance plate assembly 222 vertically between the I/O port 215 and the conveyor 160 .
  • the z-drive mechanism 220 comprises a two-stage mechanism having a first stage drive mechanism (FSD) 240 and a second stage drive mechanism (SSD) 242 .
  • the FSD 240 moves the FOUP advance plate assembly 222 vertically between the I/O port 215 and the facility floor 4 .
  • the SSD 242 includes a drive assembly that moves the FSD 240 vertically between the facility floor 4 and the I/O port 215 .
  • the SSD 242 sits within a back channel 250 of the FSD 240 and provides a guide for the FSD 240 .
  • the present invention is, however, not limited to this structure.
  • the FSD 240 When the FSD 240 is located in its fully raised position, the FSD 240 preferably does not extend below the mounting plate 216 . This feature allows the load port 200 to be easily removed from the tool 201 .
  • FIGS. 16-17 illustrate the load port 200 with a reduced height mounting plate 216 .
  • the reduced height mounting plate 216 secures to a processing tool 101 through a BOLTS Interface similar to the mounting plate 116 described above. However, this mounting plate 216 does not extend down to the facility floor 4 when secured to the tool. Instead, a gap is left between the bottom of the plate 216 and the facility floor 4 . The gap provides an access port to service the tool without having to remove the entire load port 200 from the tool.
  • the access port would normally be covered by a blank plate (not shown) secured to the tool to prevent particulates from entering into the tool through the access port during operation. The blank plate can be removed whenever access to the tool is required.
  • the reduced height mounting plate 216 also allows an operator to remove the load port from the tool and lift the load port over the floor-based transport system. For example, the mounting plate 216 can be removed from the tool while leaving the blank plate secured to the tool—making the load port lighter to handle.
  • FIG. 16 further illustrates that the containers may be transported throughout the facility within a tunnel 190 .
  • the tunnel 190 preferably includes access ports that allow the FOUP advance plate assembly 122 to be lowered through the access port and into the tunnel 190 in order to obtain a FOUP. It is within the scope of the invention for the tunnel 190 to optionally include a vertical section that encompasses the vertical travel path of the FOUP advance plate assembly 122 —creating a load port zone. These vertical sections would provide beneficial for transport systems transporting open containers or cassettes throughout the facility.
  • the tunnel 190 preferably isolates the container from the rest of the facility.
  • the tunnel 190 may include an active air system proving laminar air flow within the tunnel 190 to move particles generated within the tunnel away from the articles.
  • the tunnel 190 may also be filled with gas such as, but not limited to, air, nitrogen and the like.
  • Gas such as, but not limited to, air, nitrogen and the like.
  • Vertical tunnel sections transporting open containers could also incorporate article mapping functions.
  • a vertical tunnel section could include an optical scanning assembly that determines the position of each wafer in the container as the container is lifted by the vertically movable support plate towards the opening.
  • FIGS. 18-19 illustrate yet another embodiment of a load port having a FOUP advance plate assembly that moves vertically between an I/O port 315 and a conveyor 160 (or other FOUP transport device).
  • the load port 300 includes a FOUP advance plate assembly 322 , a kinematic plate 312 , a port door 314 and a mounting plate 316 having an I/O port 315 .
  • the mounting plate 316 secures to the processing tool 101 through a BOLTS-Light interface.
  • the z-drive mechanism 320 moves the FOUP advance plate assembly 322 vertically between the I/O port 315 and the conveyor 160 .
  • the z-drive mechanism 320 includes a first z-guide 302 and a second z-guide 304 . Each z-guide is secured to the mounting plate 316 on a side of the I/O port 315 . Each z-guide may also be integrally formed with the mounting plate 316 .
  • the mechanism 320 also includes a pair of z-rails. In this embodiment, the first z-rail 306 travels within the first z-guide 302 and the second z-rail 308 travels within the second z-guide 304 .
  • At least one of the z-rails 306 , 308 secure to the FOUP advance plate assembly 322 .
  • moving the rails 306 , 308 vertically moves the FOUP advance plate assembly 322 between the I/O port 315 and the conveyor 160 .
  • the conveyor 160 is preferably modified (e.g., slots 162 ) to accommodate a FOUP advance plate assembly 322 located in the lowermost position.
  • the load port 300 shown in FIGS. 18-19 lowers the FOUP advance plate assembly 322 to a floor mounted conveyor.
  • the z-mechanism 301 could also be used to fit a longer travel stroke (for sub-floor conveyor applications) while keeping the z-guides 302 , 304 and drive mechanism (not shown) above the facility floor 4 for ease of maintenance.
  • FIGS. 8-11 illustrate one embodiment of a barrier 150 that provides a physical structure between the conveyor and the rest of the facility.
  • the barrier 150 prevents interference with FOUP movement along the conveyor 160 .
  • the conveyor 160 is isolated from the facility by a tunnel 190 ( FIG. 18 ).
  • the tunnel 190 preferably includes an opening in the top surface of the tunnel 190 where the tunnel 190 is located adjacent a load port. The opening in the tunnel 190 allows the FOUP advance plate assembly access to a FOUP traveling on the conveyor 160 .
  • each FOUP travels along the conveyor below each FOUP advance plate assembly 122 located at the uppermost position. Placing the transport device below each load port's datum plane minimizes the effect of particles generated by the conveyor 160 .
  • FIGS. 20-24 illustrate one embodiment of a shuttle 400 for simultaneously supporting and transporting two FOUP along the railway 420 . It is within the scope of the present invention for the shuttle 400 to hold more or less than two FOUPs at a time.
  • the shuttle 400 in this embodiment, includes two sets of supports 402 , each supporting a single FOUP 2 .
  • Each support 402 preferably includes a lower support 404 separated from an upper support 406 by a vertical member 408 .
  • the upper and lower supports are separated so that a shuttle 400 , passing a load port with the FOUP advance plate assembly 122 located in the lowermost position will pass the load port unobstructed.
  • the upper supports 406 are intended to support the bottom surface of a FOUP with minimal contact.
  • the shuttle 400 also accommodates a FOUP advance plate assembly 122 moving vertically.
  • the upper supports 406 are preferably separated by a distance greater than the width of the FOUP advance plate assembly 122 .
  • a FOUP advance plate assembly 122 located in the lowermost position, is situated between the upper support 406 and the lower support 404 and does not interfere with the vertical support 408 .
  • the FOUP advance plate assembly 122 is first lowered to the lowermost position.
  • a shuttle 400 then comes to rest on the railway 420 in front of the load port 100 .
  • the kinematic pin grooves in the bottom surface of the FOUP 2 preferably align with the kinematic pins 118 on the kinematic plate 112 .
  • the FOUP advance plate assembly 122 is then raised to the uppermost position.
  • the FOUP 2 is eventually engaged by the kinematic plate 112 and is lifted off the upper supports 406 of the shuttle 402 .
  • no further adjustment between the FOUP 2 and the kinematic plate 112 is necessary to move the FOUP towards the mounting plate 116 and remove the FOUP door 6 .
  • the rail 420 may comprise any mechanism known within the art, such as a conveyor or a conventional railway.
  • the rail 420 may also be mounted within the fabrication facility at many heights.
  • the rail 420 may be mounted to, flush with, below, or elevated with respect to the facility floor 4 . If the shuttle 400 is not elevated, the shuttle 400 preferably has a low profile to allow operator foot traffic over the rail 420 .
  • the shuttle 400 may travel along any type of rails.
  • the rails 420 may comprise a primary drive rail 422 and a secondary support rail 424 .
  • the shuttle 400 shown in FIGS. 20-24 can simultaneously transport two 300 mm FOUPs 2 A and 2 B. It is within the spirit and scope of the present invention for the shuttle to carry one or more FOUPs. Transporting two FOUPs 2 A and 2 B with one shuttle 400 allows for more flexible delivery sequences and provides buffering advantages. For example, a two pod shuttle 400 has the ability to do “fast swaps.” In other words, the shuttle 300 can retrieve a first FOUP 2 A from a load port 100 A onto an empty support 402 and then load a second FOUP 2 B from the shuttle 400 to the same load port 100 A.
  • FIG. 16 illustrates a processing tool 101 having two load ports—a first load port 100 A and a second load port 100 B. Having two load ports enables continuous tool operation without being gated by the AMHS. With a fast-swap shuttle 400 , a third load port would be unnecessary to achieve continuous tool operation.
  • AMHS e.g., OHT system
  • a shuttle 400 that could accommodate three or four FOUPs could service two or three processing tools in sequence with fast swaps at each tool.
  • the shuttle could also take three or four FOUPs from an origination point—maybe a stocker—and deliver the FOUPs to three or four tools consecutively in one trip.
  • several FOUPs may be loaded and unloaded from various tools during a northbound leg up a bay (e.g., shuttle 400 traveling from processing tool 101 A to processing tool 101 B).
  • a bay is defined as, but not limited to, multiple tools arranged in a row.
  • the shuttle 400 would then reverse direction (e.g., shuttle 400 traveling from processing tool 101 B to processing tool 101 A) and several FOUPs may be loaded and unloaded from various tools during a southbound leg.
  • the rail system may branch, curve or ramp up/down to move the shuttle 400 along various paths on the facility floor 4 , above the floor 4 , below the floor 4 , between bays and within bays. All shuttles 400 could be made identical in terms of pod position relative to fiducials. This would eliminate tool “teaching” that is required with current AGVs that incorporate a robot arm. This improves serviceability and time to remove and replace shuttles.
  • the shuttle 400 may travel at high speeds, for example, only when enclosed in a tube 190 , or behind a fence 150 . In areas where there is no enclosure, to allow foot traffic crossing for example, the shuttle 400 would move in a slower mode and may incorporate look ahead sensors or move behind a light curtain to avoid collision. Intersections with foot traffic may have a physical gate or may have a traffic light system to indicate whether foot traffic may pass over the rail or not.
  • a rail-less shuttle, or AGV may follow a visible line on the floor or navigate relative to fiducials placed on the floor (e.g., dead reckoning system), ceiling, walls or, on load ports and similar structures.
  • a rail-less shuttle provides several benefits such as leaving the floor unobstructed for foot traffic and equipment roll-in, eliminating the cost of the rail and not restricting shuttle motion to linear movements between adjacent tools.
  • a rail-less shuttle could cross a bay aisle to transport FOUPs between tools performing consecutive process steps located on opposite sides of an aisle and the shuttles could pass one another where needed.
  • individual tools could be taken off-line for service and the rail-less vehicles could simply be redirected around the load port area during this service.
  • Advanced vehicles could navigate the entire factory, enter elevators and travel down aisles also occupied by tool operators.
  • FIG. 25-27 illustrate that a load port is not required to have either a container advance assembly for moving a FOUP horizontally or a port door, and may only need to move a FOUP vertically between two heights.
  • a load port 500 includes, among other things, a mounting plate 516 having an opening 517 and a vertically movable support structure 522 . Similar to the embodiments described above, the load port 500 also includes a mechanism for moving the support structure 522 vertically between the conveyor 560 and the opening 517 .
  • the FIG. 25 embodiment illustrates a support structure 522 having two supports for contacting the bottom surface of a FOUP.
  • the conveyor 560 shown in FIG. 25 is divided into three sections at each load port 500 to accommodate the support structure 522 .
  • the conveyor 560 comprises a middle section 562 , a left section 564 and a right section 568 .
  • Each conveyor section in this embodiment, comprises a belt 568 and a pair of rollers 570 .
  • the rollers 570 that are part of the middle section 562 of the conveyor 560 are rotatably mounted to the mounting plate 516 .
  • Each section of the conveyor 560 is separated apart from the other, creating a gap between each section of the conveyor.
  • the gaps allow the support structure 522 to travel below the conveyor 560 and wait for a FOUP to arrive on the middle section 562 of the conveyor 560 . After a FOUP arrives on the middle section 562 and comes to rest, the support structure 522 may rise vertically to engage the bottom surface of the FOUP and lift the FOUP off the conveyor 560 .
  • FIG. 26 illustrates a transport and delivery system comprising a load port 600 and a conveyor 660 .
  • the load port 600 includes, among other things, a mounting plate 616 , a support structure 622 and a mechanism 620 for moving the support structure 622 vertically.
  • the support structure 622 comprises a structure having a first support 624 , a second support 626 and a third support 628 .
  • Each support includes a kinematic pin 618 at the distal end of each support.
  • This structure replaces the kinematic plate 112 in the load port 100 .
  • the conveyor 660 by way of example only, comprises a rail 662 and multiple cantilever rollers 664 . As shown in FIG.
  • each support 624 , 626 and 626 of the support structure 622 is able to pass between a pair of adjacent rollers 664 so that the support structure 622 can be lowered below the rollers 664 .
  • a FOUP preferably comes to rest on the rollers 664 with the kinematic grooves in the bottom surface of the FOUP aligned with the kinematic pins 618 on the support structure 622 .
  • the support structure 622 is then raised vertically to engage the bottom surface of the FOUP and lift the FOUP off the conveyor 660 to a predetermined height.
  • FIG. 27 illustrates another transport and delivery system that comprises a load port 700 and a conveyor 760 .
  • the load port 700 includes, among other things, a mounting plate 716 having an opening 716 and a slot 724 , and a support structure 722 .
  • the support structure 722 in this embodiment, preferably moves only vertically between the opening 717 and the conveyor 760 . However, it is within the scope of the invention for the support structure 722 to also move horizontally.
  • the support structure 722 may comprise any structure that supports a container including, but not limited to, a kinematic plate for supporting a FOUP or any other container support mechanism.
  • the conveyor 760 comprises a rail 762 having cantilevered rollers 764 with rotatable wheels 766 located at both ends of each roller 764 .
  • the rollers 764 preferably do not contact the container—only the wheels 766 —to minimize contact with the container.
  • two wheels 766 are rotatably mounted to the mounting plate 716 of the load port 700 . These two wheels may be passive wheels or drive wheels. Eliminating the rollers 764 on the conveyor 760 for the section in front of the load port 700 allows the support structure 722 to be lowered below the wheels 766 . At this point, when a container comes to rest in front of the load port 700 , the support structure 722 may then be raised to lift the container off the conveyor 760 .
  • the support structures and conveyors illustrated in FIGS. 25-27 are interchangeable.
  • FIG. 28 illustrates another embodiment of the present invention.
  • the system includes a load port 800 adjacent to a conveyor 160 .
  • the load port 800 includes, among other things, a mounting plate 816 , a port door 814 and container advance plate 812 .
  • the container advance plate 812 is connected to the drive mechanism 820 by a cantilevered arm 824 .
  • the drive mechanism 820 moves the arm 824 vertically substantially between the conveyor rails 164 and 166 (as shown in FIG. 28 ) and a load/unload height whereby the port door 814 is able to couple with the FOUP door.
  • the arm 824 is configured such that the conveyor rail 164 does not require a notch (e.g., FIG. 2A ) to accommodate the arm 824 while the container advance plate 812 is located in the lowermost position.
  • the arm 824 may include a first horizontal portion 826 coupled with the drive assembly 820 that transitions into a vertical portion 828 , which transitions into a second horizontal portion 830 .
  • the second horizontal portion 830 connects to the container advance plate 812 .
  • the arm 824 may have other configurations (e.g., comprise two arms 824 attached to a different part of the container advance plate 812 , etc.) and/or comprise more than one piece of material.
  • FIG. 28 illustrates a downstream direction (arrow A) and an upstream direction (arrow B).
  • the arm 824 while located in the lowermost position, prevents a FOUP 2 from passing the load port 800 in either the downstream or upstream directions.
  • the FOUP 2 To load a FOUP 2 traveling in the downstream direction onto the container advance plate 812 , the FOUP 2 must first travel past the load port 800 (e.g., to the position shown in FIG. 28 ). After the arm 824 lowers the container advance plate 812 between the conveyor rails, the FOUP 2 may then travel in the upstream direction until the FOUP 2 is located over the container advance plate 812 .
  • the drive assembly 820 raises the container advance plate 812 to lift the FOUP 2 off the conveyor 160 .
  • the container advance plate 812 may be able to move along a Y-direction (e.g., perpendicular to the conveyor rails) in order to align the kinematic pins 818 with the bottom of the FOUP 2 .
  • the Y-direction motion of the container advance plate 812 also allows the plate 812 to move the FOUP 2 towards and away from the port door 814 when the plate 812 is located in the load/unload position. While the plate 812 is located in the raised position, FOUPs traveling on the conveyor may pass unobstructed by the load port 800 .
  • the drive assembly 820 lowers the arm 824 to the lowermost position—setting the FOUP 2 back onto the conveyor 160 .
  • the FOUP 2 is then able to move freely on the conveyor only in the downstream position. If the FOUP needs to travel along the conveyor in the upstream direction, the FOUP must first travel in the downstream direction to clear the container advance assembly 812 . Once the arm 824 and container advance assembly 812 is raised, the FOUP may then travel in the upstream direction without contacting either element.
  • FIG. 29 illustrates an embodiment of the present invention for use with a bottom opening container 2 .
  • the load port 900 includes, among other things, a mounting plate 916 , a port door 914 , and a container support plate 912 .
  • the container support plate 912 is coupled with a drive assembly 920 .
  • the drive assembly 920 may comprise, for example, a lead screw, a belt drive, or any other mechanism that is suitable for moving the container support plate 912 vertically between, among other locations, positions A, B and C.
  • the container support plate 912 may be coupled to the drive assembly 920 by any mechanisms known within the art.
  • FIG. 29 illustrates that the container support plate 912 is affixed to the drive assembly by an arm 926 .
  • FIG. 29 illustrates that the conveyor rail 164 includes a notch to accommodate the arm 924 while the container advance plate 912 is located into position A. It is within the scope of the invention for the load port 900 to include a cantilevered arm similar to arm 824 shown in FIG. 28 .
  • position A is referred to as the conveyor load/unload position
  • position B is referred to as the uppermost position
  • position C is referred to as the wafer load/unload position.
  • the bottom opening container 2 includes, among other things, a container door 4 removably secured to a container shell 6 .
  • the container shell 6 includes a rim 8 having a channel 10 .
  • the container 2 may have other configurations.
  • the kinematic pins 918 of the container advance plate 912 preferably align with the kinematic receptacles in the container door 4 .
  • the container advance plate 912 may also move in the Y-direction to align the plate with the container door.
  • the container advance plate 912 also includes a latch key 913 .
  • the latch key 913 engages a latch mechanism in the container door 4 .
  • the container advance plate 912 may include any number of latch keys.
  • the container advance plate 912 first raises a container 2 from position A to position B.
  • the container is raised until a mechanism for retaining the container shell 6 , such as a spring-loaded pin 926 , engages the channel 10 of the container shell 6 .
  • a mechanism for retaining the container shell 6 such as a spring-loaded pin 926
  • the shroud 930 may include a contact seal (e.g., rubber seal) or an actuating seal that physically contacts the container shell when the container shell reaches a particular height.
  • the latch key 913 unlocks the container door 4 from the container shell 6 .
  • the drive assembly 920 then lowers the container advance plate 912 to position C.
  • the container door 4 then separates from the container shell 6 —which is held at position B by the pins 926 .
  • the wafer cassette WC it is within the scope of the present invention for the wafer cassette WC to be, for example, seated on the container door 4 , mounted to the container door 4 , integrated into the container door 4 or removably affixed to the container door 4 .
  • the container shell 6 does not have to remain at position B the entire time while the container door 4 is detached from the container shell 6 .
  • the container shell 6 may be vertically or horizontally adjusted by the retaining mechanism after the container shell 6 is uncoupled from the container door 4 .
  • the load port 900 preferably includes an environmental isolation shield or shroud 930 .
  • the shroud 930 generally comprises an enclosure having an open top and bottom surface that creates a mini-environment to isolate the wafers while the container shell 6 is removed from the container door 4 .
  • the shroud 930 may comprise any shape and may be manufactured from different parts and/or materials.
  • the shroud 930 is not required to have both an open top and bottom.
  • FIG. 29 illustrates that the shroud 930 is affixed to the mounting plate 916 —creating the mini-environment between the mounting plate 916 and the walls of the shroud 930 .
  • the shroud 930 may be permanently or detachably affixed to the mounting plate 916 or a free standing element.
  • the shroud 930 by creating this mini-environment, protects the wafer cassette WC from particle contamination after the container shell 6 has been removed from the container door 4 .
  • the isolation shield 930 is shaped such that a small gap exists between the edge of the container advance plate 912 or container door 4 and the interior wall of the isolation shield 930 .
  • this gap may be between 1-30 mm.
  • FIG. 29 illustrates three pressure levels—P 1 , P 2 and P 3 .
  • Each pressure level varies to create an air flow from within the tool outside to the fab with or without requiring fans or other devices to control the desired air flows.
  • the first pressure P 1 represents the pressure level of the gas located within the tool (e.g., very clean air or nitrogen).
  • the second pressure P 2 represents the pressure level of the gas located in the mini-environment (defined by the container shell 6 , the isolation plate 930 , the container advance plate 912 and the mounting plate 916 ).
  • the third pressure P 3 represents the pressure level of the gas located in the fabrication facility.
  • the load port 900 may also include a wafer mapping system (not shown) for detecting the position of each article located in the container 2 .
  • the wafer mapping system may comprise the system disclosed in U.S. Pat. No. 6,082,949, entitled “Load Port Opener,” which is assigned to Asyst Technologies, Inc., and is incorporated herein by reference.
  • the wafer mapping system may be located anywhere that the articles within the container may be detected by, for example, a laser diode emitter (e.g., part of the port door, integrated into the shroud, etc.). It is within the scope of the present invention to use other wafer mapping systems.

Abstract

Systems for loading and unloading semiconductor wafers to and from semiconductor processing or storage equipment are disclosed. One system includes a pair of conveyor rails for transporting a container capable of holding semiconductor wafers around a processing facility. The pair of conveyor rails defining a plane on which the container is supported and transported. The system includes a load port positioned adjacent to the conveyor rails. The load port has a support plate for holding a container and an arm coupled to the support plate. The arm is configured to move between a lower position and an upper position, and the lower position is defined between the pair of conveyor rails and below the plane of the conveyor rails. The upper position is in a load/unload position, and the arm has a bend that enables the support plate to be placed over one of the pair of conveyor rails without requiring a notch in the one conveyor rail.

Description

    CLAIM OF PRIORITY
  • This application is a continuation of U.S. patent application Ser. No. 11/177,645, entitled “Direct Tool Loading”, filed on Jul. 8, 2005, and which is a continuation in-part of U.S. application Ser. No. 11/064,880, entitled “Direct Tool Loading,” filed with the U.S. Patent and Trademark Office on Feb. 24, 2005. Both applications are hereby incorporated by reference.
  • FIELD OF THE INVENTION
  • The present invention generally relates to an automated material handling system (AMHS). More specifically, the present invention comprises a load port having a vertically movable container support structure that is able to load and unload containers directly from a container transport system.
  • BACKGROUND OF THE INVENTION
  • It is costly to deliver containers, such as Front Opening Unified Pods (FOUPs) and Standard Mechanical Interface (SMIF) pods, to processing tools and load ports in a semiconductor fabrication facility. One method of delivering FOUPs or bottom opening containers between processing tools is an overhead transport (OHT) system. The OHT system lowers a FOUP onto the kinematic plate of the load port at approximately 900 mm height from the fabrication facility floor. An OHT system uses sophisticated ceiling mounted tracks and cable hoist vehicles to deliver FOUPs to, for example, a load port of a processing tool. The combination of horizontal moves, cable hoist extensions, and unidirectional operation, must be coordinated for transporting FOUPs quickly between processing tools. A transport vehicle must be available at the instant when a processing tool needs to be loaded or unloaded for best efficiency.
  • OHT systems are often mounted on portions of a facility ceiling, and therefore, are located above the processing tools and load ports. OHT systems utilize free space in the fabrication facility as the processing tools are typically floor mounted equipment. Ceiling mounted OHT systems must raise or lower a container a substantial distance between the OHT track and, by way of example only, a load port. An OHT system preferably has a very high cleanliness performance because any particles created from moving FOUPs along the track may fall onto the tool areas located underneath and potentially damage wafers.
  • Rail guided vehicles (RGVs) and automatic guided vehicles (AGVs) are often utilized in semiconductor fabrication facilities to move containers along the facility floor between processing tools. RGV's and AGV's are easier to access for maintenance purposes than an OHT system and are typically less costly than ceiling mounted OHT systems. Particle control is also simplified because particles generated by an RGV or AGV remain below the datum plane of a load port. RGVs and AGVs, however, occupy valuable floor space—which is at a premium in a semiconductor fabrication facility—and pose safety issues (e.g., tool operators and RGV's operate in the same space).
  • Wafer throughput could be improved in a semiconductor facility by delivering wafers to tools by both a floor-based transport system and an OHT system. For example, an OHT system could deliver the FOUP or bottom opening container to a processing tool while the numerous container deliveries between adjacent processing tools are handled by a floor based transport system. This would be the case, for example, when process tools require that the first wafer of every FOUP be tested on a metrology tool in the bay.
  • Accordingly, there is a need for an improved container delivery system (for either FOUPs and/or bottom opening containers) in semiconductor fabrication facilities. The present invention provides a container delivery system that reduces the cost of container delivery, increases accuracy of container delivery, simplifies installation and maintenance, improves the cleanliness performance and safety features and reduces delays associated with conventional container transport systems.
  • SUMMARY OF THE INVENTION
  • One aspect of the present invention is to provide a transport system to move containers efficiently between a tool and a conveyor. In one embodiment, the present invention provides a load port having a vertically movable container support plate. The load port lifts a container, whether it is a front opening or bottom opening container, directly off a conveyor reducing the number of times the container is handled during tool loading.
  • In one embodiment, systems for loading and unloading semiconductor wafers to and from semiconductor processing or storage equipment are disclosed. One system includes a pair of conveyor rails for transporting a container capable of holding semiconductor wafers around a processing facility. The pair of conveyor rails defining a plane on which the container is supported and transported. The system includes a load port positioned adjacent to the conveyor rails. The load port has a support plate for holding a container and an arm coupled to the support plate. The arm is configured to move between a lower position and an upper position, and the lower position is defined between the pair of conveyor rails and below the plane of the conveyor rails. The upper position is in a load/unload position, and the arm has a bend that enables the support plate to be placed over one of the pair of conveyor rails without requiring a notch in the one conveyor rail.
  • Another aspect of the present invention is to provide a transport system that complements OHT systems and functions as the primary AMHS for a bay (e.g., row of tools) or for the entire fabrication facility. In one embodiment, the present invention loads/unloads containers from a conveyor that transports containers throughout at least a portion of the fabrication facility. In another embodiment, the support plate comprises a carrier advance plate assembly for moving the support plate horizontally. The conveyor may be mounted to the facility floor, flush with the facility floor, beneath the facility floor or raised above the facility floor. Other embodiments of the present invention are compatible with RGVs, AGVs and person guided vehicles (PGVs) to transport containers throughout the fabrication facility.
  • Still another aspect of the present invention is to provide a transport and delivery system that is easy to service. OHT systems are located high above the facility floor (e.g., 8-20 ft). Thus, OHT systems are not as easy to access as a floor-based transport system. In one embodiment, a conveyor is mounted to the facility floor. Service personnel can easily access the conveyor for maintenance purposes. The load port is also designed to be removed from the tool and lifted over the conveyor.
  • Yet another aspect of the present invention is to provide a transport system with inherent safety features. In one embodiment, the present invention includes a safety rail that separates the conveyor from the rest of the facility. The rail provides a barrier to prevent a tool operator from coming into contact with a moving container. Another embodiment of the present invention encloses the conveyor within an isolation tube. The tube prevents a tool operator from coming into contact with a moving container. At the same time, the tube isolates the container or article from the rest of the facility by, for example, having an active air flow system for directing particles generated within the tube away from the article. Floor-based transport systems (e.g., conveyor, RGV, AGV) also eliminate the concern that a container will fall from an OHT system and injure an operator.
  • Another aspect of the present invention is to provide a floor-based transport and delivery system that occupies a similar or smaller foot print than occupied by a conventional load port and floor-based container transport system (e.g., AGV). In one embodiment, the present invention, which comprises a floor mounted conveyor and a load port, occupies the same footprint typically occupied solely by a conventional load port. In another embodiment, the present invention, which comprises a shuttle and load port, also occupies a small footprint on the facility floor.
  • Another aspect of the present invention is to provide a container transport and delivery system that improves the cleanliness performance without compromising the integrity of the wafers. In one embodiment, containers are transported along a conveyor that passes below the container plate advance assembly of each load port. Particles generated by this transport system fall to the facility floor or are entrained in laminar flow and do not contaminate wafers being processed by the processing tool. In another embodiment, the load port includes a shroud that partially encloses the opening in the port plate. When a container shell is retained by a mechanism in the shroud, the container shell creates a proximity seal with the shroud and forms a mini-environment within the shroud that is isolated from the facility environment.
  • Another aspect of the present invention is to provide a transport and delivery system that does not require extensive modifications to the existing process tools, fabrication facility layout or fabrication software in order to run efficiently with existing systems. In one embodiment, the load port secures to the front end of a tool through a BOLTS interface (SEMI Standard E63) or the proposed BOLTS-light standard. The controls, which are typically located in a housing underneath the container plate advance assembly, are relocated inside the load port. Thus, a processing tool does not have to be modified at all to accommodate a load port according to the present invention.
  • Yet another aspect of the present invention is to provide a load port for bottom opening containers. In one embodiment, the present invention comprises a load port that is able to lift a container directly off a conveyor and remove the container shell so that a wafer handling robot may access the wafer. The load port also provides a mini-environment to isolate the exposed wafer from the facility's ambient environment. The mini-environment, in one embodiment, has a higher pressure than the facility's pressure to encourage air flow from the processing tool (clean environment), through the mini-environment and out into the facility.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a perspective view of an embodiment of the present invention;
  • FIGS. 2A-2F are a perspective views of the embodiment shown in FIG. 1, further illustrating a load port having a vertically movable FOUP advance plate assembly;
  • FIG. 3 is a top view of the embodiment of the present invention shown in FIGS. 2A-2F, further illustrating how the conveyor accommodates a FOUP advance plate assembly located in a lowermost position;
  • FIG. 4 is a plan view of a conventional load port mounted to a processing tool, according to the prior art;
  • FIG. 5 is a plan view illustrating a profile of a conventional load port, according to the prior art;
  • FIG. 6 is a plan view of an embodiment of the present invention, illustrating a profile of a load port according to the present invention;
  • FIG. 7 is a plan view of the embodiment shown in FIG. 6, illustrating the space allocated under the FOUP advance plate assembly for a container transport system;
  • FIG. 8 is a plan view of another embodiment of the present invention, illustrating an embodiment of a conveyor system;
  • FIG. 9 is a plan view of yet another embodiment of the present invention, illustrating the system having a floor mounted conveyor system;
  • FIG. 10 is a plan view of still another embodiment of the present invention, illustrating the system having a conveyor embedded in the facility floor;
  • FIG. 11 is a plan view of yet another embodiment of the present invention, illustrating a sub-floor conveyor system;
  • FIG. 12 is a plan view of an embodiment of the present invention, illustrating a range of motion of the load port;
  • FIG. 13 is a perspective view of another embodiment of the present invention;
  • FIG. 14 is a plan view of an embodiment of the present invention, illustrating the system shown in FIG. 13;
  • FIG. 15 is a front view of an embodiment of the present invention, illustrating the system shown in FIG. 13;
  • FIG. 16 is a perspective view of another embodiment of the present invention, illustrating a container transport system isolated from the facility;
  • FIG. 17 is a perspective view of another embodiment of the present invention, illustrating a two-stage reduced height vertical drive;
  • FIG. 18 is a perspective view of another embodiment of the present invention, illustrating a yet another embodiment of a load port;
  • FIG. 19 is a perspective view of the load port shown in FIG. 18;
  • FIG. 20 is a perspective view of another embodiment of the present invention, illustrating an embodiment of a wafer shuttle for moving FOUPs between two tools;
  • FIG. 21 is a plan view of the embodiment shown in FIG. 20;
  • FIG. 22 is a perspective view of another embodiment of a wafer shuttle;
  • FIG. 23 is a front view of the wafer shuttle shown in FIG. 22;
  • FIG. 24 is a plan view of the wafer shuttle shown in FIG. 22;
  • FIG. 25 is a perspective view of another embodiment of the present invention, illustrating a conveyor having a belt for movably supporting a container;
  • FIGS. 26A and 26B are views of another embodiment of the present invention, illustrating a conveyor having cantilevered wheels for movably supporting a container;
  • FIG. 27 is a perspective view another embodiment of the present invention, illustrating a conveyor having cantilevered wheels for movably supporting a container;
  • FIG. 28 is a perspective view of yet another embodiment of the present invention, and
  • FIG. 29 is schematic view of still another embodiment of the present invention, illustrating a load port for use with bottom opening containers.
  • DETAILED DESCRIPTION OF THE INVENTION
  • Semiconductor Equipment and Materials International (SEMI) has created standards for semiconductor wafer manufacturing equipment (see http://www.semi.org). The SEMI Standards govern acceptable tolerances and interfaces for semiconductor manufacturing equipment. The inventions described herein are not limited to semiconductor manufacturing equipment for handling FOUPs.
  • By way of example only, the various embodiments of the present invention may also be used and/or adapted for systems handling SMIF pods, reticle containers, flat panel display transport devices, or any other container or processing tool. Container is defined as any type of structure for supporting an article including, but not limited to, a semiconductor substrate. By way of example only, a container includes a structure that comprises an open volume whereby the article can be accessed (e.g., FPD transport) or a container having a mechanically openable door (e.g., bottom opening SMIF pod and FOUP). Load port is defined as interface equipment that handles containers. For purposes of describing this invention, however, only load ports for handling FOUPs and bottom opening containers will be referenced herein.
  • FIGS. 4-5 illustrate a conventional load port 10 for handling FOUPs (e.g., Asyst Technologies, Inc.'s IsoPort™). The load port complies with, at a minimum, SEMI Standards E15.1, E47.1, E57, E62, E63, E64, S2-93A, S8-95 and 1300L. The load port 10 includes, among other things, a housing 11, a FOUP advance plate assembly 12, a kinematic plate 13, a port door 14 and a fastening or mounting plate 16 having an opening (not shown). The mounting plate 16 secures to the front end 52 of a processing tool 50 through, for example, a BOLTS Interface. The mounting plate 16 may comprise a unitary structure or be constructed of multiple pieces. The port door 14 moves between a closed position (as shown in FIG. 4) and an open position. The term “closed position” means any position of the port door 14 that prevents an article, such as a wafer, from passing through the opening in the mounting plate 16. The term “open position” means any position of the port door 14 that allows an article, such as a wafer, to pass through the opening in the mounting plate 16, including the position whereby the port door 14 does not block any portion of the opening.
  • The kinematic plate 13 is adapted to receive and support a FOUP 2. The kinematic plate 13 includes, among other things, kinematic pins 18, a latch assembly and FOUP detection sensors. The kinematic pins 18 align the FOUP on the kinematic plate 13. The latch assembly secures the FOUP to the kinematic plate 13. The FOUP advance plate assembly 12 moves the kinematic plate 13 horizontally between a load/unload position and a position whereby the FOUP door is located proximate to the port door. In the load/unload position, a FOUP may be transferred onto or off of the kinematic plate 13 by, for example, and OHT system or an AGV. Moving the kinematic plate 13 towards the port door allows the port door to couple with and remove the pod door to provide access to the wafers stored within the FOUP. Neither the FOUP advance plate assembly 12 nor the kinematic plate 13 move vertically. Thus, a floor-based transport system must have an apparatus (e.g., robotic arm) for loading and unloading the FOUP from the kinematic plate 13.
  • FIG. 4 illustrates a conventional floor based transport system, such as an RGV, that travels along the facility floor on a railway system. The railway system (shown as outline 30) may travel throughout the facility, or down a given bay, and eventually passes adjacent the housing 11 of a load port 10. The RGV, carrying a FOUP, stops in front of the load port 10 and places the FOUP onto the kinematic plate 13. The FOUP is then advanced towards the port door 14 whereby the port door 14 eventually removes the FOUP door.
  • FIG. 5 illustrates that a conventional load port 10 occupies an area in front of the tool 50 (shown as outline 18). The outline 18, which mostly consists of the housing 11, generally occupies a rectangular volume (width not shown in FIG. 5)—comprising a depth X2 outward from the front end 52 of the processing tool 50 and a vertical height X3. The AGV, in combination with the load port 10, extends outward from the tool 50 (e.g., X1+X2) and occupies a large footprint on the facility floor 4.
  • FIGS. 1-3 illustrate one embodiment of the present invention, which comprises a floor mounted conveyor 160 and a load port 100 having a vertically movable FOUP advance plate assembly 122. The conveyor 160 and load port 100 do not extend outward from the tool 101 any further than the conventional load port 10 extended outward from the tool by itself (e.g., X2). It is within the scope of the invention for the conveyor 160 to extend outward from the tool 101 further than the FOUP advance plate assembly 122. The term “conveyor” means an apparatus that conveys, such as a mechanical apparatus that transports materials, packages, or items from one place to another. By way of example only, the articles may be moved along the conveyor 160 by rollers, air track, railway, belt(s) or any other means known within the art.
  • The load port 100 includes, among other things, a kinematic plate 112, a port door 114, a mounting plate 116 and a FOUP advance plate assembly 122. The mounting plate 116 preferably secures to a tool 101 through either a BOLTS Interface or the proposed SEMI BOLTS-Light Interface (discussed later in application) and has an opening. The kinematic plate 112 preferably includes three kinematic pins 118 and an active container hold down mechanism (in compliance with SEMI Standard E15.1). The port door 114 moves between an open and closed position. By way of example only, the port door 114 comprises a Front Opening Interface Mechanical Standard (FIMS) door assembly. In this embodiment, the FIMS door 114 includes a pair of vacuum cups 115 and a pair of latch keys 117. The latch keys 117 open and close the FOUP door. The vacuum cups 115 evacuate the area between the FOUP door and the port door when the two doors are coupled together. The FIMS door 114 is not limited to the example shown in FIG. 1 and may include other features. In addition, it is within the scope of the invention for the load port 100 to not have a port door 114.
  • The FOUP advance plate assembly 122 includes a drive 126 for moving the kinematic plate 112 horizontally. The kinematic plate 112 supports the bottom surface of a FOUP and aligns the FOUP with respect to the opening in the mounting plate 116. The drive 126 moves the kinematic plate 112 between a first position (see FIGS. 2A-2D) and a second position (see FIGS. 2E-2F). In the first position, an OHT system may load or unload a FOUP 2 from the kinematic plate 112. The first position also places the kinematic plate 112 in a load/unload position for placing and removing a FOUP 2 from the conveyor or other transport device. The FOUP advance plate assembly 122 may move the kinematic plate 112 to the first position before the z-drive 120 lowers the FOUP advance plate 122 to the conveyor 160 or the kinematic plate 112 may move horizontally while the FOUP advance plate assembly 122 moves vertically.
  • It is also within the scope of the invention for the kinematic plate 112 to not move horizontally at all. For example, after the FOUP advance plate assembly 122 is raised vertically, the port door 114 may move horizontally towards the FOUP door to uncouple and remove the FOUP door. Or a port door may not be required at all if the container does not have a mechanically openable door. In this case, a container may be raised from the conveyor to a height where the tool can access the article.
  • FIG. 2A illustrates that, in one embodiment, a pair of supports 124 connect the FOUP advance plate assembly 122 to a z-drive mechanism 120. The present invention is not limited to the supports 124 shown in FIG. 2A. In fact, any support mechanism that connects the FOUP advance plate assembly 122 to the z-drive mechanism 120 will suffice. By way of example only, a single support may connect the FOUP advance plate assembly 122 to the z-drive mechanism 120. The supports 124 may be connected to the FOUP advance plate assembly 122 and the z-drive mechanism 120 by any structure known within the art. The z-drive mechanism 120 may comprise any drive assembly known within the art.
  • The load port 100 does not include a housing located below the FOUP advance plate assembly 122 similar to a conventional load port (e.g., housing 11 of load port 10). The area between the FOUP advance plate assembly 122 and the facility floor 4 is therefore cleared of obstructing components. In other words, the FOUP advance plate assembly 122 is able to move substantially vertically and parallel to the mounting plate 116. For purposes of describing the invention, the FOUP advance plate assembly 122 moves vertically between an uppermost height (see FIG. 2A) and a lowermost height (see FIG. 2B). The FOUP advance plate assembly 122 is able move to any position between these two heights. It is also within the scope of the invention for the FOUP advance plate assembly 122 to move between other heights (e.g., above the opening in the mounting plate 116).
  • To pick up a FOUP 2 off the conveyor 160, the FOUP advance plate assembly 122 is placed in the lowermost position. To do so, the z-drive mechanism 120 lowers the FOUP advance plate assembly 122 to the position is shown FIG. 2B. The FOUP advance plate assembly 122, while located in the lowermost position, is preferably situated between the first rail 164 and the second rail 166 of the conveyor 160. The FOUP advance plate assembly 122 must be lowered enough so that a FOUP 2 traveling along the conveyor 160 may pass unobstructed over the kinematic plate 112. In this embodiment, the kinematic plate 112 is moved to a forward position (away from port door) to fit between the rails 162, 164.
  • FIG. 2C illustrates a FOUP 2 that has come to a complete stop on the conveyor 160 over the kinematic plate 112. The FOUP 2 preferably comes to rest over the kinematic plate 112 when the kinematic pins 118 align with the pin receptacles on the bottom surface of the FOUP 2. While the FOUP 2 and kinematic plate 112 are aligned, z-drive 120 raises the FOUP advance plate assembly 122. The kinematic plate 112 eventually contacts the bottom surface of the FOUP 2 and lifts the FOUP 2 off the conveyor 160 as the z-drive 120 continues to raise the FOUP advance plate assembly 122 towards the uppermost position (see FIG. 2D). No further adjustment between the FOUP 2 and the kinematic plate 112 are necessary in order to access wafers in the FOUP.
  • The conveyor 160 shown in FIGS. 2A-2C transports the FOUP 2 so that the FOUP door faces the load port when the FOUP arrives at the load port. It is within the scope and spirit of the invention to transport the FOUP along the conveyor in other orientations. By way of example only, the FOUP may travel along the conveyor with the FOUP door facing the direction the FOUP is moving. In this situation, the FOUP advance plate assembly 122, after it picks up a FOUP 2 from the conveyor 160, rotates the FOUP 2 ninety degrees so that the FOUP door faces the load port.
  • At this point, the FOUP advance plate assembly 122 moves the kinematic plate 112 towards the port door 114. The FOUP is moved forward until the port door is close enough to the FOUP door to uncouple and remove the FOUP door. By way of example only, a port door that is able to unlock and remove the FOUP door and transport the FOUP door and port door within the tool is described in U.S. Pat. No. 6,419,438, entitled “FIMS Interface Without Alignment Pins,” which is assigned to Asyst Technologies, Inc., and is incorporated herein by reference. FIG. 2F illustrates that additional FOUPs in the fabrication facility travel unobstructed along the conveyor 160 to another processing tool while the wafers within the FOUP 2 located on the kinematic plate 112 are being processed.
  • A FOUP 2 travels along the first and second rails 164, 166 of the conveyor 160. FIG. 3 illustrates that the rails are preferably spaced apart to accommodate the FOUP advance plate assembly 122 while located in the lowermost position, between the rails. In the FIGS. 1-3 embodiment, each section of the conveyor 160 located in front of the load port 100 includes two slots 162 in the first rail 164. Each slot 162 allows a support 124 to pass through the first rail 164 as the FOUP advance plate assembly 122 is lowered to the lowermost position (see FIG. 2B). The slots 162 allow the z-drive 120 to lower the kinematic plate 112 to a position where a FOUP 2 traveling along the conveyor 160 can pass over the kinematic plate unobstructed. Any modification to the first rail 164 that accommodates a support 124 is within the spirit and scope of this invention. Similarly, if the load port 100 only includes one support 124, the rail 164 only requires one slot 162.
  • FIGS. 1-2 illustrate several features of a floor mounted conveyor 160. It is within the scope of the present invention to place the conveyor at any height within the fabrication facility. By way of example only, the conveyor 160 may be located below the facility floor 4 (e.g., FIG. 11), flush with the facility floor 4 (e.g., FIG. 10) or above the load port (not shown).
  • Regardless of the height of the conveyor system relative to the load port, each FOUP 2 preferably travels along the conveyor 160 such that the FOUP door 6, when the FOUP 2 arrives at the load port 100, faces the port door. However, a FOUP may travel along the conveyor in other orientations and can eventually be rotated to face the port door. Either way, the number of times each FOUP 2 is handled between the conveyor and the load port is greatly reduced. For example, after a FOUP is lifted off the conveyor by the FOUP advance plate assembly, the FOUP does not have to be aligned again prior to accessing the wafers. The FOUP is lifted off the conveyor and does not have to be handled by a robotic arm (e.g., required in a conventional RGV system). The load port 100 eliminates this additional handling step, which provides faster transfer of FOUPs from a conveyor or other transport device to a load port and minimizes handling of the FOUP 2.
  • Conventional load ports do not allow a floor based FOUP transport system to transport FOUPs directly below the FOUP advance plate assembly 122. The housing 11 occupies the entire space between the FOUP advance plate assembly and the facility floor 4. FIGS. 8-11 provide examples of FOUP transport systems for use with the load port 100 according to the present invention. However, other FOUP transport systems are within the spirit and scope of this invention.
  • FIG. 8 illustrates a conveyor 160 raised above the facility floor 4. This conveyor 160 provides room for a SEMI specified PGV docking area “toe kick” 170 at each load port. This conveyor 160 minimizes the z-stroke required to move a FOUP 2 between the conveyor 160 (position B) and the uppermost position of the FOUP advance plate assembly 122 (position A). The conveyor installation is simple because the facility floor 4 stays in place and there is no required alignment with floor tiles.
  • FIG. 9 illustrates a low-profile conveyor 160. This low-profile conveyor 160 makes it easier to have step-over areas for tool operators. FOUPs are moved between position A and position B as described above. The step-over areas allow operator foot traffic to pass over the conveyor 160 where, for example, the fence or rail 150 has a portion missing (see FIG. 1). The low-profile conveyor also makes it easier for an operator to lift a load port 100 over the conveyor, for example, to service the load port 100.
  • FIG. 10 illustrates a conveyor 160 embedded into the facility floor 4. In this embodiment, the bottom surface 3 of a FOUP 2 travels along the conveyor 160 substantially at floor level. The conveyor 160 does not obstruct access to the front of the load port 100. In comparison to the conveyors shown in FIGS. 8-9, the z-stroke requirement between the conveyor 160 (position B) and the uppermost position (position A) is increased and the floor 4 must be modified to allow room for the conveyor 160. However, this embedded conveyor 160 provides several advantages. The wheels of the conveyor 160 could, for example, retract lower into the facility floor 4 in sections located between processing tools 101—allowing foot traffic to easily walk over the conveyor 160 or allow equipment to be rolled over the conveyor 160. Alternatively, a temporary plate could be placed over the conveyor 160 with clearance for the wheels that would allow foot traffic and easier equipment roll in.
  • FIG. 11 illustrates a conveyor 160 located below the facility floor 4. This embodiment allows foot traffic and equipment roll-in to occur completely unobstructed by the conveyor 160. The z-stroke requirement between the conveyor 160 (position B) and the uppermost position (position A) is much greater in this embodiment than the conveyors shown in FIGS. 8-10.
  • FIGS. 13-16 illustrate an embodiment of a two-stage “telescoping” z-mechanism 220 for moving the FOUP advance plate assembly 222 between position A and position B for use with a conveyor located below the facility floor (see FIG. 11). The retracted mechanism 240 is preferably located above the facility floor 4 when it is in a retracted position. This feature allows for easier removal of the load port 200. The telescoping z-mechanism 220 could also be used in a fabrication facility having a floor mounted conveyor 160 as long as the second z-guide 242 is not operated. Similar to the load port 100, the FOUP advance plate assembly 222 can be raised to position A, allowing a FOUP to travel along the conveyor 160 and pass underneath the FOUP advance plate assembly 222.
  • FIGS. 13-15 illustrate two processing tools 101. Each tool 101 includes two load ports 200. Similar to previous load ports described above, each load port includes a mounting plate 216 having an I/O port 215, a port door 214, and kinematic plate 212 and a z-drive mechanism 220. The z-drive mechanism 220 moves the FOUP advance plate assembly 222 vertically between the I/O port 215 and the conveyor 160. The z-drive mechanism 220 comprises a two-stage mechanism having a first stage drive mechanism (FSD) 240 and a second stage drive mechanism (SSD) 242. The FSD 240 moves the FOUP advance plate assembly 222 vertically between the I/O port 215 and the facility floor 4. The SSD 242 includes a drive assembly that moves the FSD 240 vertically between the facility floor 4 and the I/O port 215. In one embodiment, the SSD 242 sits within a back channel 250 of the FSD 240 and provides a guide for the FSD 240. The present invention is, however, not limited to this structure. When the FSD 240 is located in its fully raised position, the FSD 240 preferably does not extend below the mounting plate 216. This feature allows the load port 200 to be easily removed from the tool 201.
  • FIGS. 16-17 illustrate the load port 200 with a reduced height mounting plate 216. The reduced height mounting plate 216 secures to a processing tool 101 through a BOLTS Interface similar to the mounting plate 116 described above. However, this mounting plate 216 does not extend down to the facility floor 4 when secured to the tool. Instead, a gap is left between the bottom of the plate 216 and the facility floor 4. The gap provides an access port to service the tool without having to remove the entire load port 200 from the tool. The access port would normally be covered by a blank plate (not shown) secured to the tool to prevent particulates from entering into the tool through the access port during operation. The blank plate can be removed whenever access to the tool is required. The reduced height mounting plate 216 also allows an operator to remove the load port from the tool and lift the load port over the floor-based transport system. For example, the mounting plate 216 can be removed from the tool while leaving the blank plate secured to the tool—making the load port lighter to handle.
  • FIG. 16 further illustrates that the containers may be transported throughout the facility within a tunnel 190. The tunnel 190 preferably includes access ports that allow the FOUP advance plate assembly 122 to be lowered through the access port and into the tunnel 190 in order to obtain a FOUP. It is within the scope of the invention for the tunnel 190 to optionally include a vertical section that encompasses the vertical travel path of the FOUP advance plate assembly 122—creating a load port zone. These vertical sections would provide beneficial for transport systems transporting open containers or cassettes throughout the facility. The tunnel 190 preferably isolates the container from the rest of the facility. By way of example only, the tunnel 190 may include an active air system proving laminar air flow within the tunnel 190 to move particles generated within the tunnel away from the articles. The tunnel 190 may also be filled with gas such as, but not limited to, air, nitrogen and the like. Vertical tunnel sections transporting open containers could also incorporate article mapping functions. For example, a vertical tunnel section could include an optical scanning assembly that determines the position of each wafer in the container as the container is lifted by the vertically movable support plate towards the opening.
  • FIGS. 18-19 illustrate yet another embodiment of a load port having a FOUP advance plate assembly that moves vertically between an I/O port 315 and a conveyor 160 (or other FOUP transport device). In this embodiment, the load port 300 includes a FOUP advance plate assembly 322, a kinematic plate 312, a port door 314 and a mounting plate 316 having an I/O port 315. In this embodiment, the mounting plate 316 secures to the processing tool 101 through a BOLTS-Light interface.
  • The z-drive mechanism 320 moves the FOUP advance plate assembly 322 vertically between the I/O port 315 and the conveyor 160. The z-drive mechanism 320 includes a first z-guide 302 and a second z-guide 304. Each z-guide is secured to the mounting plate 316 on a side of the I/O port 315. Each z-guide may also be integrally formed with the mounting plate 316. The mechanism 320 also includes a pair of z-rails. In this embodiment, the first z-rail 306 travels within the first z-guide 302 and the second z-rail 308 travels within the second z-guide 304. At least one of the z- rails 306, 308 secure to the FOUP advance plate assembly 322. Thus, moving the rails 306, 308 vertically moves the FOUP advance plate assembly 322 between the I/O port 315 and the conveyor 160. The conveyor 160 is preferably modified (e.g., slots 162) to accommodate a FOUP advance plate assembly 322 located in the lowermost position. The load port 300 shown in FIGS. 18-19 lowers the FOUP advance plate assembly 322 to a floor mounted conveyor. The z-mechanism 301 could also be used to fit a longer travel stroke (for sub-floor conveyor applications) while keeping the z- guides 302, 304 and drive mechanism (not shown) above the facility floor 4 for ease of maintenance.
  • FIGS. 8-11 illustrate one embodiment of a barrier 150 that provides a physical structure between the conveyor and the rest of the facility. The barrier 150 prevents interference with FOUP movement along the conveyor 160. In another embodiment, the conveyor 160 is isolated from the facility by a tunnel 190 (FIG. 18). The tunnel 190 preferably includes an opening in the top surface of the tunnel 190 where the tunnel 190 is located adjacent a load port. The opening in the tunnel 190 allows the FOUP advance plate assembly access to a FOUP traveling on the conveyor 160.
  • These conveyors transport FOUPs throughout the semiconductor fabrication facility. In a preferred embodiment, each FOUP travels along the conveyor below each FOUP advance plate assembly 122 located at the uppermost position. Placing the transport device below each load port's datum plane minimizes the effect of particles generated by the conveyor 160.
  • FIGS. 20-24 illustrate one embodiment of a shuttle 400 for simultaneously supporting and transporting two FOUP along the railway 420. It is within the scope of the present invention for the shuttle 400 to hold more or less than two FOUPs at a time. The shuttle 400, in this embodiment, includes two sets of supports 402, each supporting a single FOUP 2. Each support 402 preferably includes a lower support 404 separated from an upper support 406 by a vertical member 408. The upper and lower supports are separated so that a shuttle 400, passing a load port with the FOUP advance plate assembly 122 located in the lowermost position will pass the load port unobstructed. The upper supports 406 are intended to support the bottom surface of a FOUP with minimal contact.
  • The shuttle 400 also accommodates a FOUP advance plate assembly 122 moving vertically. For example, the upper supports 406 are preferably separated by a distance greater than the width of the FOUP advance plate assembly 122. When the shuttle 400 comes to rest in front of a load port, a FOUP advance plate assembly 122, located in the lowermost position, is situated between the upper support 406 and the lower support 404 and does not interfere with the vertical support 408.
  • In order to transfer a FOUP from the shuttle 400 to the kinematic plate 112 of the load port 100, the FOUP advance plate assembly 122 is first lowered to the lowermost position. A shuttle 400 then comes to rest on the railway 420 in front of the load port 100. At this point, the kinematic pin grooves in the bottom surface of the FOUP 2 preferably align with the kinematic pins 118 on the kinematic plate 112. The FOUP advance plate assembly 122 is then raised to the uppermost position. The FOUP 2 is eventually engaged by the kinematic plate 112 and is lifted off the upper supports 406 of the shuttle 402. In a preferred embodiment, no further adjustment between the FOUP 2 and the kinematic plate 112 is necessary to move the FOUP towards the mounting plate 116 and remove the FOUP door 6.
  • The rail 420 may comprise any mechanism known within the art, such as a conveyor or a conventional railway. The rail 420 may also be mounted within the fabrication facility at many heights. For example, the rail 420 may be mounted to, flush with, below, or elevated with respect to the facility floor 4. If the shuttle 400 is not elevated, the shuttle 400 preferably has a low profile to allow operator foot traffic over the rail 420.
  • The shuttle 400 may travel along any type of rails. By way of example only, the rails 420 may comprise a primary drive rail 422 and a secondary support rail 424. The shuttle 400 shown in FIGS. 20-24 can simultaneously transport two 300 mm FOUPs 2A and 2B. It is within the spirit and scope of the present invention for the shuttle to carry one or more FOUPs. Transporting two FOUPs 2A and 2B with one shuttle 400 allows for more flexible delivery sequences and provides buffering advantages. For example, a two pod shuttle 400 has the ability to do “fast swaps.” In other words, the shuttle 300 can retrieve a first FOUP 2A from a load port 100A onto an empty support 402 and then load a second FOUP 2B from the shuttle 400 to the same load port 100A. This would reduce the number of load ports 100 required at each processing tool 101 because the time required to exchange a finished FOUP (e.g., wafers in the FOUP are done with the processing step) for a new FOUP with unprocessed wafers would be very short. Of course, more than one shuttle 400 may operate at a time.
  • Conventional process tools often have multiple load port locations so that a finished FOUP can sit and wait for an AMHS (e.g., OHT system) to remove it from the load port while another load port holds a FOUP in process, and a third load port can be loaded with a new FOUP from the AMHS. For example, FIG. 16 illustrates a processing tool 101 having two load ports—a first load port 100A and a second load port 100B. Having two load ports enables continuous tool operation without being gated by the AMHS. With a fast-swap shuttle 400, a third load port would be unnecessary to achieve continuous tool operation.
  • A shuttle 400 that could accommodate three or four FOUPs could service two or three processing tools in sequence with fast swaps at each tool. The shuttle could also take three or four FOUPs from an origination point—maybe a stocker—and deliver the FOUPs to three or four tools consecutively in one trip. For example, several FOUPs may be loaded and unloaded from various tools during a northbound leg up a bay (e.g., shuttle 400 traveling from processing tool 101A to processing tool 101B). A bay is defined as, but not limited to, multiple tools arranged in a row. The shuttle 400 would then reverse direction (e.g., shuttle 400 traveling from processing tool 101B to processing tool 101A) and several FOUPs may be loaded and unloaded from various tools during a southbound leg.
  • The rail system may branch, curve or ramp up/down to move the shuttle 400 along various paths on the facility floor 4, above the floor 4, below the floor 4, between bays and within bays. All shuttles 400 could be made identical in terms of pod position relative to fiducials. This would eliminate tool “teaching” that is required with current AGVs that incorporate a robot arm. This improves serviceability and time to remove and replace shuttles.
  • The shuttle 400 may travel at high speeds, for example, only when enclosed in a tube 190, or behind a fence 150. In areas where there is no enclosure, to allow foot traffic crossing for example, the shuttle 400 would move in a slower mode and may incorporate look ahead sensors or move behind a light curtain to avoid collision. Intersections with foot traffic may have a physical gate or may have a traffic light system to indicate whether foot traffic may pass over the rail or not.
  • A rail-less shuttle, or AGV, may follow a visible line on the floor or navigate relative to fiducials placed on the floor (e.g., dead reckoning system), ceiling, walls or, on load ports and similar structures. A rail-less shuttle provides several benefits such as leaving the floor unobstructed for foot traffic and equipment roll-in, eliminating the cost of the rail and not restricting shuttle motion to linear movements between adjacent tools. For example, a rail-less shuttle could cross a bay aisle to transport FOUPs between tools performing consecutive process steps located on opposite sides of an aisle and the shuttles could pass one another where needed. In addition, individual tools could be taken off-line for service and the rail-less vehicles could simply be redirected around the load port area during this service. Advanced vehicles could navigate the entire factory, enter elevators and travel down aisles also occupied by tool operators.
  • FIG. 25-27 illustrate that a load port is not required to have either a container advance assembly for moving a FOUP horizontally or a port door, and may only need to move a FOUP vertically between two heights. For example, FIG. 25 illustrates that a load port 500 includes, among other things, a mounting plate 516 having an opening 517 and a vertically movable support structure 522. Similar to the embodiments described above, the load port 500 also includes a mechanism for moving the support structure 522 vertically between the conveyor 560 and the opening 517. The FIG. 25 embodiment illustrates a support structure 522 having two supports for contacting the bottom surface of a FOUP.
  • The conveyor 560 shown in FIG. 25 is divided into three sections at each load port 500 to accommodate the support structure 522. By way of example only, the conveyor 560 comprises a middle section 562, a left section 564 and a right section 568. Each conveyor section, in this embodiment, comprises a belt 568 and a pair of rollers 570. In one embodiment, and as shown in FIG. 25, the rollers 570 that are part of the middle section 562 of the conveyor 560, are rotatably mounted to the mounting plate 516.
  • Each section of the conveyor 560 is separated apart from the other, creating a gap between each section of the conveyor. The gaps allow the support structure 522 to travel below the conveyor 560 and wait for a FOUP to arrive on the middle section 562 of the conveyor 560. After a FOUP arrives on the middle section 562 and comes to rest, the support structure 522 may rise vertically to engage the bottom surface of the FOUP and lift the FOUP off the conveyor 560.
  • FIG. 26 illustrates a transport and delivery system comprising a load port 600 and a conveyor 660. The load port 600 includes, among other things, a mounting plate 616, a support structure 622 and a mechanism 620 for moving the support structure 622 vertically. In this embodiment, the support structure 622 comprises a structure having a first support 624, a second support 626 and a third support 628. Each support includes a kinematic pin 618 at the distal end of each support. This structure replaces the kinematic plate 112 in the load port 100. The conveyor 660, by way of example only, comprises a rail 662 and multiple cantilever rollers 664. As shown in FIG. 26B, the each support 624, 626 and 626 of the support structure 622 is able to pass between a pair of adjacent rollers 664 so that the support structure 622 can be lowered below the rollers 664. A FOUP preferably comes to rest on the rollers 664 with the kinematic grooves in the bottom surface of the FOUP aligned with the kinematic pins 618 on the support structure 622. The support structure 622 is then raised vertically to engage the bottom surface of the FOUP and lift the FOUP off the conveyor 660 to a predetermined height.
  • FIG. 27 illustrates another transport and delivery system that comprises a load port 700 and a conveyor 760. The load port 700 includes, among other things, a mounting plate 716 having an opening 716 and a slot 724, and a support structure 722. The support structure 722, in this embodiment, preferably moves only vertically between the opening 717 and the conveyor 760. However, it is within the scope of the invention for the support structure 722 to also move horizontally. The support structure 722 may comprise any structure that supports a container including, but not limited to, a kinematic plate for supporting a FOUP or any other container support mechanism. The conveyor 760 comprises a rail 762 having cantilevered rollers 764 with rotatable wheels 766 located at both ends of each roller 764. In this embodiment, the rollers 764 preferably do not contact the container—only the wheels 766—to minimize contact with the container.
  • To support a container located directly in front of the load port 700, two wheels 766 are rotatably mounted to the mounting plate 716 of the load port 700. These two wheels may be passive wheels or drive wheels. Eliminating the rollers 764 on the conveyor 760 for the section in front of the load port 700 allows the support structure 722 to be lowered below the wheels 766. At this point, when a container comes to rest in front of the load port 700, the support structure 722 may then be raised to lift the container off the conveyor 760. The support structures and conveyors illustrated in FIGS. 25-27 are interchangeable.
  • FIG. 28 illustrates another embodiment of the present invention. In this embodiment, the system includes a load port 800 adjacent to a conveyor 160. The load port 800 includes, among other things, a mounting plate 816, a port door 814 and container advance plate 812. In this embodiment, the container advance plate 812 is connected to the drive mechanism 820 by a cantilevered arm 824. The drive mechanism 820 moves the arm 824 vertically substantially between the conveyor rails 164 and 166 (as shown in FIG. 28) and a load/unload height whereby the port door 814 is able to couple with the FOUP door.
  • The arm 824 is configured such that the conveyor rail 164 does not require a notch (e.g., FIG. 2A) to accommodate the arm 824 while the container advance plate 812 is located in the lowermost position. For example, the arm 824 may include a first horizontal portion 826 coupled with the drive assembly 820 that transitions into a vertical portion 828, which transitions into a second horizontal portion 830. The second horizontal portion 830 connects to the container advance plate 812. It is within the scope and spirit of the invention for the arm 824 to have other configurations (e.g., comprise two arms 824 attached to a different part of the container advance plate 812, etc.) and/or comprise more than one piece of material.
  • FIG. 28 illustrates a downstream direction (arrow A) and an upstream direction (arrow B). The arm 824, while located in the lowermost position, prevents a FOUP 2 from passing the load port 800 in either the downstream or upstream directions. To load a FOUP 2 traveling in the downstream direction onto the container advance plate 812, the FOUP 2 must first travel past the load port 800 (e.g., to the position shown in FIG. 28). After the arm 824 lowers the container advance plate 812 between the conveyor rails, the FOUP 2 may then travel in the upstream direction until the FOUP 2 is located over the container advance plate 812. When the container advance plate 812 is aligned with the FOUP 2, the drive assembly 820 raises the container advance plate 812 to lift the FOUP 2 off the conveyor 160. The container advance plate 812 may be able to move along a Y-direction (e.g., perpendicular to the conveyor rails) in order to align the kinematic pins 818 with the bottom of the FOUP 2. The Y-direction motion of the container advance plate 812 also allows the plate 812 to move the FOUP 2 towards and away from the port door 814 when the plate 812 is located in the load/unload position. While the plate 812 is located in the raised position, FOUPs traveling on the conveyor may pass unobstructed by the load port 800.
  • To unload a FOUP 2 from the load port 800 the drive assembly 820 lowers the arm 824 to the lowermost position—setting the FOUP 2 back onto the conveyor 160. The FOUP 2 is then able to move freely on the conveyor only in the downstream position. If the FOUP needs to travel along the conveyor in the upstream direction, the FOUP must first travel in the downstream direction to clear the container advance assembly 812. Once the arm 824 and container advance assembly 812 is raised, the FOUP may then travel in the upstream direction without contacting either element.
  • FIG. 29 illustrates an embodiment of the present invention for use with a bottom opening container 2. The load port 900 includes, among other things, a mounting plate 916, a port door 914, and a container support plate 912. The container support plate 912 is coupled with a drive assembly 920. The drive assembly 920 may comprise, for example, a lead screw, a belt drive, or any other mechanism that is suitable for moving the container support plate 912 vertically between, among other locations, positions A, B and C. The container support plate 912 may be coupled to the drive assembly 920 by any mechanisms known within the art. For example, FIG. 29 illustrates that the container support plate 912 is affixed to the drive assembly by an arm 926.
  • FIG. 29 illustrates that the conveyor rail 164 includes a notch to accommodate the arm 924 while the container advance plate 912 is located into position A. It is within the scope of the invention for the load port 900 to include a cantilevered arm similar to arm 824 shown in FIG. 28. For purposes of describing this embodiment, position A is referred to as the conveyor load/unload position, position B is referred to as the uppermost position, and position C is referred to as the wafer load/unload position.
  • The bottom opening container 2 includes, among other things, a container door 4 removably secured to a container shell 6. By way of example only, the container shell 6 includes a rim 8 having a channel 10. The container 2 may have other configurations. When a container traveling along the conveyor stops in front of the load port, the kinematic pins 918 of the container advance plate 912 preferably align with the kinematic receptacles in the container door 4. The container advance plate 912 may also move in the Y-direction to align the plate with the container door.
  • The container advance plate 912 also includes a latch key 913. When the container is seated on the container advance plate 912, the latch key 913 engages a latch mechanism in the container door 4. The container advance plate 912 may include any number of latch keys.
  • In operation, the container advance plate 912 first raises a container 2 from position A to position B. In the embodiment shown in FIG. 29, the container is raised until a mechanism for retaining the container shell 6, such as a spring-loaded pin 926, engages the channel 10 of the container shell 6. Other mechanisms for retaining the container shell are within the scope of this invention. For example, the shroud 930 may include a contact seal (e.g., rubber seal) or an actuating seal that physically contacts the container shell when the container shell reaches a particular height.
  • After the pin 926 engages the container shell 6, the latch key 913 unlocks the container door 4 from the container shell 6. The drive assembly 920 then lowers the container advance plate 912 to position C. The container door 4 then separates from the container shell 6—which is held at position B by the pins 926. It is within the scope of the present invention for the wafer cassette WC to be, for example, seated on the container door 4, mounted to the container door 4, integrated into the container door 4 or removably affixed to the container door 4. The container shell 6 does not have to remain at position B the entire time while the container door 4 is detached from the container shell 6. By way of example only, the container shell 6 may be vertically or horizontally adjusted by the retaining mechanism after the container shell 6 is uncoupled from the container door 4.
  • The load port 900 preferably includes an environmental isolation shield or shroud 930. The shroud 930 generally comprises an enclosure having an open top and bottom surface that creates a mini-environment to isolate the wafers while the container shell 6 is removed from the container door 4. The shroud 930 may comprise any shape and may be manufactured from different parts and/or materials. The shroud 930 is not required to have both an open top and bottom. FIG. 29 illustrates that the shroud 930 is affixed to the mounting plate 916—creating the mini-environment between the mounting plate 916 and the walls of the shroud 930. The shroud 930 may be permanently or detachably affixed to the mounting plate 916 or a free standing element.
  • The shroud 930, by creating this mini-environment, protects the wafer cassette WC from particle contamination after the container shell 6 has been removed from the container door 4. In one embodiment, the isolation shield 930 is shaped such that a small gap exists between the edge of the container advance plate 912 or container door 4 and the interior wall of the isolation shield 930. By way of example only, this gap may be between 1-30 mm. With such a small gap between the shroud wall and the container advance plate 912 or container door 4, a proximity seal is created between the container advance plate 912 (or container door 4) and both the isolation shield 930 and mounting plate 916 (if the mounting plate 916 forms one side of the mini-environment).
  • The shroud 930 also ensures that the atmosphere surrounding the wafers has the desired gas content—preventing gas contamination. FIG. 29 illustrates three pressure levels—P1, P2 and P3. Each pressure level varies to create an air flow from within the tool outside to the fab with or without requiring fans or other devices to control the desired air flows. For example, in one embodiment, P1>P2>P3. The first pressure P1 represents the pressure level of the gas located within the tool (e.g., very clean air or nitrogen). The second pressure P2 represents the pressure level of the gas located in the mini-environment (defined by the container shell 6, the isolation plate 930, the container advance plate 912 and the mounting plate 916). The third pressure P3 represents the pressure level of the gas located in the fabrication facility. When the port door 914 opens, gas located within the tool will first enter the mini-environment and then escape through the gaps (between, for example, the container door and the shroud) into the fabrication facility.
  • The load port 900 may also include a wafer mapping system (not shown) for detecting the position of each article located in the container 2. By way of example only, the wafer mapping system may comprise the system disclosed in U.S. Pat. No. 6,082,949, entitled “Load Port Opener,” which is assigned to Asyst Technologies, Inc., and is incorporated herein by reference. The wafer mapping system may be located anywhere that the articles within the container may be detected by, for example, a laser diode emitter (e.g., part of the port door, integrated into the shroud, etc.). It is within the scope of the present invention to use other wafer mapping systems.
  • It should be appreciated that the above-described mechanisms and process for FOUP transport between a conveyor and a load port are for explanatory purposes only and that the invention is not limited thereby. Having thus described a preferred embodiment of a method and system for FOUP transportation, it should be apparent to those skilled in the art that certain advantages of the within system have been achieved. It should also be appreciated that various modifications, adaptations, and alternative embodiments thereof may be made within the scope and spirit of the present invention. For example, the use of conveyors has been illustrated in a semiconductor fabrication facility, but it should be apparent that many of the inventive concepts described above would be equally applicable to the use of other non-semiconductor manufacturing applications.

Claims (20)

1. A load port system for loading and unloading semiconductor wafers to and from semiconductor processing or storage equipment, comprising:
(a) conveyor rails for transporting a container capable of holding semiconductor wafers around a processing facility;
(b) the load port being positioned adjacent to the conveyor rails to enable direct loading of the container using the load port, the load port includes,
(i) a plate having an opening that defines access into and out of the processing or storage equipment, the plate being defined in a substantial vertical orientation;
(ii) a support plate for handling a container;
(iii) an arm coupled to the support plate, the arm coupled to a drive mechanism to move the arm along the substantial vertical orientation between a lower position that is over the conveyor rails and an upper position proximate to the opening of the plate, the arm having a bend to fit over the conveyor rails without requiring a notch in the conveyor rails, the arm enabling direct loading of the container from the conveyor rails in the lower position to the opening of the plate by movement of the arm along the vertical orientation.
2. The load port system as recited in claim 1, wherein the arm being in the lower position over the conveyor rails prevents the container from passing by the load port in either a downstream or an upstream direction along the conveyor rails.
3. The load port system as recited in claim 1, wherein the support includes kinematic pins for mating with an underside of the container.
4. The load port system as recited in claim 1, wherein the conveyor rails are configured to be defined near a floor and the load port has a base that is placed over the floor, the plate of the load port being substantially parallel to an orientation of the conveyor rails, such that one of the conveyor rails is closer to the plate than another one of the conveyor rails.
5. The load port system as recited in claim 1, further including a port door adapted to move between an open position that allows access to semiconductor wafers though said opening, and a closed position that substantially covers said opening.
6. The load port as recited in claim 1, wherein said support plate includes at least one registration feature for engaging a bottom surface of the container.
7. The load port as recited in claim 1, wherein said container support includes a mechanism for moving substantially horizontally towards and away from said plate.
8. The load port as recited in claim 1, wherein said container support plate is adapted to receive a container for storing semiconductor wafers having a diameter between 100 mm-600 mm.
9. The load port as recited in claim 1, wherein said plate comprises one of a BOLTS interface or a BOLTS-light interface.
10. The load port as recited in claim 1, wherein the load port is positioned proximate to the conveyor such that said support plate, through vertical motion, is able to lift the container, when seated on the conveyor, directly off of the conveyor to a raised position proximate to the opening of the plate.
11. The load port as recited in claim 10, wherein the support plate moves in a horizontal orientation when in the up position to enable the container to sit next to the opening in the plate.
12. The load port as recited in claim 1, further comprising:
an optical detector system for determining a position of any semiconductor wafers located in the container.
13. A system for loading and unloading semiconductor wafers to and from semiconductor processing or storage equipment, the system comprising:
conveyor rails for transporting a container capable of holding semiconductor wafers around a processing facility, the conveyor rails defining a plane on which the container is transported;
a load port positioned adjacent to the conveyor rails to enable direct loading of the container using the load port, the load port having an opening that defines access into and out of the processing or storage equipment, the load port having a support plate for handling a container and an arm coupled to the support plate, the arm being connected to a drive mechanism to move the arm between a lower position, the lower position defined between the conveyor rails and below the plane of the conveyor rails, and an upper position proximate to the opening, the arm having a bend that enables the support plate to be placed over the conveyor rails without requiring a notch in the conveyor rails, the arm enabling direct loading of the container from the conveyor rails in the lower position to the opening by movement of the arm.
14. The system as recited in claim 13, wherein the arm being in the lower position prevents the container or other containers from passing by the load port in either a downstream or an upstream direction along the conveyor rails.
15. The system as recited in claim 13, wherein the support includes kinematic pins for mating with an underside of the container.
16. The system as recited in claim 13, wherein the conveyor rails are configured to be defined near a floor and the load port has a base that is placed over the floor, the load port being substantially parallel to an orientation of the conveyor rails, such that one of the conveyor rails is closer to the load port than another one of the conveyor rails.
17. The system as recited in claim 13, further including a port door adapted to move between an open position that allows access to semiconductor wafers though said opening, and a closed position that substantially covers said opening.
18. The system as recited in claim 13, wherein said support plate includes at least one registration feature for engaging a bottom surface of the container.
19. A system for loading and unloading semiconductor wafers to and from semiconductor processing or storage equipment, the system comprising:
a pair of conveyor rails for transporting a container capable of holding semiconductor wafers around a processing facility, the pair of conveyor rails defining a plane on which the container is supported and transported;
a load port positioned adjacent to the conveyor rails, the load port having a support plate for holding a container and an arm coupled to the support plate, the arm configured to move between a lower position and an upper position, the lower position defined between the pair of conveyor rails and below the plane of the conveyor rails, and the upper position in a load/unload position, the arm having a bend that enables the support plate to be placed over one of the pair of conveyor rails without requiring a notch in the one conveyor rail.
20. The system as recited in claim 19, wherein the load port having an opening that defines access into and out of the processing or storage equipment.
US12/246,379 2005-02-24 2008-10-06 Direct tool loading Abandoned US20090028673A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/246,379 US20090028673A1 (en) 2005-02-24 2008-10-06 Direct tool loading

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/064,880 US7410340B2 (en) 2005-02-24 2005-02-24 Direct tool loading
US11/177,645 US7445415B2 (en) 2005-02-24 2005-07-08 Direct tool loading
US12/246,379 US20090028673A1 (en) 2005-02-24 2008-10-06 Direct tool loading

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/177,645 Continuation US7445415B2 (en) 2005-02-24 2005-07-08 Direct tool loading

Publications (1)

Publication Number Publication Date
US20090028673A1 true US20090028673A1 (en) 2009-01-29

Family

ID=36407943

Family Applications (4)

Application Number Title Priority Date Filing Date
US11/064,880 Expired - Fee Related US7410340B2 (en) 2005-02-24 2005-02-24 Direct tool loading
US11/177,645 Expired - Fee Related US7445415B2 (en) 2005-02-24 2005-07-08 Direct tool loading
US12/167,169 Expired - Fee Related US7651307B2 (en) 2005-02-24 2008-07-02 Direct tool loading
US12/246,379 Abandoned US20090028673A1 (en) 2005-02-24 2008-10-06 Direct tool loading

Family Applications Before (3)

Application Number Title Priority Date Filing Date
US11/064,880 Expired - Fee Related US7410340B2 (en) 2005-02-24 2005-02-24 Direct tool loading
US11/177,645 Expired - Fee Related US7445415B2 (en) 2005-02-24 2005-07-08 Direct tool loading
US12/167,169 Expired - Fee Related US7651307B2 (en) 2005-02-24 2008-07-02 Direct tool loading

Country Status (5)

Country Link
US (4) US7410340B2 (en)
JP (1) JP4470225B2 (en)
KR (1) KR100967357B1 (en)
CN (1) CN100590786C (en)
WO (1) WO2006091593A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140199150A1 (en) * 2013-01-17 2014-07-17 Sergey N. Razumov Order picking system and method
US10079166B2 (en) * 2015-05-18 2018-09-18 Disco Corporation Processing apparatus
CN110556317A (en) * 2019-08-13 2019-12-10 世源科技工程有限公司 semiconductor processing system

Families Citing this family (345)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI116719B (en) * 2004-04-14 2006-02-15 Metso Paper Inc Procedure for centering rolls
EP1806303A4 (en) * 2004-10-25 2009-07-15 Tokyo Electron Ltd Carrying system, substrate treating device, and carrying method
US7410340B2 (en) * 2005-02-24 2008-08-12 Asyst Technologies, Inc. Direct tool loading
WO2006095569A1 (en) * 2005-03-08 2006-09-14 Kabushiki Kaisha Yaskawa Denki Load port and load port control method
US7771151B2 (en) * 2005-05-16 2010-08-10 Muratec Automation Co., Ltd. Interface between conveyor and semiconductor process tool load port
US20060283688A1 (en) * 2005-06-10 2006-12-21 Applied Materials, Inc. Substrate handling system
DE102005039453B4 (en) * 2005-08-18 2007-06-28 Asys Automatic Systems Gmbh & Co. Kg Machining plant of modular construction for flat substrates
KR100788005B1 (en) * 2006-04-27 2007-12-21 에스에스알 엠에프지 코포레이션 Apparatus for opening and closing cover of cassette for thin film disc
TW200909318A (en) * 2006-08-25 2009-03-01 Aquest Systems Corp Conveyor transfer system
US8814488B2 (en) * 2007-04-02 2014-08-26 Hitachi Kokusai Electric Inc. Substrate processing apparatus and semiconductor device manufacturing method
TWI475627B (en) * 2007-05-17 2015-03-01 Brooks Automation Inc Substrate carrier, substrate processing apparatus and system, for reducing particle contamination of substrate during processing and method of interfacing a carrier with a processing tool
KR101772600B1 (en) * 2007-05-17 2017-08-29 브룩스 오토메이션 인코퍼레이티드 Side opening substrate carrier and load port
US20090067957A1 (en) * 2007-09-06 2009-03-12 Mitsuhiro Ando Transport system with buffering
EP2195138B1 (en) * 2007-09-14 2012-03-21 FlexLink Components AB Lifting device for a conveyor system, a conveyor system and a method
US8303231B2 (en) * 2007-09-28 2012-11-06 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and method for semiconductor wafer transfer
JP2009135232A (en) * 2007-11-29 2009-06-18 Sinfonia Technology Co Ltd Load port
JP5401842B2 (en) * 2008-06-17 2014-01-29 村田機械株式会社 Transport system
US20100080672A1 (en) * 2008-06-20 2010-04-01 Asyst Technologies, Inc. Direct loading to and from a conveyor system
JP5338335B2 (en) * 2008-08-13 2013-11-13 東京エレクトロン株式会社 Opening / closing device and probe device of transfer container
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5381054B2 (en) * 2008-12-02 2014-01-08 シンフォニアテクノロジー株式会社 Load port
US20100162954A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Integrated facility and process chamber for substrate processing
US7897525B2 (en) * 2008-12-31 2011-03-01 Archers Inc. Methods and systems of transferring, docking and processing substrates
US20100162955A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Systems and methods for substrate processing
US8367565B2 (en) * 2008-12-31 2013-02-05 Archers Inc. Methods and systems of transferring, docking and processing substrates
US8110511B2 (en) * 2009-01-03 2012-02-07 Archers Inc. Methods and systems of transferring a substrate to minimize heat loss
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
CN102460675B (en) * 2009-05-18 2015-04-29 布鲁克斯自动化公司 Integrated systems for interfacing with substrate container storage systems
KR101716524B1 (en) * 2009-05-18 2017-03-14 크로씽 오토메이션, 인코포레이티드 Substrate container storage system
US8882433B2 (en) 2009-05-18 2014-11-11 Brooks Automation, Inc. Integrated systems for interfacing with substrate container storage systems
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5633738B2 (en) * 2010-09-27 2014-12-03 株式会社ダイフク Goods transport equipment
JP5673806B2 (en) * 2011-05-02 2015-02-18 村田機械株式会社 Automatic warehouse
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN102945818B (en) * 2011-08-15 2015-06-17 上海微电子装备有限公司 Wafer library device of silicon wafer transmission system
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9558978B2 (en) * 2012-05-04 2017-01-31 Kla-Tencor Corporation Material handling with dedicated automated material handling system
US8944739B2 (en) * 2012-06-01 2015-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Loadport bridge for semiconductor fabrication tools
CN103231898B (en) * 2012-08-21 2015-05-20 深圳市捷佳伟创新能源装备股份有限公司 Lateral embark and disembark device
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9008825B2 (en) 2013-04-01 2015-04-14 Honda Logistics North America, Inc. Container unloading system with auto-unload capability
US9142437B2 (en) * 2013-04-10 2015-09-22 Globalfoundries Inc. System for separately handling different size FOUPs
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR102160106B1 (en) * 2014-07-03 2020-09-25 세메스 주식회사 Apparatus for transferring a wafer
WO2016012923A1 (en) 2014-07-23 2016-01-28 Corob S.P.A. Con Socio Unico Apparatus for moving receptacles
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9698038B2 (en) * 2014-08-28 2017-07-04 Infineon Technologies Ag Adapter tool and wafer handling system
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
JP2017513036A (en) 2014-11-14 2017-05-25 マッパー・リソグラフィー・アイピー・ビー.ブイ. Cargo fixing system and method for transferring a substrate in a lithography system
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
EP3167493A4 (en) * 2015-02-17 2017-10-04 Sierra Solar Power (Hangzhou) Co., Ltd. Method and system for improving solar cell manufacturing yield
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9972740B2 (en) 2015-06-07 2018-05-15 Tesla, Inc. Chemical vapor deposition tool and process for fabrication of photovoltaic structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
CN105438761B (en) * 2015-12-18 2018-07-31 苏州佳世达电通有限公司 Production line control method and equipment for realizing the control method
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
CN106516688B (en) * 2016-08-16 2019-07-19 蔡怀峰 Limiting device
KR102613349B1 (en) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. Exhaust apparatus and substrate processing apparatus and thin film fabricating method using the same
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
CN108001970A (en) * 2017-09-11 2018-05-08 上海富洋云网机器人股份有限公司 Intelligence becomes trailer steering
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10510573B2 (en) * 2017-11-14 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Loading apparatus and operating method thereof
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (en) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 Apparatus including a clean mini environment
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
US20210080968A1 (en) * 2018-04-09 2021-03-18 Lam Research Corporation Dual-mode autonomous guided vehicle
JP6835031B2 (en) * 2018-04-27 2021-02-24 株式会社ダイフク Goods transport equipment
JP6825604B2 (en) * 2018-04-27 2021-02-03 株式会社ダイフク Goods transport equipment and goods transport equipment
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR20190129718A (en) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
JP2021529880A (en) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
JP7190900B2 (en) * 2018-12-28 2022-12-16 株式会社Screenホールディングス SUBSTRATE PROCESSING APPARATUS, CARRIER CONVEYING METHOD AND CARRIER BUFFER
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
WO2020177621A1 (en) * 2019-03-01 2020-09-10 云机工业设计(义乌)有限公司 Commodity picking robot
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
CN110143394B (en) * 2019-06-17 2024-02-09 隆链智能科技(上海)有限公司 Storage sorting system with full two-dimension code real-time positioning function and sorting method thereof
CN110282332B (en) * 2019-06-24 2020-07-03 灵动科技(北京)有限公司 Automatic conveying device and picking information acquisition and display method suitable for automatic conveying device in logistics scene
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021046273A (en) * 2019-09-17 2021-03-25 株式会社ダイフク Article conveying device
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
DE102019128660A1 (en) * 2019-10-23 2021-04-29 Zahoransky Automation & Molds GmbH Method and palletizer for feeding workpiece carriers to a processing machine, processing machine and computer program and computer-readable medium
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
US11848222B2 (en) * 2020-07-09 2023-12-19 Taiwan Semiconductor Manufacturing Company Ltd. System for a semiconductor fabrication facility and method for operating the same
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
DE102020119681A1 (en) * 2020-07-27 2022-01-27 Zahoransky Automation & Molds GmbH Delivery device for cannulas, method for delivery of cannulas, computer program and computer-readable medium
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
CN114446844A (en) * 2020-11-03 2022-05-06 长鑫存储技术有限公司 Conveying device and semiconductor production equipment
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114955418A (en) * 2021-11-12 2022-08-30 中山市四海智能装备有限公司 Explosion-proof RGV device

Citations (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4718536A (en) * 1986-10-15 1988-01-12 Toby Enterprises Stack storage elevator for sliced, stacked comestible products
US4805759A (en) * 1985-04-12 1989-02-21 Societe Pour L'etude Et La Fabrication De Circuits Integres Speciaux Efcis Installation and method for handling delicate objects in an atmosphere having a controlled dust content
US4867299A (en) * 1987-07-16 1989-09-19 Meinan Machinery Works, Inc. Apparatus for distributing veneer sheets
US6188323B1 (en) * 1998-10-15 2001-02-13 Asyst Technologies, Inc. Wafer mapping system
US6220420B1 (en) * 1999-03-30 2001-04-24 Industrial Technology Research Institute Semiconductor composition material conveyer module
US6223880B1 (en) * 1996-02-23 2001-05-01 Orbotech Ltd. Conveyor table having selectively enabled conveyor elements
US6308818B1 (en) * 1999-08-02 2001-10-30 Asyst Technologies, Inc. Transport system with integrated transport carrier and directors
US6364593B1 (en) * 2000-06-06 2002-04-02 Brooks Automation Material transport system
US20020105236A1 (en) * 2001-02-02 2002-08-08 Fosnight William J. System for safeguarding integrated intrabay pod delivery and storage system
US6435330B1 (en) * 1998-12-18 2002-08-20 Asyai Technologies, Inc. In/out load port transfer mechanism
US20020187024A1 (en) * 2001-06-12 2002-12-12 Applied Materials, Inc. Apparatus for storing and moving a carrier
US20030012625A1 (en) * 2001-07-13 2003-01-16 Rosenquist Frederick T. Smif load port interface including smart port door
US20030031538A1 (en) * 2001-06-30 2003-02-13 Applied Materials, Inc. Datum plate for use in installations of substrate handling systems
US20030202868A1 (en) * 2001-01-05 2003-10-30 Applied Materials, Inc. Actuatable loadport system
US20030235486A1 (en) * 2002-06-19 2003-12-25 Doherty Brian J. Automated material handling system for semiconductor manufacturing based on a combination of vertical carousels and overhead hoists
US6808592B1 (en) * 1994-12-05 2004-10-26 Nordson Corporation High throughput plasma treatment system
US20050135906A1 (en) * 2003-12-03 2005-06-23 Fosnight William J. Extractor/buffer
US6938751B1 (en) * 2004-04-23 2005-09-06 Tkf, Inc. Vertical lift assembly
US7066707B1 (en) * 2001-08-31 2006-06-27 Asyst Technologies, Inc. Wafer engine
US20060257233A1 (en) * 2005-05-16 2006-11-16 Bonora Anthony C Interface between conveyor and semiconductor process tool load port
US20070128007A1 (en) * 2005-05-16 2007-06-07 Bonora Anthony C Modular terminal for high-throughput AMHS
US7419346B2 (en) * 2001-07-16 2008-09-02 Asyst Technologies, Inc. Integrated system for tool front-end workpiece handling

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5169272A (en) 1990-11-01 1992-12-08 Asyst Technologies, Inc. Method and apparatus for transferring articles between two controlled environments
US5469963A (en) 1992-04-08 1995-11-28 Asyst Technologies, Inc. Sealable transportable container having improved liner
US5586585A (en) 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
US5984610A (en) 1995-03-07 1999-11-16 Fortrend Engineering Corporation Pod loader interface
ATE275759T1 (en) * 1995-03-28 2004-09-15 Brooks Automation Gmbh LOADING AND UNLOADING STATION FOR SEMICONDUCTOR PROCESSING SYSTEMS
US5740845A (en) 1995-07-07 1998-04-21 Asyst Technologies Sealable, transportable container having a breather assembly
US5788458A (en) 1995-07-10 1998-08-04 Asyst Technologies, Inc. Method and apparatus for vertical transfer of a semiconductor wafer cassette
US5810062A (en) 1996-07-12 1998-09-22 Asyst Technologies, Inc. Two stage valve for charging and/or vacuum relief of pods
US6082949A (en) 1996-10-11 2000-07-04 Asyst Technologies, Inc. Load port opener
US6138721A (en) * 1997-09-03 2000-10-31 Asyst Technologies, Inc. Tilt and go load port interface alignment system
JPH11145243A (en) 1997-11-11 1999-05-28 Hitachi Ltd Production of semiconductor
US6533101B2 (en) * 1998-06-24 2003-03-18 Asyst Technologies, Inc. Integrated transport carrier and conveyor system
US6220808B1 (en) * 1998-07-13 2001-04-24 Asyst Technologies, Inc. Ergonomic, variable size, bottom opening system compatible with a vertical interface
US6364595B1 (en) * 1999-02-10 2002-04-02 Asyst Technologies, Inc. Reticle transfer system
US6443686B1 (en) 1999-03-05 2002-09-03 Pri Automation, Inc. Material handling and transport system and process
US6736582B1 (en) * 1999-04-09 2004-05-18 Brooks Automation, Inc. Device for manipulating an object for loading and unloading a clean room
US6135698A (en) * 1999-04-30 2000-10-24 Asyst Technologies, Inc. Universal tool interface and/or workpiece transfer apparatus for SMIF and open pod applications
CN1188330C (en) 1999-12-21 2005-02-09 蒂博尔·厄恩斯特 Lid for refuse container
TW514618B (en) 2000-04-12 2002-12-21 Samsung Electronics Co Ltd A transfer system and apparatus for workpiece containers and method of transferring the workpiece containers using the same
US20020025244A1 (en) * 2000-04-12 2002-02-28 Kim Ki-Sang Transfer system and apparatus for workpiece containers and method of transferring the workpiece containers using the same
WO2002003431A2 (en) * 2000-06-30 2002-01-10 Ajs Automation, Inc. Apparatus and methods for semiconductor wafer processing equipment
EP1202325A1 (en) * 2000-10-25 2002-05-02 Semiconductor300 GmbH & Co KG Arrangement for transporting a semiconductor wafer carrier
US6419438B1 (en) * 2000-11-28 2002-07-16 Asyst Technologies, Inc. FIMS interface without alignment pins
JP4118592B2 (en) * 2002-04-22 2008-07-16 富士通株式会社 Load port and semiconductor manufacturing equipment
US6869263B2 (en) * 2002-07-22 2005-03-22 Brooks Automation, Inc. Substrate loading and unloading station with buffer
US20040081546A1 (en) * 2002-08-31 2004-04-29 Applied Materials, Inc. Method and apparatus for supplying substrates to a processing tool
US6955197B2 (en) * 2002-08-31 2005-10-18 Applied Materials, Inc. Substrate carrier having door latching and substrate clamping mechanisms
US7410340B2 (en) * 2005-02-24 2008-08-12 Asyst Technologies, Inc. Direct tool loading

Patent Citations (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4805759A (en) * 1985-04-12 1989-02-21 Societe Pour L'etude Et La Fabrication De Circuits Integres Speciaux Efcis Installation and method for handling delicate objects in an atmosphere having a controlled dust content
US4718536A (en) * 1986-10-15 1988-01-12 Toby Enterprises Stack storage elevator for sliced, stacked comestible products
US4867299A (en) * 1987-07-16 1989-09-19 Meinan Machinery Works, Inc. Apparatus for distributing veneer sheets
US6808592B1 (en) * 1994-12-05 2004-10-26 Nordson Corporation High throughput plasma treatment system
US6223880B1 (en) * 1996-02-23 2001-05-01 Orbotech Ltd. Conveyor table having selectively enabled conveyor elements
US6188323B1 (en) * 1998-10-15 2001-02-13 Asyst Technologies, Inc. Wafer mapping system
US6435330B1 (en) * 1998-12-18 2002-08-20 Asyai Technologies, Inc. In/out load port transfer mechanism
US6468021B1 (en) * 1998-12-18 2002-10-22 Asyst Technologies, Inc. Integrated intra-bay transfer, storage, and delivery system
US6481558B1 (en) * 1998-12-18 2002-11-19 Asyst Technologies, Inc. Integrated load port-conveyor transfer system
US6220420B1 (en) * 1999-03-30 2001-04-24 Industrial Technology Research Institute Semiconductor composition material conveyer module
US6308818B1 (en) * 1999-08-02 2001-10-30 Asyst Technologies, Inc. Transport system with integrated transport carrier and directors
US6364593B1 (en) * 2000-06-06 2002-04-02 Brooks Automation Material transport system
US20030202868A1 (en) * 2001-01-05 2003-10-30 Applied Materials, Inc. Actuatable loadport system
US20020105236A1 (en) * 2001-02-02 2002-08-08 Fosnight William J. System for safeguarding integrated intrabay pod delivery and storage system
US20020187024A1 (en) * 2001-06-12 2002-12-12 Applied Materials, Inc. Apparatus for storing and moving a carrier
US20030031538A1 (en) * 2001-06-30 2003-02-13 Applied Materials, Inc. Datum plate for use in installations of substrate handling systems
US20030012625A1 (en) * 2001-07-13 2003-01-16 Rosenquist Frederick T. Smif load port interface including smart port door
US7419346B2 (en) * 2001-07-16 2008-09-02 Asyst Technologies, Inc. Integrated system for tool front-end workpiece handling
US7066707B1 (en) * 2001-08-31 2006-06-27 Asyst Technologies, Inc. Wafer engine
US20030235486A1 (en) * 2002-06-19 2003-12-25 Doherty Brian J. Automated material handling system for semiconductor manufacturing based on a combination of vertical carousels and overhead hoists
US20050135906A1 (en) * 2003-12-03 2005-06-23 Fosnight William J. Extractor/buffer
US6938751B1 (en) * 2004-04-23 2005-09-06 Tkf, Inc. Vertical lift assembly
US20060257233A1 (en) * 2005-05-16 2006-11-16 Bonora Anthony C Interface between conveyor and semiconductor process tool load port
US20070128007A1 (en) * 2005-05-16 2007-06-07 Bonora Anthony C Modular terminal for high-throughput AMHS

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140199150A1 (en) * 2013-01-17 2014-07-17 Sergey N. Razumov Order picking system and method
US9260207B2 (en) * 2013-01-17 2016-02-16 Sergey N. Razumov Order picking system and method
US10079166B2 (en) * 2015-05-18 2018-09-18 Disco Corporation Processing apparatus
TWI672188B (en) * 2015-05-18 2019-09-21 日商迪思科股份有限公司 Processing device
CN110556317A (en) * 2019-08-13 2019-12-10 世源科技工程有限公司 semiconductor processing system

Also Published As

Publication number Publication date
US20060188358A1 (en) 2006-08-24
US20080267742A1 (en) 2008-10-30
US7445415B2 (en) 2008-11-04
WO2006091593A2 (en) 2006-08-31
CN100590786C (en) 2010-02-17
KR20070116027A (en) 2007-12-06
US7410340B2 (en) 2008-08-12
WO2006091593A3 (en) 2006-12-28
JP2008532288A (en) 2008-08-14
CN101128915A (en) 2008-02-20
US20060188360A1 (en) 2006-08-24
US7651307B2 (en) 2010-01-26
JP4470225B2 (en) 2010-06-02
KR100967357B1 (en) 2010-07-05

Similar Documents

Publication Publication Date Title
US7445415B2 (en) Direct tool loading
US11784073B2 (en) Apparatus and method for handling wafer carrier doors
US5980183A (en) Integrated intrabay buffer, delivery, and stocker system
US9620397B2 (en) Automated material handling system for semiconductor manufacturing based on a combination of vertical carousels and overhead hoists
US7771151B2 (en) Interface between conveyor and semiconductor process tool load port
US6579052B1 (en) SMIF pod storage, delivery and retrieval system
US7661919B2 (en) Discontinuous conveyor system
JP4729237B2 (en) Material transport system or method, carry-in port module
KR20020064918A (en) Wafer transport system

Legal Events

Date Code Title Description
AS Assignment

Owner name: MURATEC AUTOMATION CO., LTD., JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:ASYST TECHNOLOGIES, INC.;REEL/FRAME:023079/0739

Effective date: 20090811

Owner name: MURATEC AUTOMATION CO., LTD.,JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:ASYST TECHNOLOGIES, INC.;REEL/FRAME:023079/0739

Effective date: 20090811

AS Assignment

Owner name: MURATEC AUTOMATION CO., LTD., JAPAN

Free format text: ADDENDUM TO ASSIGNMENT;ASSIGNOR:ASYST TECHNOLOGY, INC.;REEL/FRAME:023649/0018

Effective date: 20090826

Owner name: MURATEC AUTOMATION CO., LTD.,JAPAN

Free format text: ADDENDUM TO ASSIGNMENT;ASSIGNOR:ASYST TECHNOLOGY, INC.;REEL/FRAME:023649/0018

Effective date: 20090826

Owner name: MURATEC AUTOMATION CO., LTD., JAPAN

Free format text: ADDENDUM TO ASSIGNMENT;ASSIGNOR:ASYST TECHNOLOGIES, INC.;REEL/FRAME:023649/0018

Effective date: 20090826

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION