US20080318417A1 - Method of forming ruthenium film for metal wiring structure - Google Patents

Method of forming ruthenium film for metal wiring structure Download PDF

Info

Publication number
US20080318417A1
US20080318417A1 US12/205,640 US20564008A US2008318417A1 US 20080318417 A1 US20080318417 A1 US 20080318417A1 US 20564008 A US20564008 A US 20564008A US 2008318417 A1 US2008318417 A1 US 2008318417A1
Authority
US
United States
Prior art keywords
film
gas
ruthenium
substrate
precursor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/205,640
Inventor
Hiroshi Shinriki
Hiroaki Inoue
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Japan KK
Original Assignee
ASM Japan KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Japan KK filed Critical ASM Japan KK
Priority to US12/205,640 priority Critical patent/US20080318417A1/en
Publication of US20080318417A1 publication Critical patent/US20080318417A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12771Transition metal-base component
    • Y10T428/12861Group VIII or IB metal-base component
    • Y10T428/12875Platinum group metal-base component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12771Transition metal-base component
    • Y10T428/12861Group VIII or IB metal-base component
    • Y10T428/12903Cu-base component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/263Coating layer not in excess of 5 mils thick or equivalent
    • Y10T428/264Up to 3 mils
    • Y10T428/2651 mil or less

Definitions

  • the present invention generally relates to a method of forming ruthenium (Ru) films for metal wring structures that can be used favorably in producing fine semiconductor devices.
  • ruthenium (Ru) films for metal wring structures that can be used favorably in producing fine semiconductor devices.
  • Ru films are drawing the attention because by forming a Ru film at the interface of Cu and barrier metal in a Cu wiring structure, which is the mainstream wiring structure used with high-speed logic devices such as MPUs, the Ru film can provide improved adhesion with Cu and thus significantly improve the reliability of wiring.
  • Methods to form a Ru film on a TaN film or WN film, which is a Cu diffusion barrier metal, and then form a Cu film on top of the Ru film, are being examined (refer to C-C Yong et al., IITC 2006, pp. 187-189, “Physical, Electrical, and Reliability Characterization of Ru for Cu Interconnects” for an example of such method on a Ru/TaN combination). Specifically, application of a Cu liner consisting of a layered structure of Ru/TaN, etc., is examined.
  • a Cu liner film such as Ru/TaN, which is being examined for use as a Cu wiring liner, tends to produce higher Cu wiring resistance if the film is thicker, because a thicker film results in a smaller Cu wiring volume. This creates a need to make the film as thin as possible.
  • a layered structure consisting of a copper-diffusion barrier film and a Ru film, however, making the Ru film thinner virtually prevents formation of a continuous film, resulting in the barrier film being exposed partially. As a result, an interface of Cu wiring and barrier film is produced, which can cause problems.
  • the Ru film is made thicker to form a continuous film, on the other hand, the Cu wiring resistance increases. In other words, formation of a thin, continuous Ru film is desired.
  • the Ru film is required to be formed in a reducing atmosphere to prevent the aforementioned barrier film from being oxidized.
  • a Ru film can be formed in a reducing atmosphere by means of a step to supply a Ru material that contains a ligand containing a cyclopentadienyl group, and a treatment step using NH 3 gas activated by high-frequency waves.
  • a WNC film is an excellent copper-diffusion barrier film, forming a Ru/WNC layered structure makes the Ru film thin, thus making the film virtually non-continuous and therefore causing the WNC film to become partially exposed easily.
  • the inventors utilized the process disclosed in US2006/0177601A to form a 3-nm Ru film on a WNC film, after which a copper seed layer was formed along with a plating layer, followed by a CMP process over the wiring. As a result, the underlying WNC film was etched because the Ru film was not continuous, and the Ru film peeled off. A Ru film does not easily become a continuous film unless the film has a certain thickness (approx. 3 to 4 nm).
  • the present invention provides a method of depositing a ruthenium(Ru) thin film on a substrate in a reaction chamber, comprising: (i) supplying a gas of a ruthenium precursor into the reaction chamber so that the gas of the ruthenium precursor is adsorbed onto the substrate, said ruthenium precursor a ruthenium complex containing a non-cyclic dienyl; (ii) supplying an excited reducing gas into the reaction chamber to activate the ruthenium precursor adsorbed onto the substrate; and (iii) repeating steps (i) and (ii), thereby forming a ruthenium thin film on the substrate.
  • the ruthenium complex may have a structure of Xa-Ru—Xb, wherein at least one of Xa or Xb is a non-cyclic dienyl.
  • the non-cyclic dienyl may be a non-cyclic pentadienyl.
  • the non-cyclic pentadienyl may have at least one side chain of C1-2 alkyl.
  • the non-cyclic pentadienyl may have two side chains of methyl.
  • Xa may be a non-cyclic dienyl
  • Xb may be a cyclic dienyl
  • Xa may be a non-cyclic pentadienyl
  • Xb may be a cyclopentadienyl
  • Xa and Xb may be both non-cyclic pentadienyls.
  • the excited reducing gas may be generated by applying radio-frequency power to a reducing gas.
  • the reducing gas may be ammonia, hydrogen, or a mixture of nitrogen and hydrogen.
  • the excited reducing gas may be an ammonia or hydrogen plasma.
  • the method may further comprise purging the ruthenium precursor gas from the reaction chamber after step (i) and purging the excited reducing gas from the reaction chamber after step (ii).
  • steps (i) and (ii) may be repeated to form the ruthenium thin film having a thickness of no less than 0.5 nm but no more than 2.0 nm.
  • steps (i) and (ii) may also be repeated to form the ruthenium thin film having a thickness of no less than 0.5 nm but no more than 2.0 nm.
  • Steps (i) and (ii) may still be repeated 50 times to 150 times.
  • the method may further comprise providing a metal film before steps (i)-(iii) begin wherein the ruthenium thin film is formed on the metal film.
  • the metal film may be selected from the group consisting of WNC, WN, TaN, Ta, TaNC, TiN, Ti, Cu, Al, Co, and Ni.
  • the gas of the ruthenium precursor may be a first gas
  • step (i) may further comprise supplying a second gas of another ruthenium precursor into the reaction chamber simultaneously with the first gas.
  • the method may further comprise after repeating steps (i) and (ii) a give number of times: (iv) supplying a second gas of another ruthenium precursor into the reaction chamber so that the second gas of the other ruthenium precursor is adsorbed onto the substrate; (v) supplying an excited reducing gas into the reaction chamber to activate the other ruthenium precursor adsorbed onto the substrate; and (vi) repeating steps (iv) and (v), thereby forming a ruthenium thin film on the substrate.
  • the method may further comprise after step (iii) forming a ruthenium thin film or ruthenium oxide thin film on the ruthenium thin film by CVD.
  • the method may further comprise after step (iii) forming a copper film on the ruthenium thin film.
  • the copper film may be formed by supplying a gas of a copper precursor on the substrate, which is produced by CVD or ALD.
  • the present invention provides a ruthenium thin film-formed structure comprising: a substrate; a ruthenium thin film formed on the substrate, which is continuous without pinholes and has a thickness of no less than 0.5 nm but no more than 2.0 nm.
  • the above embodiment further includes, but is not limited to, the following embodiments.
  • the ruthenium thin film may be formed with a ruthenium complex containing a non-cyclic dienyl.
  • the substrate may have a metal film, and the ruthenium thin film may be formed on the metal film.
  • the metal film may be selected from the group consisting of WNC, WN, TaN, Ta, TaNC, TiN, Ti, Cu, Al, Co, and Ni.
  • the ruthenium thin film-formed structure may further comprise a copper film on the ruthenium thin film.
  • FIG. 1( a ) is a diagram illustrating a process that is repeated to form a Ru film, implemented in an embodiment of the present invention.
  • FIG. 1( b ) is a diagram illustrating a process that is repeated to form a Ru film on a metal film, implemented in an embodiment of the present invention.
  • FIG. 2( a ) is a chemical formula of a Ru precursor that can be used in an embodiment of the present invention.
  • FIG. 2( b ) is a chemical formula of a Ru precursor that can be used in an embodiment of the present invention.
  • FIG. 2( c ) is a chemical formula of a Ru precursor used in a prior art.
  • FIG. 3 is a schematic diagram illustrating a thin-film forming apparatus that can be used to implement the present invention.
  • FIG. 4( a ) and FIG. 4( b ) are schematic diagrams illustrating a method to evaluate the continuity of a Ru film, where the Ru film shown in FIG. 4( a ) is non-continuous, while the Ru film shown in FIG. 4( b ) is continuous.
  • FIG. 5 is a graph showing one example of the dependency of Ru growth speed on number of cycles, when the Ru material shown in FIG. 2( a ), ( b ) or ( c ) is used.
  • FIG. 6 is a graph showing one example of the relationship of the film forming cycles with the Ru film formed in FIG. 5 , and the amount of W detected from the underlying layer, when the method illustrated in FIG. 4 is used.
  • FIGS. 7( a ), ( b ), ( c ), ( d ), ( e ) and ( f ) provide a schematic diagram illustrating a process flow ((a) ⁇ (b) ⁇ (c) ⁇ (d) ⁇ (e) ⁇ (f)) of applying a Ru-film forming process conforming to an embodiment of the present invention to a dual-damascene Cu wiring process.
  • FIG. 8( a ) is a diagram illustrating the dual-damascene Cu wiring process (pre-treatment ⁇ WNxCy film ⁇ Ru film forming) shown in FIG. 7 as used in an embodiment of the present invention
  • FIG. 8( b ) is a structural diagram illustrating one example of a vacuum-cycle cluster apparatus used to implement the aforementioned process.
  • FIG. 9( a ) is a schematic diagram illustrating one example of the structure of a reaction apparatus used to implement, in an embodiment of the present invention, the process comprising a step to form a Ru film on WNC, and a step to form a Ru film in an oxidizing atmosphere by means of CVD.
  • FIG. 9( b ) is a schematic diagram illustrating one example of a gas dispersion nozzle that can be used in the apparatus shown in FIG. 9( a ).
  • FIG. 10 is a schematic diagram illustrating a cross-section structure of the capacitor electrode described in Example 3.
  • FIG. 11 is a graph showing the dependency of sheet resistance on number of cycles for ALD-Ru film in an embodiment of the present invention, wherein the sheet resistance pertains to a layered sheet obtained by forming an ALD-WNC film by ALD using WF 6 , NH 3 or TEB gas, forming on top of this ALD-WNC film an ALD-Ru film formed by ALD using a Ru material and NH 3 gas plasma, and then layering on top a Ru-CVD film formed by CVD using the same Ru material and oxygen.
  • FIG. 12( a ) is a schematic diagram illustrating one example of the structure of a forming apparatus that can be used in an embodiment of the present invention, wherein the material used to form an ALD-Ru film is different from the material used to form a Ru film by CVD.
  • FIG. 12( b ) is a schematic diagram illustrating one example of a gas dispersion nozzle that can be used in the apparatus shown in FIG. 12( a ).
  • An embodiment of the present invention is characterized by a method of forming Ru film, comprising a step to supply onto a substrate a Ru material gas selected from molecules having a Ru(XaXb) structure, wherein at least one of Xa or Xb is a non-cyclic dienyl, and a step to treat the substrate using a reducing gas excited by high-frequency waves.
  • This embodiment is also characterized by a Ru film formed by means of the aforementioned forming method.
  • a non-cyclic dienyl (also referred to as “linear dienyl”) may have a 5-member, 6-member, 7-member or 8-member chain, among others, but in an embodiment a 5-member non-cyclic pentadienyl can be used favorably.
  • This non-cyclic dienyl may have a side chain with a carbon number of 1 or above (preferably 2 or less) bonded to it.
  • the aforementioned pentadienyl group in the Ru material having a Ru(XaXb) molecular structure wherein at least one of Xa or Xb is a non-cyclic pentadienyl may have a side chain with a carbon number of 1 or above bonded to it.
  • the ethyl or butyl group may also be bonded, for example.
  • any hydrocarbon side chain bonded to the pentadienyl group has a carbon number of 2 or less.
  • the number of bonded side chains is 1 to 4, or preferably 2 or less.
  • a pentadienyl group structure without side chain is also acceptable.
  • the pentadienyl is either 1,3-pentadienyl or 1,4-pentadienyl.
  • a Ru material having a cyclic cyclopentadienyl such as cyclopentadienyl (Cp), methylcyclopentadienyl (MeCp), ethylcyclopentadienyl (EtCp) or isopropylcyclopentadienyl (i-PrCp)).
  • a very thin (1 nm or less) but continuous film can be formed using a Ru compound having a non-cyclic dienyl group.
  • Cyclopentadienyl complexes are easy to synthesize, and thus affordable, and they are also structurally stable. These features make cyclopentadienyl complexes suitable for industrial production applications. Although they can form a favorable ALD (atomic layer deposition) film in general, problems occur if the film thickness is reduced further.
  • ALD atomic layer deposition
  • FIG. 1( a ) shows the basic process in an embodiment.
  • a Ru material gas is supplied onto a substrate.
  • the Ru material is heated to a temperature range of approx. 80 to 120° C. (including 90° C., 100° C., 110° C. and other temperatures between the foregoing), and the generated vapor of the Ru material is introduced into a reaction apparatus by means of an inactive gas (such as Ar).
  • the flow rate of the inactive gas may be in a range of approx. 100 to 700 sccm (including 200 sccm, 300 sccm, 400 sccm, 500 sccm, 600 sccm and other flow rates between the foregoing, or preferably between 300 and 500 sccm).
  • the vapor pressure may be adjusted desirably to a range of approx. 0.1 to 2 torr (including 0.5 torr, 1.0 torr, 1.5 torr and other pressures between the foregoing).
  • the flow rate of vaporized Ru refers to the flow rate of the carrier gas (inactive gas) containing Ru, which is used to carry the Ru material vapor produced by vaporizing the material at the above vapor pressure.
  • the supply pipe may be heated desirably to a temperature of 130° C. or above, such as 150° C. or so, to prevent the vaporized material from liquefying.
  • the ALD process is a self-saturating process, and an ALD film can be formed on a substrate under the above conditions.
  • the above gas is purged (using an inactive gas at a flow rate of 1,000 to 3,000 sccm), after which a reducing gas is excited using high-frequency waves to treat the Ru film surface.
  • NH 3 gas can be supplied at a flow rate in a range of 200 to 700 sccm (or preferably 300 to 500 sccm), at a high-frequency output in a range of 200 to 1,000 W (including 300 W, 500 W, 700 W and other outputs between the foregoing) based on high-frequency waves of 13.56 kHz.
  • Ar may be supplied desirably at a flow rate in a range of 300 to 2,000 sccm (or preferably 500 to 1,200 sccm).
  • the pressure condition can be adjusted to an optimal level between 1 and 3 torr.
  • the aforementioned reducing gas may be NH 3 , H 2 , a mixture of N 2 and H 2 , or a mixture gas containing any of the foregoing.
  • a step to supply the aforementioned Ru material gas to a substrate, and a step to treat the aforementioned substrate using a reducing gas excited by high-frequency waves are repeated to form a Ru film with a thickness of no less than 0.5 nm but no more than 2.0 nm, or preferably no less than 0.7 nm but no more than 1.2 nm.
  • a step to supply the aforementioned Ru material gas to a substrate, and a step to treat the top surface of the aforementioned substrate using a gas containing at least NH 3 or H 2 excited by high-frequency waves are repeated for no less than 50 cycles but no more than 150 cycles, or preferably no less than 75 cycles but no more than 100 cycles, to form the aforementioned Ru film.
  • a film with a thickness of no less than 0.5 nm but no more than 2.0 nm can be formed by repeating the above steps for no less than 50 cycles but no more than 150 cycles.
  • the aforementioned Ru film is formed on a metal film, as described in FIG. 1( b ).
  • the aforementioned metal film may be made of WNC, WN, TaN, Ta, TaNC, TiN, Ti, Cu, Al, Co or Ni.
  • a Ru material gas different from the aforementioned Ru material gas can be supplied simultaneously onto a substrate.
  • This different material may have the material shown in FIG. 2( c ) (i.e., (Ru(EtCp) 2 ) mixed with it.
  • the mixing ratio may be such that the different material accounts for approx. 50 to 95% (by flow rate).
  • a Ru complex containing at least one non-cyclic dienyl group may be used for 5% or more (including 10%, 30%, 50%, 80%, 100% and other percentages between the foregoing), with a different material (particularly a Ru complex containing only a cyclic dienyl group) accounting for the remainder.
  • the aforementioned different material may be used for 50% or more.
  • One advantage of supplying different materials simultaneously is that while a material containing a pentadienyl group can be easily broken down with NH 3 plasma to form a Ru core, once a Ru core has been formed the adsorption of Ru(EtCp) 2 is promoted and thus Ru formation can be promoted with the different material alone.
  • a step to supply the aforementioned Ru material gas (one cycle of this step consists of supply of the Ru material, purge, NH3 plasma treatment, and purge) is repeated for a specified number of cycles (such as 10 cycles, 20 cycles, 30 cycles, 40 cycles, 50 cycles and other cycles between the foregoing), after which a step to supply a different Ru material gas (such as a Ru complex containing only a cyclic dienyl group like Ru(EtCp) 2 ) (one cycle of this step consists of supply of the different Ru material, purge, NH3 plasma treatment, and purge) is repeated for a specified number of cycles (such as the remaining 90 cycles, 80 cycles, 70 cycles, 60 cycles, 50 cycles and other cycles between the foregoing, if a total of 100 cycles are to be repeated together with the preceding step).
  • advantages similar to those described above can also be achieved.
  • a Ru film or Ru oxide film may be layered over the aforementioned Ru film already formed, via chemical vapor deposition (CVD) using an arbitrary Ru material and oxygen gas.
  • CVD chemical vapor deposition
  • Whether a Ru film or Ru oxide film is formed by CVD depends on the partial pressure of oxygen, where a low partial oxygen pressure forms a Ru film, while a high partial oxygen pressure forms a RuOx film.
  • either a Ru film or RuOx film may be formed in accordance with the purpose.
  • the underlying barrier film is not oxidized due to the presence underneath of the Ru film formed by plasma ALD.
  • plasma atomic layer deposition, or atomic layer deposition is that a slow growth process reduces productivity when a thick film is formed.
  • a Ru film can be formed efficiently by forming an underlying Ru film of approx. 1 to 2 nm via plasma ALD, and then forming a thicker film in a chemical vapor deposition process.
  • the film growth speed with CVD is 10 to 100 times the film growth speed attained with ALD, CVD needs oxygen gas and also requires an ALD Ru film to be formed underneath using a reducing gas.
  • oxygen gas is supplied at a flow rate of 20 to 100 sccm
  • a Ru material is heated to a temperature range of 80 to 100° C.
  • an inactive gas such as Ar gas
  • Ar gas can also be supplied at a flow rate of 900 to 1,200 sccm.
  • the substrate may be kept desirably at a temperature in a range of 300 to 400° C., to form a film under a pressure of 1 to 3 torr.
  • a copper film may be formed on the aforementioned Ru film.
  • the copper film can be formed on the aforementioned Ru film by means of a chemical vapor deposition or atomic layer deposition method, by supplying a copper material molecule into vacuum in gaseous form.
  • This copper film can be formed using Cu(hfac)(tmvs) ((trimethylvinylsilyl)(hexafluoroacetylacetonat)) as the material, and by adjusting the substrate temperature to a range of 90 to 200° C.
  • An inactive gas may be introduced as a carrier gas at a flow rate of 300 to 500 sccm.
  • Cu(hfac)(tmvs) may be supplied desirably at a speed of approx.
  • Vaporization can be caused using a general vaporizer at a temperature in a range of approx. 60 to 80° C., and the vaporized copper material is supplied to the reaction apparatus.
  • the film forming pressure may be adjusted desirably to a range of 1 to 2 torr.
  • a Ru-film forming process is implemented in a reducing atmosphere so that a Ru film can be formed on a barrier metal such as TaN or WNC, which acts as a Cu diffusion barrier, without oxidizing this barrier metal.
  • a Ru film can be formed in a reducing atmosphere by repeating a step to introduce a Ru precursor to the substrate surface; a step to purge the unnecessary Ru precursor; a step to treat the Ru precursor adsorbed to the substrate surface using a plasma gas generated by applying high-frequency waves to a reducing gas containing at least NH 3 or H 2 , etc.; and a step to purge the reducing gas.
  • a Ru film can be formed without oxidizing the top surface of the Cu diffusion barrier made of TaN or WNC.
  • FIG. 1( b ) shows a sequence of forming a Ru film on a metal film made of WNC or TaN, using a similar method. Evaluation focusing on the continuity of the Ru film on the metal film such as a WNC film, etc., finds that the film thickness needed to form a continuous film changes significantly in accordance with the structure of the Ru material molecule used to form a Ru film.
  • FIG. 2( a ) shows one example of a Ru precursor that can be used in an embodiment of the present invention.
  • This precursor has one pentadienyl group and one cyclopentadienyl group attached to Ru.
  • FIG. 2( b ) two pentadienyl groups are attached to Ru.
  • a thin but continuous film can be formed easily by, for example, repeating the Ru-material supply step and NH 3 -plasma treatment step shown in FIGS. 1( a ) and ( b ). If the Ru precursor having two cyclopentadienyl groups attached to Ru, as shown in FIG.
  • the Ru material having two cyclopentadienyl groups remains stable on the substrate and thus the pentadienyl groups detach slowly from the Ru material even when NH 3 plasma is introduced in the next step.
  • FIG. 3 shows one example of a thin-film forming apparatus that can be used to implement the present invention.
  • This thin-film forming apparatus allows a semiconductor substrate, which is a processing target, to be transferred to a reaction chamber 1 from a vacuum transfer chamber (not illustrated), and a thin-film forming process can be implemented in this reaction chamber 1 .
  • This reaction chamber comprises an upper lid 2 , dispersion plate 3 , exhaust duct 4 , lower chamber 5 , substrate transfer gate 6 , exhaust port 7 , substrate heater 8 , and substrate-heater up/down bellows 9 .
  • the semiconductor substrate is placed on the substrate heater and the substrate heater can be moved upward to obtain an optimal distance between the dispersion plate 3 and the substrate.
  • the upper lid is connected to a gas introduction pipe 10 and gas introduction part 11 .
  • a reactive gas is connected to the pipe 10 , and a nitrogen gas or inactive gas for purging the reactive gas is also connected.
  • the pipe 10 is also connected to a radical source 12 via a gate valve 11 , and various types of radical gases generated in the radical source 12 can be introduced by opening the gate valve 11 .
  • the gas introduction part 11 connects to a gas dispersion part 13 , and the gas introduced from the gas introduction part diffuses and disperses in the gas dispersion part 13 .
  • the gas introduction part 11 may also have a dispersion structure that allows a gas to be introduced in a dispersed manner into the gas dispersion part 13 .
  • the gas diffused in the dispersion part 13 reaches a space 14 between the dispersion part 13 and the dispersion plate 2 .
  • a slit-type exhaust port 17 is formed between the tip of the gas dispersion part 13 and the dispersion plate 2 , and this slit is provided in a circular form at the tip of the dispersion part 13 .
  • Numeral 18 is a space continuing to this exhaust slit 17 , and this space 18 is formed by the outer wall of the dispersion part 13 and the upper lid 2 , and continues to the space surrounding the gas introduction part 11 .
  • a flange connection port for exhaust 19 that continues to this space 18 , and also to an exhaust valve 20 .
  • High-frequency electrodes are introduced to the dispersion plate 3 through 25 to generate plasma between the dispersion plate 3 and the substrate-heating table 8 .
  • FIGS. 4( a ) and ( b ) show how to evaluate the continuity of a Ru film.
  • FIG. 4( a ) applies to a case where the Ru film is non-continuous, while FIG. 4( b ) applies to a case where the Ru film is continuous.
  • a WNC film 402 is formed on a SiO 2 film 403 , and then a Ru film 401 or 401 ′ is formed, after which the obtained sample is soaked in a wet-etching solution 404 (a mixed acid consisting of hydrochloric acid and hydrogen peroxide) that can dissolve the WNC film, to measure and quantify via ICP mass spectrometry the amount of tungsten (W) 405 eluted from the WNC film into the wet-etching solution.
  • a wet-etching solution 404 a mixed acid consisting of hydrochloric acid and hydrogen peroxide
  • the schematic diagram in (a) shows a condition of how the WNC film is etched by pinholes when the Ru film 401 is not continuous.
  • the Ru film 401 ′ is continuous if the core density is high, in which case the wet-etching solution cannot reach the WNC film and thus no tungsten atoms eluted from the WNC film are detected in the etching solution.
  • wet-etching solution is a mixed acid consisting of HCl, H 2 O 2 and H 2 O mixed at 1 : 1 : 20 that etches WNC films to cause elution, this solution does not etch Ru films. Therefore, if the Ru film is not continuous, the underlying WNC film is etched and its constituents are eluted. Accordingly, the amount of W can be detected by measuring the etching solution via ICP mass spectrometry.
  • FIG. 5 shows the dependence of Ru growth speed on number of cycles when the Ru material shown in FIG. 2( a ), ( b ) or ( c ) was used.
  • the film forming conditions are explained in Example 2 later.
  • the step shown in Table 2 under Example 2 was defined as constituting one cycle, and how many times this cycle is repeated was counted.
  • the incubation cycles (corresponding to the thickness zero point obtained by extrapolating the proportional relationship of thickness and number of cycles) for the Ru materials in FIGS. 2( a ), ( b ) and ( c ) were 37 cycles, 30 cycles and 54 cycles, respectively.
  • FIG. 6 shows the relationship of the number of cycles for the Ru film formed in FIG. 5 , and the detected amount of W, as obtained using the method illustrated in FIG. 4 .
  • the collection rate indicates the percentage of the actual amount of W atoms detected, to the total W atoms in the WNC film beneath the Ru film by assuming that all W atoms have eluted into the etching solution. “100%” indicates that all were etched, while “1%” indicates that an amount of W corresponding to 1% of total W atoms has been detected.
  • FIG. 7 shows a process flow where the aforementioned process is applied to a dual-damascene Cu wiring process.
  • FIGS. 7( a ) through 7 ( d ) are schematic cross-section drawings showing a wiring structure of a semiconductor element, presented to explain a process of forming a dual-damascene copper wiring structure conforming to one embodiment of the present invention. Specifically, these diagrams explain a process of lining the entire surface of trenches and contact vias in a dual damascene structure with a metal barrier film using the ALD method, followed by the formation of a Ru film and a copper layer.
  • FIG. 7( a ) illustrates a dual damascene structure prior to the formation of metal barrier layer.
  • a dielectric diffusion barrier 202 is formed on a conductive wiring layer 201 , and a bottom insulation layer 203 is formed on top of the dielectric diffusion barrier 202 , while an etching stop layer 204 is formed on the bottom insulation layer 203 .
  • a top insulation layer 205 is formed on top of the etching stop layer 204 .
  • the etching stop layer 204 is used to form trenches 208 of a desired wiring pattern. The trenches 208 are etched on an etching mask layer level, and formed between two insulation layers 205 , 203 .
  • the etching stop layer 204 is patterned and etched prior to the formation of the top insulation layer 205 , and constitutes a hard mask that clearly defines a desired horizontal dimension of contact vias extending from the bottom of trenches 208 .
  • contact vias 207 connecting from the bottom of trenches 208 to the lower conductive wiring layer 201 are opened.
  • Numeral 206 indicates a layer where chemical mechanical polishing is stopped in the smoothing step.
  • FIG. 7( b ) illustrates the pre-treatment process in one embodiment of the present invention.
  • This process comprises removing the oxide formed on the copper wiring surface at the bottom of contact vias 207 , and pre-treating the surface of interlayer insulation films 203 , 205 exposed in the damascene structure (for example, by introducing H 2 /He gas for 30 seconds at a RF output of 800 W, and then introducing H 2 /H 2 /N 2 mixture gas for 60 seconds at a RF output of 300 W).
  • This termination is difficult to achieve only through simple heat treatment using NH 3 gas.
  • NH 3 is activated using high-frequency waves, however, the surface can be terminated using NH 3 and NH 2 bonds just like when plasma-activated H 2 /H 2 /N 2 mixture gas is used.
  • the amino groups to be introduced to the surface of SiO 2 , SiOC or SiO, etc. if the coordination number of N with respect to atoms on the surface is 1, N, which is a three-coordinate atom, bonds with an atom on the surface and a —NH 2 terminal is formed on the surface. If the coordination number is 2, a >NH terminal is formed on the surface.
  • the surface terminal structure desirable in one embodiment of the present invention is —NH 2 or >NH.
  • TEB gas and other reducing gases are considered to be adsorbed in the form of substitution with H in this —NH 2 bond or >NH bond as shown in FIG. 4 , and therefore the presence of —NH 2 or >NH is required on the surface.
  • >NH occurs in the case of Si—NH—Si or SiONHOSi, for example.
  • “x” in —NH represents 1 or 2.
  • introduction of amino groups to the surface occurs not only on the surface of low dielectric constant film, but also on the surface of metal wiring layer at the bottom of vias, as shown in FIG. 7( b ).
  • a SiOC low dielectric constant film which is to be used widely on next-generation devices, is adopted as the insulation films 205 , 203 shown in FIG. 7( a )
  • the carbon-containing side chains in the SiOC film such as chains of methyl groups which are alkyl groups
  • CH 3 , C 2 H 5 and other alkyl groups in the SiOC film are lost. This sometimes causes the contact vias 207 to deform into a barrel shape. If damage to insulation films 205 , 203 by high-frequency plasma is suspected, using high-frequency plasma with H 2 /He/N 2 gas can reduce the negative effect on the SiOC film.
  • the partial pressure of nitrogen in H 2 /He/N 2 is 5 to 50%, or more preferably 10 to 30%.
  • the RF output frequency it can be adjusted to 13.56 MHz (normally 2 MHz or above, but not exceeding 60 MHz).
  • Ar and other inactive gases can also be used.
  • the process conditions may be set as follows:
  • plasma refers to so-called parallel-plate plasma generated by high-frequency RF waves of 13.56 kHz, for example, applied between a showerhead and a heating stage on which a substrate is placed.
  • a substrate is present in a plasma generation atmosphere. Therefore, this process is affected by active species with short life that are generated in plasma, such as ionic active species.
  • plasma is generated in a place away from a substrate (using a remote plasma apparatus), and among the activated molecules the neutral molecules with long life are transported to the substrate and used in the surface treatment.
  • This is called radical process.
  • radicals refer to molecules in an electron-excited state compared to a normal (ground) state where electrons are stable. Although radicals are not ionic, they are activated and reactive. In one embodiment of the present invention, plasma and radical can be used interchangeably. Those skilled in the art should be able to determine appropriate radical generation conditions from the corresponding plasma generation conditions.
  • amino groups are introduced to the surface via plasma. It is difficult to thermally introduce amino groups without using plasma. For example, introduction of amino groups is difficult to achieve only via supply of NH 3 . However, introduction of amino groups to the surface is possible without using plasma, if N 2 H 2 gas (hydrazine), etc., is used.
  • the process conditions using hydrazine may be set as follows. The partial pressure of hydrazine with respect to the total flow rate is preferably between 10 and 50%.
  • TEB triethyl boron
  • WF 6 gas or other metal halide is introduced and then purged with inactive gas
  • NH 3 gas or other halogen-substituting nitride gas is introduced and then purged with inactive gas.
  • a smooth WNC film or other barrier film containing metal atoms (also called metal barrier film) 209 can be formed on the surface of a damascene structure.
  • Barrier film is sometimes referred to as conductive film, but use of this term is limited to situations where difference from insulation films is emphasized. Barrier films are not always electrically conductive.
  • the reducing gas B 2 H 6 , alkyl boron compound, SiH 4 , Si 2 H 6 or alkyl silicon compound can be used instead of TEB.
  • the metal halide TaF 6 or TiCl 4 can be used instead of WF 6 .
  • the barrier film containing metal atoms can be formed as a TaN, TaCN, WN, TiN or TiCN film instead of WNC film.
  • the surface on which the above barrier film is formed is terminated with amino groups.
  • a smooth, uniform barrier film can be formed.
  • U.S. Pat. No. 6,759,325 discloses a method to cause WF 6 to be adsorbed to the interior surface of trenches and vias that form a damascene wiring structure, and then reduce the surface using TEB or other reducing gas.
  • introduction of a metal halide precursor may damage the interlayer insulation film or cause permeation into the film.
  • the barrier film thickness is adjusted to a range of 1 to 5 nm, or preferably to a range of 2 to 4 nm.
  • a second metal film 210 such as Ru film, is formed on top of the barrier film 209 , such as a WNC film, using plasma ALD or other method.
  • the second metal film is formed on top of the metal barrier film that has been formed after pre-treatment.
  • This film comprises Ru, Ta or other material offering good adhesion with the copper film used for wires, and acts as a so-called glue layer or adhesive layer. In other words, this film is sandwiched between the copper wiring and the conductive film functioning as a copper diffusion barrier and improves the adhesion between the two.
  • Ru-ALD can be formed by the plasma ALD method in which the compound described earlier and NH 3 plasma are supplied alternately. Since a Ru film is formed in a reducing atmosphere, a laminated structure can be created without oxidizing the WNC film 209 .
  • the thickness of the second metal film is adjusted to a range of 1 to 10 nm, or preferably to a range of 1 to 3 nm.
  • FIG. 7( e ) shows a step of seeding copper 211 to fill the via/trench with copper.
  • an excess copper layer above the via/trench is removed by CMP, and the surface of the element is further planarized by CMP, so that the WNC film 209 and the Ru film 210 are removed from the top surface, thereby forming an interconnect copper line 212 .
  • the 1st RF power may have a frequency of 13 MHz to 30 MHz
  • the 2nd RF power may have a frequency of 300 kHz to 450 kHz.
  • the 2nd RF power may be lower than the 1st RF power.
  • a SiC film having a thickness of about 2 nm to about 10 nm, preferably about 2 nm to about 5 nm can be formed.
  • FIG. 8( b ) is a structural drawing showing an example of processing apparatus usable in an embodiment of the present invention. This apparatus has a clustered structure to handle different process steps.
  • FIG. 8( a ) shows process flows conforming to an embodiment of the present invention. The steps enclosed by dotted lines are processed in the apparatus illustrated in FIG. 8( b ).
  • a substrate is transferred from a cassette box 300 to a load lock chamber 302 by means of an atmospheric pressure robot 301 , after which the substrate is transferred to a pre-treatment module 304 by means of a center handler 303 provided on a center platform 303 to receive pre-treatment based on a plasma process using N 2 /H 2 /He gas. Then, the pre-treated substrate is transferred in vacuum to a WNC-ALD module 305 to receive WNC-ALD process, and then further transferred in vacuum to a Ru-ALD module 306 to form a Ru-ALD film. Since the surface of WNC film is easily oxidized upon contact with atmosphere, transferring substrates in vacuum, as explained above, is extremely effective in the formation of Ru-ALD film.
  • FIG. 9( a ) shows one example of the structure of a reaction apparatus used to implement, in an embodiment of the present invention, the process comprising a step to form a Ru film on WNC according to the method proposed by the present invention, and a step to form a Ru film in an oxidizing atmosphere by means of CVD.
  • This thin-film forming apparatus allows a semiconductor substrate, which is a processing target, to be transferred into a reaction chamber 101 from a vacuum transfer chamber (not illustrated) through a gate valve 102 , and a thin-film forming process can be implemented in this reaction chamber 101 .
  • a transferred substrate 114 is placed on a heating table 102 , and its surroundings can be evacuated through a valve 127 using a molecular pump 128 (TMP).
  • TMP molecular pump 128
  • the substrate-heating table 102 is raised by means of bellows 114 until an optimal distance is achieved from a shower plate.
  • the reactive gas supplied from the shower plate is supplied to the surface of the substrate 114 , and then discharged via an exhaust duct 103 .
  • a valve 131 can be opened to supply an inactive gas, in order to prevent the reactive gas supplied through a shower plate 104 from diffusing to the transfer side where the bellows 114 are located.
  • This reaction chamber comprises a layered structure where the exhaust duct 103 , the shower plate 104 and an upper lid 113 are placed on top of each other, and a gas dispersion nozzle 111 ( FIG. 9( b )) and a gas dispersion guide 108 , which continue to a gas introduction pipe 110 , are located between the shower plate 104 and the upper lid 113 .
  • an exhaust valve 109 for evacuating the dispersion part is connected along the gas dispersion guide.
  • a gas introduction part 105 , gas introduction valve 124 and gas exhaust valve 132 are connected to the shower plate 104 .
  • An inactive-gas introduction valve 123 for purge is also connected.
  • a gas introduction valve 121 and inactive-gas introduction valve 120 for purge are connected to the gas introduction pipe 110 .
  • a Ru material gas is introduced through the valve 121 .
  • a valve 122 is formed to introduce an inactive gas at a high flow rate.
  • the Ru material introduced to the gas introduction pipe 110 through the valve 121 is dispersed by the gas dispersion nozzle 111 and flows along the gas dispersion guide, after which it passes through a gas discharge hole 112 in the shower plate 104 and is supplied onto the substrate 114 .
  • the NH 3 gas or O 2 gas supplied through the gas valve 124 travels through the gas introduction part 105 provided in the shower plate 104 and diffuses into a gas dispersion chamber 107 , and is then supplied onto the substrate 114 through a gas discharge hole 106 .
  • high-frequency waves are applied to the shower plate 104 to excite plasma between the grounded substrate-heating table 102 and the shower plate 104 , to treat the surface of the substrate 106 by means of NH 3 plasma.
  • the gas supplied onto the substrate 114 is discharged by a vacuum pump 130 via the exhaust duct 103 and through an exhaust valve 125 and a pressure control apparatus (APC) 126 .
  • APC pressure control apparatus
  • an inactive gas is introduced through the gas valves 120 and 122 , while the exhaust valve 109 is opened to discharge the remaining Ru material.
  • the apparatus is designed so that the exhaust conductance through the valve 109 becomes at least one digit higher, and therefore most of the remaining gas is discharged through the exhaust valve 109 .
  • the NH 3 gas supplied from the gas valve 124 is purged, similarly an inactive gas is introduced through the valve 123 , while the exhaust valve 132 is opened to discharge the remaining gas.
  • chemical vapor deposition can be implemented by simultaneously supplying a Ru material and O 2 gas.
  • the Ru material supplied through the valve 121 travels through the gas discharge hole 112 and is supplied to the substrate surface
  • the O 2 gas supplied through the valve 24 travels through the gas discharge hole 6 and is supplied to the substrate surface.
  • a thin Ru film is formed.
  • FIG. 10 is a schematic diagram illustrating a cross-section structure of the capacitor electrode described in Example 3. This structure is produced by forming a Ru film 402 on a WN x C y film 401 according to an embodiment of the present invention, and then depositing a Ru film or RuO 2 film on top by means of a chemical vapor deposition method.
  • FIG. 11 is a graph showing the dependency of sheet resistance on number of cycles for ALD-Ru film, wherein the sheet is produced by forming, on top of an ALD-WNC film formed by ALD using WF 6 , NH 3 or TEB gas, an ALD-Ru film by ALD based on NH 3 gas and plasma using a Ru film according to an embodiment of the present invention, and then layering on top a Ru-CVD film formed by CVD using the same Ru material and oxygen.
  • the Ru precursor shown in FIG. 2( a ) is used.
  • FIG. 12( a ) shows one example of the structure of a forming apparatus that can be used in an embodiment of the present invention, wherein the material used to form a Ru film 402 is different from the material used to form a Ru film 403 by chemical vapor deposition.
  • the same components constituting the structure shown in FIG. 9( a ) are denoted by the same numerals.
  • the difference from FIG. 9( a ) is that a material supply container 138 is provided in FIG. 12( a ) for supplying the second Ru material (Ru(C)).
  • This material supply container 138 is connected to a carrier-gas supply line 133 via a carrier-gas introduction valve 136 , and also connected to the reaction apparatus via a material supply valve 137 .
  • Ru(A) and Ru(C) are supplied to the reaction apparatus through the same line.
  • how the materials are supplies is not limited to this configuration and separate lines may be used to supply the respective materials to the reaction apparatus.
  • a WNC film formed by ALD was used as the underlying metal film.
  • the WNC film was formed by a process using WF 6 , NH 3 or TEB (triethyl boron) (under the conditions shown in Table 1 under Example 2).
  • Ru-ALD films were formed using the Ru materials shown in FIGS. 2( a ), ( b ) and ( c ) as well as the forming apparatus shown in FIG. 3 (under the conditions shown in Table 2 under Example 2). The results were compared to examine the relationship of pinholes and number of cycles with each film.
  • FIG. 5 shows the relationship of Ru film thickness and number of cycles.
  • the precursor shown in FIG. 2( c ) (hereinafter referred to as “Precursor C”) required 57 cycles, while the precursor shown in FIG. 2( a ) (hereinafter referred to as “Precursor A”) and the precursor shown in FIG. 2( b ) (hereinafter referred to as “Precursor B”) require 37 cycles and 35 cycles, respectively.
  • FIG. 6 shows the revealed relationship of pinholes and number of cycles with each film.
  • FIG. 6 shows the numbers of cycles corresponding to different collection rates (%) for the Ru materials shown in FIGS. 2( a ) and ( b ). With these Ru materials, the collection rate became 0% at around 50 cycles and 55 cycles or more, respectively. Since tungsten does not elute into the etching solution at a collection rate of 0%, it is determined that continuous films were formed at these cycles. With the Ru material shown in FIG. 2( c ), on the other hand, around 200 film forming cycles were needed to achieve a collection rate (%) of roughly 0, at which point tungsten elution is considered none.
  • a Ru molecule having a pentadienyl group such as Precursor A or B
  • a Ru molecule having only a cyclopentadienyl group, such as Precursor C however, a continuous film cannot be formed for at least 200 cycles.
  • a semiconductor device has flat sections and stepped sections, and the process using a Ru material with NH 3 plasma has been shown to provide a coverage of 70% over holes with an aspect ratio of 5.
  • a continuous film can be achieved at a thickness of 0.7 nm or more, if device coverage is also considered.
  • the minimum thickness below which the film no longer became continuous was approx. 3 to 4 nm, which means that a Ru film needs to be 4 nm or thicker in practice.
  • using this Ru material for actual copper wiring decreases the copper wiring volume by the increased thickness of the Ru film, thus virtually resulting in a higher wiring resistance.
  • a thinner Ru film is required. Since the method proposed by the present invention allows for use of a Ru film of 1 nm or thinner, the copper volume can be increased and wiring resistance decreased, while ensuring good adhesion between the copper wiring and Ru.
  • the Ru material having a pentadienyl group it is considered that the ALD method using NH 3 plasma, as explained in this example, allows the Ru component to detach easily by means of NH 3 plasma, thus increasing the core formation density and thereby allowing a continuous film to form easily at a small film thickness. If the Ru material only has a cyclopentadienyl, on the other hand, the cyclopentadienyl does not detach easily even under NH 3 plasma, and therefore a continuous film does not form easily.
  • This example shows an application of the present invention to the formation of a wiring process using a dual-damascene structure, which is the most commonly used copper wiring structure, and the effects of such application.
  • FIG. 7 shows a process to form a dual-damascene structure.
  • FIGS. 7( a ) through ( f ) show a process flow starting from a condition after completion of dual-damascene processing.
  • FIGS. 8( a ) and ( b ) show the structure of the cluster apparatus ( FIG. 8( b )) and the cluster process sequence ( FIG. 8( a )) used in this example.
  • the cluster apparatus shown in FIG. 8( b ) comprises a pre-cleaning module 304 , a WNxCy-film forming module 305 , and a Ru forming module 306 , and performs a process based on continuous vacuum cycles, as shown in FIG. 8( a ).
  • FIG. 8( b ) comprises a pre-cleaning module 304 , a WNxCy-film forming module 305 , and a Ru forming module 306 , and performs a process based on continuous vacuum cycles, as shown in FIG.
  • FIG. 7( a ) shows a condition after completion of dual-damascene processing.
  • a SiOC film 202 , interlayer insulation film 203 , etching stopper film 204 , interlayer insulation film 205 and copper-diffusion prevention film 206 are formed on a lower-layer copper wiring 201 to produce a copper-diffusion prevention layer.
  • pretreatment prior to the formation of ALD barrier film is performed using the pretreatment module 304 shown in FIG. 8 .
  • FIG. 7( b ) shows a condition immediately after the pretreatment.
  • a step to reduce the oxide formed on the surface of the copper wiring 203 at the bottom of via contacts 207 is performed simultaneously with a processing to stabilize the surface of the processed end of 202 , 203 , 204 , 205 and 206 constituting the interlayer insulation film over trenches 208 and via contacts 207 in the dual-damascene structure.
  • This processing forms NH or NH 2 groups on the surface.
  • This surface treatment allows for smooth formation of a WNxCy film in the next step.
  • the substrate is transferred to the process module for WNC-ALD formation 305 as shown in FIG. 8( b ) to form a WNC film.
  • Table 1 shows the conditions for WNC-ALD formation implemented here (the values shown in the table can be modified within a range of ⁇ 50%).
  • a smooth WNC film 209 of 3 nm can be formed, as shown in FIG. 7( c ).
  • a Ru film 210 is formed using the Ru-ALD module 305 shown in FIG. 8( b ).
  • the Ru material shown in FIG. 2( a ) was used, where the material was treated in a NH 3 plasma process conforming to the sequence illustrated in FIG. 1( a ).
  • Table 2 shows the Ru-ALD process conditions.
  • 800 W was used as the RF power of NH 3 plasma
  • a Ru film can be formed in a RF power range of 200 to 1,000 W.
  • a similar process can also be achieved at a forming temperature in a range of 250 to 400° C.
  • a Ru film with a thickness of approx. 1 nm was formed over 100 cycles (under the conditions shown in Table 2 below; the values shown in the table can be modified within a range of ⁇ 50%, and the process can be implemented in a similar manner with other Ru materials).
  • a Cu seed film 211 shown in FIG. 7( d ) is formed on a wafer that has been transferred from the apparatus shown in FIG. 8( b ), after which a copper plating film is formed as shown in FIG. 7( e ), to form a copper wiring 212 through CMP.
  • a Cu film can also be formed via Cu plating after the Ru film 210 has been formed, or a Cu plating can be formed directly on the Ru film 210 .
  • a Cu film formed by CVD or ALD instead of PVD may also be used.
  • the layered WNC and Ru film structure formed by the aforementioned method had a thickness of 4 nm, which is smaller than when conventional barrier metals are used. As a result, lower copper wiring resistance was obtained.
  • This example pertains to a very thin Ru film shown in Example 1 or 2, that is, a Ru film of approx. 1 to 4 nm used as a Cu-film adhesion layer.
  • the Ru film needs to be formed thicker to a thickness range of approx. 10 to 20 nm.
  • the cycle illustrated in FIG. 1( a ) needs to be repeated 500 times to form a film of 10 nm. If one cycle requires 3 seconds to complete, the total cycle time becomes 1,500 seconds, which significantly reduces the productivity of semiconductor devices.
  • a Ru film is formed by chemical vapor deposition, on the other hand, a Ru film can be formed using the Ru material shown in FIG. 2 and oxygen gas, or a RuO 2 film can be formed by adjusting the partial oxygen pressure.
  • Examples 1 and 2 use a WNxCy film underneath a Ru film, with a general capacitor electrode a polycrystal silicon or TiN film, etc., is placed as the under layer of the electrode. If a Ru film is formed by a chemical vapor deposition method, the surface of the aforementioned polycrystal silicon, TiN, etc., is oxidized and the contact resistance increases as a result. This example illustrates how Ru and RuO 2 electrodes can be formed while suppressing this oxidization.
  • FIG. 9 is a structural diagram showing a cross-section view of the Ru film-forming apparatus used in this example.
  • Ru Precursor A is supplied through a valve 121 , while NH 3 or O 2 is supplied through a valve 140 or 123 .
  • Ar purge gas is supplied through valves 122 and 124 .
  • a Ru film was formed by an atomic layer deposition method with NH 3 plasma based on the conditions shown in Table 2 under Example 2, after which NH 3 was changed to O 2 gas and Ru Precursor A and oxygen gas were supplied through 112 and 107 in the showerhead, respectively. Since the two gases do not mix in the showerhead, the gases do not react with each other in the showerhead but do so on the surface of the substrate 106 to form a Ru film.
  • FIG. 10 is a schematic diagram illustrating a cross-section structure where a Ru-ALD film 402 was formed on a WNC-ALD film 401 and then a Ru film 403 was formed by a chemical vapor deposition method. If the Ru film 402 is not continuous and exposed to an oxidizing atmosphere, the underlying WNC film 401 is easily oxidized. Therefore, the number of cycles performed to form the Ru film 402 was changed to 25, 50, 75 and 100, and the Ru film 403 was formed on the Ru film produced with each number of cycles.
  • FIG. 10 shows how the sheet resistance changed with different numbers of cycles.
  • a Ru film is formed without the WNC film 401 being oxidized, essentially the sheet resistance may decrease as the Ru-film 403 forming time increases. As shown in FIG. 11 , the sheet resistance increased when the Ru film 402 was formed over 25 and 50 cycles. On the other hand, the sheet resistance decreased when the film was formed over 75 and 100 cycles. These results suggest that the Ru film 402 was not continuous and therefore the underlying WNC film was oxidized during the first 50 cycles. Meanwhile, it is likely that a continuous film that can prevent diffusion of oxygen was formed after 75 cycles. The film thickness achieved after 75 cycles was approx. 0.7 nm, while that achieved after 100 cycles was approx. 1 nm. In other words, it is considered that a continuous Ru film that can prevent diffusion of oxygen has been formed when the film thickness reaches 0.7 nm or more.
  • FIG. 12 it is also possible to use an apparatus having a material container 135 that suppliers the Ru material shown in FIG. 2( a ) to form a Ru-ALD film 401 , and a material container 138 that supplies the Ru material shown in FIG. 2( c ) to form a Ru film 402 by means of chemical vapor deposition.
  • a material container 135 that suppliers the Ru material shown in FIG. 2( a ) to form a Ru-ALD film 401
  • a material container 138 that supplies the Ru material shown in FIG. 2( c ) to form a Ru film 402 by means of chemical vapor deposition.
  • use of the material shown in FIG. 2( a ) achieves a higher growth speed in chemical vapor deposition compared to when the material shown in FIG. 2( c ) is used, while the material shown in FIG. 2( c ) is cheaper.
  • a continuous Ru film of 1 nm can be formed using the method of forming Ru film in an embodiment of the present invention, whereas achieving a continuous film with a very small thickness of say, 1 nm or so, has been difficult using conventional methods.
  • the obtained thin Ru film not only has wet chemical resistance, but it also prevents oxygen gas from permeating through it in vacuum and therefore protects the material in the under layer even in a dry atmosphere.
  • this Ru film is used in fine Cu wiring, the underlying surface is not damaged in atmosphere or vacuum or when exposed to wet chemicals, if a Ru film of 1 nm is formed on top of a barrier metal of WNC, WN, TaN, TaNC, etc., used to prevent copper diffusion. This improves the repeatability and stability of processes that are sensitive to the surface condition, such as the CMP process (chemical mechanical polishing), which contributes to the formation of fine wiring.
  • CMP process chemical mechanical polishing
  • a Ru film or RuO 2 film is formed as an electrode
  • a Ru film can be formed on the surface of the underlying contact metal according to an embodiment of the present invention, after which an appropriate process can be implemented in an oxidizing atmosphere to form a Ru film or Ru/RuOx film without oxidizing the surface of the TiN film, polycrystal silicon, etc., constituting the underlying contact wiring.
  • the embodiments of the present invention solve the problem of oxidization associated with conventional methods, and can be applied to Ru films very effectively.
  • a method of forming Ru film and a Ru film formed by said method characterized by, in the case of a method of forming Ru film, comprising a step to supply onto a substrate a Ru material gas having a Ru(XaXb) molecular structure wherein at least one of Xa or Xb is a non-cyclic pentadienyl, and a step to treat the substrate using a reducing gas excited by high-frequency waves.

Abstract

A method of depositing a ruthenium(Ru) thin film on a substrate in a reaction chamber, includes: (i) supplying a gas of a ruthenium precursor into the reaction chamber so that the gas of the ruthenium precursor is adsorbed onto the substrate, wherein the ruthenium precursor a ruthenium complex contains a non-cyclic dienyl; (ii) supplying an excited reducing gas into the reaction chamber to activate the ruthenium precursor adsorbed onto the substrate; and (iii) repeating steps (i) and (ii), thereby forming a ruthenium thin film on the substrate.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This is a divisional application of U.S. patent application Ser. No. 11/469,828, filed Sep. 1, 2006, the entire contents of which are incorporated herein by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention generally relates to a method of forming ruthenium (Ru) films for metal wring structures that can be used favorably in producing fine semiconductor devices.
  • 2. Description of the Related Art
  • Ru films are drawing the attention because by forming a Ru film at the interface of Cu and barrier metal in a Cu wiring structure, which is the mainstream wiring structure used with high-speed logic devices such as MPUs, the Ru film can provide improved adhesion with Cu and thus significantly improve the reliability of wiring. Methods to form a Ru film on a TaN film or WN film, which is a Cu diffusion barrier metal, and then form a Cu film on top of the Ru film, are being examined (refer to C-C Yong et al., IITC 2006, pp. 187-189, “Physical, Electrical, and Reliability Characterization of Ru for Cu Interconnects” for an example of such method on a Ru/TaN combination). Specifically, application of a Cu liner consisting of a layered structure of Ru/TaN, etc., is examined.
  • A Cu liner film, such as Ru/TaN, which is being examined for use as a Cu wiring liner, tends to produce higher Cu wiring resistance if the film is thicker, because a thicker film results in a smaller Cu wiring volume. This creates a need to make the film as thin as possible. In a layered structure consisting of a copper-diffusion barrier film and a Ru film, however, making the Ru film thinner virtually prevents formation of a continuous film, resulting in the barrier film being exposed partially. As a result, an interface of Cu wiring and barrier film is produced, which can cause problems. If the Ru film is made thicker to form a continuous film, on the other hand, the Cu wiring resistance increases. In other words, formation of a thin, continuous Ru film is desired. Also, when forming a Ru film on a copper-diffusion barrier film such as a TaN film, TaNC film, etc., the Ru film is required to be formed in a reducing atmosphere to prevent the aforementioned barrier film from being oxidized.
  • According to US2006/0177601A, a Ru film can be formed in a reducing atmosphere by means of a step to supply a Ru material that contains a ligand containing a cyclopentadienyl group, and a treatment step using NH3 gas activated by high-frequency waves.
  • SUMMARY OF THE INVENTION
  • Although a WNC film is an excellent copper-diffusion barrier film, forming a Ru/WNC layered structure makes the Ru film thin, thus making the film virtually non-continuous and therefore causing the WNC film to become partially exposed easily. The inventors utilized the process disclosed in US2006/0177601A to form a 3-nm Ru film on a WNC film, after which a copper seed layer was formed along with a plating layer, followed by a CMP process over the wiring. As a result, the underlying WNC film was etched because the Ru film was not continuous, and the Ru film peeled off. A Ru film does not easily become a continuous film unless the film has a certain thickness (approx. 3 to 4 nm).
  • In an embodiment, the present invention provides a method of depositing a ruthenium(Ru) thin film on a substrate in a reaction chamber, comprising: (i) supplying a gas of a ruthenium precursor into the reaction chamber so that the gas of the ruthenium precursor is adsorbed onto the substrate, said ruthenium precursor a ruthenium complex containing a non-cyclic dienyl; (ii) supplying an excited reducing gas into the reaction chamber to activate the ruthenium precursor adsorbed onto the substrate; and (iii) repeating steps (i) and (ii), thereby forming a ruthenium thin film on the substrate.
  • The above embodiment includes, but is not limited to, the following embodiments:
  • In embodiments, the ruthenium complex may have a structure of Xa-Ru—Xb, wherein at least one of Xa or Xb is a non-cyclic dienyl. The non-cyclic dienyl may be a non-cyclic pentadienyl. The non-cyclic pentadienyl may have at least one side chain of C1-2 alkyl. The non-cyclic pentadienyl may have two side chains of methyl.
  • In embodiments, Xa may be a non-cyclic dienyl, and Xb may be a cyclic dienyl. Xa may be a non-cyclic pentadienyl and Xb may be a cyclopentadienyl.
  • In other embodiments, Xa and Xb may be both non-cyclic pentadienyls.
  • In embodiments, the excited reducing gas may be generated by applying radio-frequency power to a reducing gas. The reducing gas may be ammonia, hydrogen, or a mixture of nitrogen and hydrogen. The excited reducing gas may be an ammonia or hydrogen plasma.
  • In embodiments, the method may further comprise purging the ruthenium precursor gas from the reaction chamber after step (i) and purging the excited reducing gas from the reaction chamber after step (ii).
  • In embodiments, steps (i) and (ii) may be repeated to form the ruthenium thin film having a thickness of no less than 0.5 nm but no more than 2.0 nm. Steps (i) and (ii) may also be repeated to form the ruthenium thin film having a thickness of no less than 0.5 nm but no more than 2.0 nm. Steps (i) and (ii) may still be repeated 50 times to 150 times.
  • In embodiments, the method may further comprise providing a metal film before steps (i)-(iii) begin wherein the ruthenium thin film is formed on the metal film. The metal film may be selected from the group consisting of WNC, WN, TaN, Ta, TaNC, TiN, Ti, Cu, Al, Co, and Ni.
  • In embodiments, in step (i), the gas of the ruthenium precursor may be a first gas, and step (i) may further comprise supplying a second gas of another ruthenium precursor into the reaction chamber simultaneously with the first gas.
  • In other embodiments, the method may further comprise after repeating steps (i) and (ii) a give number of times: (iv) supplying a second gas of another ruthenium precursor into the reaction chamber so that the second gas of the other ruthenium precursor is adsorbed onto the substrate; (v) supplying an excited reducing gas into the reaction chamber to activate the other ruthenium precursor adsorbed onto the substrate; and (vi) repeating steps (iv) and (v), thereby forming a ruthenium thin film on the substrate.
  • In embodiments, the method may further comprise after step (iii) forming a ruthenium thin film or ruthenium oxide thin film on the ruthenium thin film by CVD.
  • In embodiments, the method may further comprise after step (iii) forming a copper film on the ruthenium thin film. The copper film may be formed by supplying a gas of a copper precursor on the substrate, which is produced by CVD or ALD.
  • In another embodiment, the present invention provides a ruthenium thin film-formed structure comprising: a substrate; a ruthenium thin film formed on the substrate, which is continuous without pinholes and has a thickness of no less than 0.5 nm but no more than 2.0 nm.
  • All of the aforesaid embodiments can be employed in any combination.
  • The above embodiment further includes, but is not limited to, the following embodiments.
  • The ruthenium thin film may be formed with a ruthenium complex containing a non-cyclic dienyl. The substrate may have a metal film, and the ruthenium thin film may be formed on the metal film. The metal film may be selected from the group consisting of WNC, WN, TaN, Ta, TaNC, TiN, Ti, Cu, Al, Co, and Ni. The ruthenium thin film-formed structure may further comprise a copper film on the ruthenium thin film.
  • All of the aforesaid embodiments can be employed in any combination.
  • For purposes of summarizing the invention and the advantages achieved over the related art, certain objects and advantages of the invention have been described above. Of course, it is to be understood that not necessarily all such objects or advantages may be achieved in accordance with any particular embodiment of the invention. Thus, for example, those skilled in the art will recognize that the invention may be embodied or carried out in a manner that achieves or optimizes one advantage or group of advantages as taught herein without necessarily achieving other objects or advantages as may be taught or suggested herein.
  • Further aspects, features and advantages of this invention will become apparent from the detailed description of the preferred embodiments which follow.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • These and other features of this invention will now be described with reference to the drawings of preferred embodiments which are intended to illustrate and not to limit the invention.
  • FIG. 1( a) is a diagram illustrating a process that is repeated to form a Ru film, implemented in an embodiment of the present invention.
  • FIG. 1( b) is a diagram illustrating a process that is repeated to form a Ru film on a metal film, implemented in an embodiment of the present invention.
  • FIG. 2( a) is a chemical formula of a Ru precursor that can be used in an embodiment of the present invention.
  • FIG. 2( b) is a chemical formula of a Ru precursor that can be used in an embodiment of the present invention.
  • FIG. 2( c) is a chemical formula of a Ru precursor used in a prior art.
  • FIG. 3 is a schematic diagram illustrating a thin-film forming apparatus that can be used to implement the present invention.
  • FIG. 4( a) and FIG. 4( b) are schematic diagrams illustrating a method to evaluate the continuity of a Ru film, where the Ru film shown in FIG. 4( a) is non-continuous, while the Ru film shown in FIG. 4( b) is continuous.
  • FIG. 5 is a graph showing one example of the dependency of Ru growth speed on number of cycles, when the Ru material shown in FIG. 2( a), (b) or (c) is used.
  • FIG. 6 is a graph showing one example of the relationship of the film forming cycles with the Ru film formed in FIG. 5, and the amount of W detected from the underlying layer, when the method illustrated in FIG. 4 is used.
  • FIGS. 7( a), (b), (c), (d), (e) and (f) provide a schematic diagram illustrating a process flow ((a)→(b)→(c)→(d)→(e)→(f)) of applying a Ru-film forming process conforming to an embodiment of the present invention to a dual-damascene Cu wiring process.
  • FIG. 8( a) is a diagram illustrating the dual-damascene Cu wiring process (pre-treatment→WNxCy film→Ru film forming) shown in FIG. 7 as used in an embodiment of the present invention, while FIG. 8( b) is a structural diagram illustrating one example of a vacuum-cycle cluster apparatus used to implement the aforementioned process.
  • FIG. 9( a) is a schematic diagram illustrating one example of the structure of a reaction apparatus used to implement, in an embodiment of the present invention, the process comprising a step to form a Ru film on WNC, and a step to form a Ru film in an oxidizing atmosphere by means of CVD.
  • FIG. 9( b) is a schematic diagram illustrating one example of a gas dispersion nozzle that can be used in the apparatus shown in FIG. 9( a).
  • FIG. 10 is a schematic diagram illustrating a cross-section structure of the capacitor electrode described in Example 3.
  • FIG. 11 is a graph showing the dependency of sheet resistance on number of cycles for ALD-Ru film in an embodiment of the present invention, wherein the sheet resistance pertains to a layered sheet obtained by forming an ALD-WNC film by ALD using WF6, NH3 or TEB gas, forming on top of this ALD-WNC film an ALD-Ru film formed by ALD using a Ru material and NH3 gas plasma, and then layering on top a Ru-CVD film formed by CVD using the same Ru material and oxygen.
  • FIG. 12( a) is a schematic diagram illustrating one example of the structure of a forming apparatus that can be used in an embodiment of the present invention, wherein the material used to form an ALD-Ru film is different from the material used to form a Ru film by CVD.
  • FIG. 12( b) is a schematic diagram illustrating one example of a gas dispersion nozzle that can be used in the apparatus shown in FIG. 12( a).
  • DESCRIPTION OF THE SYMBOLS
      • 1: Chamber
      • 2: Upper lid
      • 3: Dispersion plate
      • 4: Exhaust duct
      • 5: Lower chamber
      • 6: Substrate transfer gate
      • 7: Exhaust port
      • 8: Substrate heater
      • 9: Substrate-heater up/down bellows
      • 10: Gas introduction pipe
      • 11: Gas introduction part
      • 12: Radical source
      • 13: Gas dispersion guide
      • 14: Space between the gas dispersion part 13 and the dispersion plate 3
      • 15: Substrate
      • 16: Radical-source connection valve
      • 17: Slit continuing from the gas dispersion guide to the exhaust port
      • 18: Space continuing to the exhaust port
      • 19: Connection flange for exhaust
      • 20: Exhaust valve continuing into the showerhead
      • 21: Gas discharge port provided on the dispersion plate 3
      • 22: Space between the dispersion plate 3 and the substrate
      • 23: Ring slit
      • 24: Exhaust pipe continuing to the ring slit
      • 25: High-frequency wave introduction terminal
      • 26: Pressure control part
      • 27: Molecular-pump gate valve
      • 28: Evacuation gate valve
      • 29: Molecular pump
      • 30: Dry pump
      • 31: Bellows-purge gas introduction valve
      • 201: Lower-layer copper wiring
      • 202: Copper-diffusion prevention layer
      • 203: Interlayer insulation film 1
      • 204: Etching stopper layer
      • 205: Interlayer insulation film 2
      • 206: Copper-diffusion prevention film
      • 207: Via
      • 208: Trench
      • 209: WNxCy film
      • 210: Ru-ALD film
      • 211: Cu seed film
      • 212: Copper wiring
      • 300: Silicon-substrate introduction port
      • 301: Silicon-substrate transfer unit
      • 302: Load lock chamber
      • 303: Vacuum transfer chamber
      • 304: Pre-cleaning module
      • 305: WNxCy forming module
      • 306: Ru-ALD forming module
      • 101: Chamber
      • 102: Gate valve
      • 103: Exhaust duct
      • 104: Shower plate
      • 105: Gas introduction port to the lower gas dispersion chamber
      • 106: Gas discharge port from the lower gas dispersion chamber
      • 107: Lower gas dispersion chamber
      • 108: Gas guide for the upper gas dispersion chamber
      • 109: Exhaust valve for the upper gas dispersion chamber
      • 110: Center gas pipe for mixing gases
      • 111: Gas dispersion plate
      • 112: Gas discharge port from the upper gas dispersion chamber
      • 113: Upper lid plate
      • 114: Substrate-heating table up/down bellows
      • 115: Substrate
      • 120: Ru material-gas purge valve
      • 121: Ru material-gas introduction valve
      • 122: Gas for purging the center gas pipe for mixing gases
      • 123: Material-gas (NH3 or O2) purge gas valve
      • 124: Material-gas (NH3 or O2) introduction valve
      • 125: Exhaust-side main valve
      • 126: Pressure control part
      • 127: Molecular-pump gate valve
      • 128: Evacuation gate valve
      • 129: Molecular pump
      • 130: Dry pump
      • 131: Bellows-purge gas introduction valve
      • 132: Exhaust valve continuing to the dispersion chamber 7
      • 133: Carrier-gas introduction valve to the Ru (Precursor A) material container
      • 134: Ru-material supply valve from the Ru (Precursor A) material container
      • 135: Ru (Precursor A) material container
      • 136: Carrier-gas introduction valve to the Ru (Precursor C) material container
      • 137: Ru-material supply valve to the Ru (Precursor C) material container
      • 138: Ru (Precursor C) material container
    DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • The present invention will be explained with reference to preferred embodiments and drawings. However, the preferred embodiments and drawings are not intended to limit the present invention.
  • An embodiment of the present invention is characterized by a method of forming Ru film, comprising a step to supply onto a substrate a Ru material gas selected from molecules having a Ru(XaXb) structure, wherein at least one of Xa or Xb is a non-cyclic dienyl, and a step to treat the substrate using a reducing gas excited by high-frequency waves. This embodiment is also characterized by a Ru film formed by means of the aforementioned forming method. A non-cyclic dienyl (also referred to as “linear dienyl”) may have a 5-member, 6-member, 7-member or 8-member chain, among others, but in an embodiment a 5-member non-cyclic pentadienyl can be used favorably. This non-cyclic dienyl may have a side chain with a carbon number of 1 or above (preferably 2 or less) bonded to it.
  • In an embodiment, the aforementioned pentadienyl group in the Ru material having a Ru(XaXb) molecular structure wherein at least one of Xa or Xb is a non-cyclic pentadienyl (also simply referred to as “pentadienyl”) may have a side chain with a carbon number of 1 or above bonded to it. The materials shown in FIGS. 2( a) and (b) both have a two-methyl-group side chain attached to the pentadienyl group. In addition to this side chain, the ethyl or butyl group may also be bonded, for example. Preferably, any hydrocarbon side chain bonded to the pentadienyl group has a carbon number of 2 or less. Also, the number of bonded side chains is 1 to 4, or preferably 2 or less. A pentadienyl group structure without side chain is also acceptable.
  • In an embodiment, the pentadienyl is either 1,3-pentadienyl or 1,4-pentadienyl.
  • In US2006/0177601A1, the structure is limited to one having a cyclopentadienyl group only, and use of any other Ru compound is prohibited. This is because the cyclopentadienyl group is chemically very stable and easy to handle. The inventor found that it is difficult to form a continuous film with a very small thickness in some cases (such as when the film is formed on a WNC film) using a Ru material having a cyclic cyclopentadienyl (such as cyclopentadienyl (Cp), methylcyclopentadienyl (MeCp), ethylcyclopentadienyl (EtCp) or isopropylcyclopentadienyl (i-PrCp)). In an embodiment of the present invention, however, a very thin (1 nm or less) but continuous film can be formed using a Ru compound having a non-cyclic dienyl group. It is an astonishing discovery that combining such Ru material with reducing NH3 plasma allows for formation of a dramatically thin continuous film. For example, while using a Ru material having a cyclopentadienyl only requires a thickness of 3 to 4 nm in order to form a continuous film, in an embodiment use of a Ru material having a pentadienyl forms a continuous film with a thickness of only around 0.6 nm. This technology to form a continuous thin Ru film has significant impact on resistance reduction and reliability improvement of wiring to help create finer copper wiring for future semiconductor devices, and combination of the aforementioned material with NH3 plasma allows for dramatic quality improvement of semiconductor devices. Cyclopentadienyl complexes are easy to synthesize, and thus affordable, and they are also structurally stable. These features make cyclopentadienyl complexes suitable for industrial production applications. Although they can form a favorable ALD (atomic layer deposition) film in general, problems occur if the film thickness is reduced further.
  • FIG. 1( a) shows the basic process in an embodiment. First, a Ru material gas is supplied onto a substrate. At this time, the Ru material is heated to a temperature range of approx. 80 to 120° C. (including 90° C., 100° C., 110° C. and other temperatures between the foregoing), and the generated vapor of the Ru material is introduced into a reaction apparatus by means of an inactive gas (such as Ar). The flow rate of the inactive gas may be in a range of approx. 100 to 700 sccm (including 200 sccm, 300 sccm, 400 sccm, 500 sccm, 600 sccm and other flow rates between the foregoing, or preferably between 300 and 500 sccm). To achieve an optimal vapor pressure of the Ru material, the vapor pressure may be adjusted desirably to a range of approx. 0.1 to 2 torr (including 0.5 torr, 1.0 torr, 1.5 torr and other pressures between the foregoing). In this embodiment, the flow rate of vaporized Ru refers to the flow rate of the carrier gas (inactive gas) containing Ru, which is used to carry the Ru material vapor produced by vaporizing the material at the above vapor pressure. Also, the supply pipe may be heated desirably to a temperature of 130° C. or above, such as 150° C. or so, to prevent the vaporized material from liquefying. Take note that the ALD process is a self-saturating process, and an ALD film can be formed on a substrate under the above conditions.
  • Next, in an embodiment the above gas is purged (using an inactive gas at a flow rate of 1,000 to 3,000 sccm), after which a reducing gas is excited using high-frequency waves to treat the Ru film surface. As the conditions to do this, NH3 gas can be supplied at a flow rate in a range of 200 to 700 sccm (or preferably 300 to 500 sccm), at a high-frequency output in a range of 200 to 1,000 W (including 300 W, 500 W, 700 W and other outputs between the foregoing) based on high-frequency waves of 13.56 kHz. As for the inactive gas, desirably Ar may be supplied desirably at a flow rate in a range of 300 to 2,000 sccm (or preferably 500 to 1,200 sccm). The pressure condition can be adjusted to an optimal level between 1 and 3 torr. In an embodiment, the aforementioned reducing gas may be NH3, H2, a mixture of N2 and H2, or a mixture gas containing any of the foregoing.
  • In an embodiment, a step to supply the aforementioned Ru material gas to a substrate, and a step to treat the aforementioned substrate using a reducing gas excited by high-frequency waves, are repeated to form a Ru film with a thickness of no less than 0.5 nm but no more than 2.0 nm, or preferably no less than 0.7 nm but no more than 1.2 nm.
  • In an embodiment, a step to supply the aforementioned Ru material gas to a substrate, and a step to treat the top surface of the aforementioned substrate using a gas containing at least NH3 or H2 excited by high-frequency waves, are repeated for no less than 50 cycles but no more than 150 cycles, or preferably no less than 75 cycles but no more than 100 cycles, to form the aforementioned Ru film. In other words, a film with a thickness of no less than 0.5 nm but no more than 2.0 nm can be formed by repeating the above steps for no less than 50 cycles but no more than 150 cycles.
  • In an embodiment, the aforementioned Ru film is formed on a metal film, as described in FIG. 1( b). The aforementioned metal film may be made of WNC, WN, TaN, Ta, TaNC, TiN, Ti, Cu, Al, Co or Ni.
  • Next, in an embodiment of the present invention a Ru material gas different from the aforementioned Ru material gas can be supplied simultaneously onto a substrate. This different material may have the material shown in FIG. 2( c) (i.e., (Ru(EtCp)2) mixed with it. The mixing ratio may be such that the different material accounts for approx. 50 to 95% (by flow rate). In other words, in an embodiment a Ru complex containing at least one non-cyclic dienyl group may be used for 5% or more (including 10%, 30%, 50%, 80%, 100% and other percentages between the foregoing), with a different material (particularly a Ru complex containing only a cyclic dienyl group) accounting for the remainder. For example, the aforementioned different material may be used for 50% or more. One advantage of supplying different materials simultaneously is that while a material containing a pentadienyl group can be easily broken down with NH3 plasma to form a Ru core, once a Ru core has been formed the adsorption of Ru(EtCp)2 is promoted and thus Ru formation can be promoted with the different material alone. There is also an economic advantage, in that the use of Ru(EtCp)2 that can be produced inexpensively allows for formation of a Ru film at lower cost.
  • In an embodiment, a step to supply the aforementioned Ru material gas (one cycle of this step consists of supply of the Ru material, purge, NH3 plasma treatment, and purge) is repeated for a specified number of cycles (such as 10 cycles, 20 cycles, 30 cycles, 40 cycles, 50 cycles and other cycles between the foregoing), after which a step to supply a different Ru material gas (such as a Ru complex containing only a cyclic dienyl group like Ru(EtCp)2) (one cycle of this step consists of supply of the different Ru material, purge, NH3 plasma treatment, and purge) is repeated for a specified number of cycles (such as the remaining 90 cycles, 80 cycles, 70 cycles, 60 cycles, 50 cycles and other cycles between the foregoing, if a total of 100 cycles are to be repeated together with the preceding step). In this case, advantages similar to those described above can also be achieved.
  • In an embodiment, a Ru film or Ru oxide film may be layered over the aforementioned Ru film already formed, via chemical vapor deposition (CVD) using an arbitrary Ru material and oxygen gas. Whether a Ru film or Ru oxide film is formed by CVD depends on the partial pressure of oxygen, where a low partial oxygen pressure forms a Ru film, while a high partial oxygen pressure forms a RuOx film. Here, either a Ru film or RuOx film may be formed in accordance with the purpose. However, the underlying barrier film is not oxidized due to the presence underneath of the Ru film formed by plasma ALD. One drawback of plasma atomic layer deposition, or atomic layer deposition, is that a slow growth process reduces productivity when a thick film is formed. On the other hand, chemical vapor deposition promotes quick growth and therefore permits formation of a thick film over a short period of time. In other words, a Ru film can be formed efficiently by forming an underlying Ru film of approx. 1 to 2 nm via plasma ALD, and then forming a thicker film in a chemical vapor deposition process. For example, it would be effective to form a Ru film or RuOx film of approx. 10 to 20 nm by CVD. Although the film growth speed with CVD is 10 to 100 times the film growth speed attained with ALD, CVD needs oxygen gas and also requires an ALD Ru film to be formed underneath using a reducing gas.
  • In an embodiment of the aforementioned CVD, oxygen gas is supplied at a flow rate of 20 to 100 sccm, a Ru material is heated to a temperature range of 80 to 100° C., and an inactive gas (such as Ar gas) is supplied at a flow rate of approx. 300 to 500 sccm into the reaction apparatus. Ar gas can also be supplied at a flow rate of 900 to 1,200 sccm. The substrate may be kept desirably at a temperature in a range of 300 to 400° C., to form a film under a pressure of 1 to 3 torr.
  • In an embodiment, a copper film may be formed on the aforementioned Ru film. The copper film can be formed on the aforementioned Ru film by means of a chemical vapor deposition or atomic layer deposition method, by supplying a copper material molecule into vacuum in gaseous form. This copper film can be formed using Cu(hfac)(tmvs) ((trimethylvinylsilyl)(hexafluoroacetylacetonat)) as the material, and by adjusting the substrate temperature to a range of 90 to 200° C. An inactive gas may be introduced as a carrier gas at a flow rate of 300 to 500 sccm. Cu(hfac)(tmvs) may be supplied desirably at a speed of approx. 50 to 200 mg/min. Vaporization can be caused using a general vaporizer at a temperature in a range of approx. 60 to 80° C., and the vaporized copper material is supplied to the reaction apparatus. The film forming pressure may be adjusted desirably to a range of 1 to 2 torr.
  • In the present disclosure where conditions and/or structures are not specified, the skilled artisan in the art can readily provide such conditions and/or structures, in view of the present disclosure, as a matter of routine experimentation. For the purposes, the disclosure of U.S. Publication No. 2006/0177601A1 is incorporated herein by reference in its entirety. Also, the disclosure of U.S. patent application Ser. No. 11/367,177 owned by the same assignee as in the present application is incorporated herein by reference in its entirety.
  • The present invention will be explained in detail with reference to the drawings. However, the drawings are not intended to limit the present invention.
  • As explained above, in an embodiment of the present invention a Ru-film forming process is implemented in a reducing atmosphere so that a Ru film can be formed on a barrier metal such as TaN or WNC, which acts as a Cu diffusion barrier, without oxidizing this barrier metal. As shown in FIG. 1( a), a Ru film can be formed in a reducing atmosphere by repeating a step to introduce a Ru precursor to the substrate surface; a step to purge the unnecessary Ru precursor; a step to treat the Ru precursor adsorbed to the substrate surface using a plasma gas generated by applying high-frequency waves to a reducing gas containing at least NH3 or H2, etc.; and a step to purge the reducing gas. This way, a Ru film can be formed without oxidizing the top surface of the Cu diffusion barrier made of TaN or WNC.
  • FIG. 1( b) shows a sequence of forming a Ru film on a metal film made of WNC or TaN, using a similar method. Evaluation focusing on the continuity of the Ru film on the metal film such as a WNC film, etc., finds that the film thickness needed to form a continuous film changes significantly in accordance with the structure of the Ru material molecule used to form a Ru film.
  • FIG. 2( a) shows one example of a Ru precursor that can be used in an embodiment of the present invention. This precursor has one pentadienyl group and one cyclopentadienyl group attached to Ru. In FIG. 2( b), two pentadienyl groups are attached to Ru. Using these Ru precursors, a thin but continuous film can be formed easily by, for example, repeating the Ru-material supply step and NH3-plasma treatment step shown in FIGS. 1( a) and (b). If the Ru precursor having two cyclopentadienyl groups attached to Ru, as shown in FIG. 2( c), is used, on the other hand, formation of a continuous film requires a thicker film than when the Ru precursors in FIGS. 2( a) and (b) are used. It is assumed that this is because with the Ru precursors shown in FIGS. 2( a) and (b), the adsorbed pentadienyl group of the Ru material easily becomes unstable on the substrate surface and promotes adsorption of the Ru material molecule. Also in the next step, introduction of NH3 plasma causes the pentadienyl group to detach easily, and in the case of the Ru precursor in FIG. 2( b) the other group attached to the Ru material also becomes unstable and detaches. On the other hand, the Ru material having two cyclopentadienyl groups remains stable on the substrate and thus the pentadienyl groups detach slowly from the Ru material even when NH3 plasma is introduced in the next step. This creates areas where the pentadienyl group is partially absorbed, detached or not yet detached, which ultimately makes it difficult for a smooth Ru film to form. Therefore, it was found that a continuous Ru film with a thickness of only approx. 1 nm could be formed by repeating a step to introduce a Ru material molecule having at least one pentadienyl group, and a NH3 or H2-plasma treatment step. With the precursor shown in FIG. 2( c), it was difficult to form a continuous film unless the thickness was 3 nm to 4 nm.
  • FIG. 3 shows one example of a thin-film forming apparatus that can be used to implement the present invention. This thin-film forming apparatus allows a semiconductor substrate, which is a processing target, to be transferred to a reaction chamber 1 from a vacuum transfer chamber (not illustrated), and a thin-film forming process can be implemented in this reaction chamber 1. This reaction chamber comprises an upper lid 2, dispersion plate 3, exhaust duct 4, lower chamber 5, substrate transfer gate 6, exhaust port 7, substrate heater 8, and substrate-heater up/down bellows 9. After having been introduced into the reaction chamber 1, the semiconductor substrate is placed on the substrate heater and the substrate heater can be moved upward to obtain an optimal distance between the dispersion plate 3 and the substrate.
  • Also, the upper lid is connected to a gas introduction pipe 10 and gas introduction part 11. A reactive gas is connected to the pipe 10, and a nitrogen gas or inactive gas for purging the reactive gas is also connected. The pipe 10 is also connected to a radical source 12 via a gate valve 11, and various types of radical gases generated in the radical source 12 can be introduced by opening the gate valve 11. The gas introduction part 11 connects to a gas dispersion part 13, and the gas introduced from the gas introduction part diffuses and disperses in the gas dispersion part 13. The gas introduction part 11 may also have a dispersion structure that allows a gas to be introduced in a dispersed manner into the gas dispersion part 13. The gas diffused in the dispersion part 13 reaches a space 14 between the dispersion part 13 and the dispersion plate 2. A slit-type exhaust port 17 is formed between the tip of the gas dispersion part 13 and the dispersion plate 2, and this slit is provided in a circular form at the tip of the dispersion part 13. Numeral 18 is a space continuing to this exhaust slit 17, and this space 18 is formed by the outer wall of the dispersion part 13 and the upper lid 2, and continues to the space surrounding the gas introduction part 11.
  • Formed on the upper lid is a flange connection port for exhaust 19 that continues to this space 18, and also to an exhaust valve 20. On the other hand, the gas that has passed the gas dispersion part 13, the space 14, and a gas discharge port 21 provided on the dispersion plate 2 to finally reach a space 22 between the substrate-heating table 8 and the dispersion plate 2, further travels to reach the surface of a substrate 15, and then is discharged through a ring slit 23 formed in the exhaust duct 4 and out of an exhaust pipe 24 continuing from the slit. High-frequency electrodes are introduced to the dispersion plate 3 through 25 to generate plasma between the dispersion plate 3 and the substrate-heating table 8.
  • FIGS. 4( a) and (b) show how to evaluate the continuity of a Ru film. FIG. 4( a) applies to a case where the Ru film is non-continuous, while FIG. 4( b) applies to a case where the Ru film is continuous. A WNC film 402 is formed on a SiO2 film 403, and then a Ru film 401 or 401′ is formed, after which the obtained sample is soaked in a wet-etching solution 404 (a mixed acid consisting of hydrochloric acid and hydrogen peroxide) that can dissolve the WNC film, to measure and quantify via ICP mass spectrometry the amount of tungsten (W) 405 eluted from the WNC film into the wet-etching solution. The schematic diagram in (a) shows a condition of how the WNC film is etched by pinholes when the Ru film 401 is not continuous. In (b), on the other hand, the Ru film 401′ is continuous if the core density is high, in which case the wet-etching solution cannot reach the WNC film and thus no tungsten atoms eluted from the WNC film are detected in the etching solution. While wet-etching solution is a mixed acid consisting of HCl, H2O2 and H2O mixed at 1:1:20 that etches WNC films to cause elution, this solution does not etch Ru films. Therefore, if the Ru film is not continuous, the underlying WNC film is etched and its constituents are eluted. Accordingly, the amount of W can be detected by measuring the etching solution via ICP mass spectrometry.
  • FIG. 5 shows the dependence of Ru growth speed on number of cycles when the Ru material shown in FIG. 2( a), (b) or (c) was used. The film forming conditions are explained in Example 2 later. As for the number of cycles, the step shown in Table 2 under Example 2 was defined as constituting one cycle, and how many times this cycle is repeated was counted. The incubation cycles (corresponding to the thickness zero point obtained by extrapolating the proportional relationship of thickness and number of cycles) for the Ru materials in FIGS. 2( a), (b) and (c) were 37 cycles, 30 cycles and 54 cycles, respectively.
  • FIG. 6 shows the relationship of the number of cycles for the Ru film formed in FIG. 5, and the detected amount of W, as obtained using the method illustrated in FIG. 4. Here, the collection rate indicates the percentage of the actual amount of W atoms detected, to the total W atoms in the WNC film beneath the Ru film by assuming that all W atoms have eluted into the etching solution. “100%” indicates that all were etched, while “1%” indicates that an amount of W corresponding to 1% of total W atoms has been detected. With the Ru precursors in FIGS. 2( a) and (b), a nearly perfect continuous film was formed after 50 cycles. With the Ru precursor in FIG. 2( c), on the other hand, a continuous film was not formed for 200 cycles.
  • FIG. 7 shows a process flow where the aforementioned process is applied to a dual-damascene Cu wiring process.
  • FIGS. 7( a) through 7(d) are schematic cross-section drawings showing a wiring structure of a semiconductor element, presented to explain a process of forming a dual-damascene copper wiring structure conforming to one embodiment of the present invention. Specifically, these diagrams explain a process of lining the entire surface of trenches and contact vias in a dual damascene structure with a metal barrier film using the ALD method, followed by the formation of a Ru film and a copper layer.
  • FIG. 7( a) illustrates a dual damascene structure prior to the formation of metal barrier layer. A dielectric diffusion barrier 202 is formed on a conductive wiring layer 201, and a bottom insulation layer 203 is formed on top of the dielectric diffusion barrier 202, while an etching stop layer 204 is formed on the bottom insulation layer 203. A top insulation layer 205 is formed on top of the etching stop layer 204. The etching stop layer 204 is used to form trenches 208 of a desired wiring pattern. The trenches 208 are etched on an etching mask layer level, and formed between two insulation layers 205, 203. The etching stop layer 204 is patterned and etched prior to the formation of the top insulation layer 205, and constitutes a hard mask that clearly defines a desired horizontal dimension of contact vias extending from the bottom of trenches 208. In the area where the etching stop layer 204 comprising hard mask is etched off, contact vias 207 connecting from the bottom of trenches 208 to the lower conductive wiring layer 201 are opened. Numeral 206 indicates a layer where chemical mechanical polishing is stopped in the smoothing step.
  • FIG. 7( b) illustrates the pre-treatment process in one embodiment of the present invention. This process comprises removing the oxide formed on the copper wiring surface at the bottom of contact vias 207, and pre-treating the surface of interlayer insulation films 203, 205 exposed in the damascene structure (for example, by introducing H2/He gas for 30 seconds at a RF output of 800 W, and then introducing H2/H2/N2 mixture gas for 60 seconds at a RF output of 300 W). This terminates the surface of interlayer insulation films in the damascene structure with —NH and —NH2 bonds. This termination is difficult to achieve only through simple heat treatment using NH3 gas. When NH3 is activated using high-frequency waves, however, the surface can be terminated using NH3 and NH2 bonds just like when plasma-activated H2/H2/N2 mixture gas is used.
  • As for the amino groups to be introduced to the surface of SiO2, SiOC or SiO, etc., if the coordination number of N with respect to atoms on the surface is 1, N, which is a three-coordinate atom, bonds with an atom on the surface and a —NH2 terminal is formed on the surface. If the coordination number is 2, a >NH terminal is formed on the surface. In other words, the surface terminal structure desirable in one embodiment of the present invention is —NH2 or >NH. As explained below, TEB gas and other reducing gases are considered to be adsorbed in the form of substitution with H in this —NH2 bond or >NH bond as shown in FIG. 4, and therefore the presence of —NH2 or >NH is required on the surface. >NH occurs in the case of Si—NH—Si or SiONHOSi, for example. In FIG. 1 (b), “x” in —NH, represents 1 or 2.
  • In an embodiment, introduction of amino groups to the surface occurs not only on the surface of low dielectric constant film, but also on the surface of metal wiring layer at the bottom of vias, as shown in FIG. 7( b).
  • If a SiOC low dielectric constant film, which is to be used widely on next-generation devices, is adopted as the insulation films 205, 203 shown in FIG. 7( a), the carbon-containing side chains in the SiOC film, such as chains of methyl groups which are alkyl groups, are etched by high-frequency plasma with NH3 gas and consequently CH3, C2H5 and other alkyl groups in the SiOC film are lost. This sometimes causes the contact vias 207 to deform into a barrel shape. If damage to insulation films 205, 203 by high-frequency plasma is suspected, using high-frequency plasma with H2/He/N2 gas can reduce the negative effect on the SiOC film. In one embodiment, the partial pressure of nitrogen in H2/He/N2 is 5 to 50%, or more preferably 10 to 30%. As for the RF output frequency, it can be adjusted to 13.56 MHz (normally 2 MHz or above, but not exceeding 60 MHz). In addition to He, Ar and other inactive gases can also be used. In one embodiment, the process conditions may be set as follows:
  • In the above explanation, “plasma” refers to so-called parallel-plate plasma generated by high-frequency RF waves of 13.56 kHz, for example, applied between a showerhead and a heating stage on which a substrate is placed. In other words, a substrate is present in a plasma generation atmosphere. Therefore, this process is affected by active species with short life that are generated in plasma, such as ionic active species. Meanwhile, there is a method whereby plasma is generated in a place away from a substrate (using a remote plasma apparatus), and among the activated molecules the neutral molecules with long life are transported to the substrate and used in the surface treatment. This is called radical process. In other words, “radicals” refer to molecules in an electron-excited state compared to a normal (ground) state where electrons are stable. Although radicals are not ionic, they are activated and reactive. In one embodiment of the present invention, plasma and radical can be used interchangeably. Those skilled in the art should be able to determine appropriate radical generation conditions from the corresponding plasma generation conditions.
  • In the process explained above, amino groups are introduced to the surface via plasma. It is difficult to thermally introduce amino groups without using plasma. For example, introduction of amino groups is difficult to achieve only via supply of NH3. However, introduction of amino groups to the surface is possible without using plasma, if N2H2 gas (hydrazine), etc., is used. In one embodiment, the process conditions using hydrazine may be set as follows. The partial pressure of hydrazine with respect to the total flow rate is preferably between 10 and 50%.
  • In the step indicated by FIG. 7( c), TEB (triethyl boron) gas or other reducing gas is introduced and then purged with inactive gas, after which WF6 gas or other metal halide is introduced and then purged with inactive gas, after which NH3 gas or other halogen-substituting nitride gas is introduced and then purged with inactive gas. By repeating these introductions and purges, a smooth WNC film or other barrier film containing metal atoms (also called metal barrier film) 209 can be formed on the surface of a damascene structure. Barrier film is sometimes referred to as conductive film, but use of this term is limited to situations where difference from insulation films is emphasized. Barrier films are not always electrically conductive.
  • As for the reducing gas, B2H6, alkyl boron compound, SiH4, Si2H6 or alkyl silicon compound can be used instead of TEB. As for the metal halide, TaF6 or TiCl4 can be used instead of WF6. As a result, the barrier film containing metal atoms can be formed as a TaN, TaCN, WN, TiN or TiCN film instead of WNC film.
  • As mentioned earlier, the surface on which the above barrier film is formed is terminated with amino groups. By repeating the process of introducing reducing gas, metal halide, and then halogen-substituting nitride gas, a smooth, uniform barrier film can be formed. U.S. Pat. No. 6,759,325 discloses a method to cause WF6 to be adsorbed to the interior surface of trenches and vias that form a damascene wiring structure, and then reduce the surface using TEB or other reducing gas. However, introduction of a metal halide precursor may damage the interlayer insulation film or cause permeation into the film.
  • In an embodiment, the barrier film thickness is adjusted to a range of 1 to 5 nm, or preferably to a range of 2 to 4 nm.
  • In the step illustrated by FIG. 7( d), a second metal film 210, such as Ru film, is formed on top of the barrier film 209, such as a WNC film, using plasma ALD or other method. Here, the second metal film is formed on top of the metal barrier film that has been formed after pre-treatment. This film comprises Ru, Ta or other material offering good adhesion with the copper film used for wires, and acts as a so-called glue layer or adhesive layer. In other words, this film is sandwiched between the copper wiring and the conductive film functioning as a copper diffusion barrier and improves the adhesion between the two.
  • Ru-ALD can be formed by the plasma ALD method in which the compound described earlier and NH3 plasma are supplied alternately. Since a Ru film is formed in a reducing atmosphere, a laminated structure can be created without oxidizing the WNC film 209.
  • In an embodiment, the thickness of the second metal film is adjusted to a range of 1 to 10 nm, or preferably to a range of 1 to 3 nm.
  • FIG. 7( e) shows a step of seeding copper 211 to fill the via/trench with copper. In FIG. 7( f), an excess copper layer above the via/trench is removed by CMP, and the surface of the element is further planarized by CMP, so that the WNC film 209 and the Ru film 210 are removed from the top surface, thereby forming an interconnect copper line 212.
  • In the above, the 1st RF power may have a frequency of 13 MHz to 30 MHz, and the 2nd RF power may have a frequency of 300 kHz to 450 kHz. The 2nd RF power may be lower than the 1st RF power. According to the above conditions, a SiC film having a thickness of about 2 nm to about 10 nm, preferably about 2 nm to about 5 nm can be formed.
  • FIG. 8( b) is a structural drawing showing an example of processing apparatus usable in an embodiment of the present invention. This apparatus has a clustered structure to handle different process steps. FIG. 8( a) shows process flows conforming to an embodiment of the present invention. The steps enclosed by dotted lines are processed in the apparatus illustrated in FIG. 8( b).
  • In FIG. 8( a), for example, a substrate is transferred from a cassette box 300 to a load lock chamber 302 by means of an atmospheric pressure robot 301, after which the substrate is transferred to a pre-treatment module 304 by means of a center handler 303 provided on a center platform 303 to receive pre-treatment based on a plasma process using N2/H2/He gas. Then, the pre-treated substrate is transferred in vacuum to a WNC-ALD module 305 to receive WNC-ALD process, and then further transferred in vacuum to a Ru-ALD module 306 to form a Ru-ALD film. Since the surface of WNC film is easily oxidized upon contact with atmosphere, transferring substrates in vacuum, as explained above, is extremely effective in the formation of Ru-ALD film.
  • FIG. 9( a) shows one example of the structure of a reaction apparatus used to implement, in an embodiment of the present invention, the process comprising a step to form a Ru film on WNC according to the method proposed by the present invention, and a step to form a Ru film in an oxidizing atmosphere by means of CVD. This thin-film forming apparatus allows a semiconductor substrate, which is a processing target, to be transferred into a reaction chamber 101 from a vacuum transfer chamber (not illustrated) through a gate valve 102, and a thin-film forming process can be implemented in this reaction chamber 101. A transferred substrate 114 is placed on a heating table 102, and its surroundings can be evacuated through a valve 127 using a molecular pump 128 (TMP). Thereafter, the substrate-heating table 102 is raised by means of bellows 114 until an optimal distance is achieved from a shower plate. As a result, the reactive gas supplied from the shower plate is supplied to the surface of the substrate 114, and then discharged via an exhaust duct 103. At this time, a valve 131 can be opened to supply an inactive gas, in order to prevent the reactive gas supplied through a shower plate 104 from diffusing to the transfer side where the bellows 114 are located.
  • This reaction chamber comprises a layered structure where the exhaust duct 103, the shower plate 104 and an upper lid 113 are placed on top of each other, and a gas dispersion nozzle 111 (FIG. 9( b)) and a gas dispersion guide 108, which continue to a gas introduction pipe 110, are located between the shower plate 104 and the upper lid 113. In addition, an exhaust valve 109 for evacuating the dispersion part is connected along the gas dispersion guide. Also, a gas introduction part 105, gas introduction valve 124 and gas exhaust valve 132 are connected to the shower plate 104. An inactive-gas introduction valve 123 for purge is also connected. Furthermore, a gas introduction valve 121 and inactive-gas introduction valve 120 for purge are connected to the gas introduction pipe 110. A Ru material gas is introduced through the valve 121. Also, a valve 122 is formed to introduce an inactive gas at a high flow rate. The Ru material introduced to the gas introduction pipe 110 through the valve 121 is dispersed by the gas dispersion nozzle 111 and flows along the gas dispersion guide, after which it passes through a gas discharge hole 112 in the shower plate 104 and is supplied onto the substrate 114. On the other hand, the NH3 gas or O2 gas supplied through the gas valve 124 travels through the gas introduction part 105 provided in the shower plate 104 and diffuses into a gas dispersion chamber 107, and is then supplied onto the substrate 114 through a gas discharge hole 106. At this time, high-frequency waves are applied to the shower plate 104 to excite plasma between the grounded substrate-heating table 102 and the shower plate 104, to treat the surface of the substrate 106 by means of NH3 plasma. Also, the gas supplied onto the substrate 114 is discharged by a vacuum pump 130 via the exhaust duct 103 and through an exhaust valve 125 and a pressure control apparatus (APC) 126.
  • When the reactive gas is purged, an inactive gas is introduced through the gas valves 120 and 122, while the exhaust valve 109 is opened to discharge the remaining Ru material. At this time, although the remaining Ru material is also discharged from the exhaust duct 103 via the gas discharge hole 112, the apparatus is designed so that the exhaust conductance through the valve 109 becomes at least one digit higher, and therefore most of the remaining gas is discharged through the exhaust valve 109. When the NH3 gas supplied from the gas valve 124 is purged, similarly an inactive gas is introduced through the valve 123, while the exhaust valve 132 is opened to discharge the remaining gas. At this time, although some gas is discharged through the gas discharge hole 106 via the exhaust duct 103, the greater gas exhaust conductance of the exhaust valve 132, compared to that of the gas discharge hole 106, causes most gas to be discharged through the exhaust valve 132.
  • By utilizing the functions explained above, a process apparatus ideal for an atomic layer deposition process where reactive Ru material gases are supplied alternately, or a layer-by-layer thin-film forming process, can be provided.
  • Also, chemical vapor deposition can be implemented by simultaneously supplying a Ru material and O2 gas. In this case, the Ru material supplied through the valve 121 travels through the gas discharge hole 112 and is supplied to the substrate surface, while the O2 gas supplied through the valve 24 travels through the gas discharge hole 6 and is supplied to the substrate surface. As the Ru material and O2 react with each other on the substrate surface by means of chemical vapor reaction, a thin Ru film is formed.
  • FIG. 10 is a schematic diagram illustrating a cross-section structure of the capacitor electrode described in Example 3. This structure is produced by forming a Ru film 402 on a WNxCy film 401 according to an embodiment of the present invention, and then depositing a Ru film or RuO2 film on top by means of a chemical vapor deposition method.
  • FIG. 11 is a graph showing the dependency of sheet resistance on number of cycles for ALD-Ru film, wherein the sheet is produced by forming, on top of an ALD-WNC film formed by ALD using WF6, NH3 or TEB gas, an ALD-Ru film by ALD based on NH3 gas and plasma using a Ru film according to an embodiment of the present invention, and then layering on top a Ru-CVD film formed by CVD using the same Ru material and oxygen. In this example, the Ru precursor shown in FIG. 2( a) is used.
  • The specific structures of these samples are explained. First, four types of underlying Ru films were formed by plasma ALD (in accordance with the film forming conditions shown in Table 2 under Example 2). The four types correspond to different numbers of cycles of 25, 50, 75 and 100 (in other words, the cycle consisting of four steps shown in Table 2 under Example 2 was repeated 25 times, 50 times, 75 times and 100 times, respectively). On each Ru film thus produced, a Ru-CVD film was formed by chemical vapor deposition, and the time needed to form this film is shown by the horizontal axis. Here, when a Ru-CVD film is formed in an oxidizing atmosphere on a Ru film formed by 25-cycle plasma ALD, the oxygen gas diffuses and oxidizes the underlying WNC film if the Ru film by plasma ALD is not continuous. As a result, the sheet resistance, which naturally decreases with time, increases instead.
  • On the other hand, formation of a Ru-CVD film by chemical vapor deposition on a Ru film formed by 75 and 100-cycle plasma ALD does not increase the resistance, and the underlying WNC film is not oxidized.
  • FIG. 12( a) shows one example of the structure of a forming apparatus that can be used in an embodiment of the present invention, wherein the material used to form a Ru film 402 is different from the material used to form a Ru film 403 by chemical vapor deposition. The same components constituting the structure shown in FIG. 9( a) are denoted by the same numerals. The difference from FIG. 9( a) is that a material supply container 138 is provided in FIG. 12( a) for supplying the second Ru material (Ru(C)). This material supply container 138 is connected to a carrier-gas supply line 133 via a carrier-gas introduction valve 136, and also connected to the reaction apparatus via a material supply valve 137. In this figure, Ru(A) and Ru(C) are supplied to the reaction apparatus through the same line. However, how the materials are supplies is not limited to this configuration and separate lines may be used to supply the respective materials to the reaction apparatus.
  • Specific examples are explained below by using FIGS. 1 through 12 described above.
  • EXAMPLE 1
  • According to the process sequence in FIG. 1( b), in the process of forming a metal film and then forming a Ru film using a Ru material based on ammonia plasma ALD, a WNC film formed by ALD was used as the underlying metal film. The WNC film was formed by a process using WF6, NH3 or TEB (triethyl boron) (under the conditions shown in Table 1 under Example 2). Ru-ALD films were formed using the Ru materials shown in FIGS. 2( a), (b) and (c) as well as the forming apparatus shown in FIG. 3 (under the conditions shown in Table 2 under Example 2). The results were compared to examine the relationship of pinholes and number of cycles with each film.
  • FIG. 5 shows the relationship of Ru film thickness and number of cycles. The precursor shown in FIG. 2( c) (hereinafter referred to as “Precursor C”) required 57 cycles, while the precursor shown in FIG. 2( a) (hereinafter referred to as “Precursor A”) and the precursor shown in FIG. 2( b) (hereinafter referred to as “Precursor B”) require 37 cycles and 35 cycles, respectively. FIG. 6 shows the revealed relationship of pinholes and number of cycles with each film. Through quantitative analysis, based on the ICP method, of the amount of tungsten eluted from a unit area of the chip on which a Ru/WNC layered film was formed, using the method illustrated in FIG. 4, the percentage of eluted tungsten to the total tungsten content in the WNC film was measured.
  • FIG. 6 shows the numbers of cycles corresponding to different collection rates (%) for the Ru materials shown in FIGS. 2( a) and (b). With these Ru materials, the collection rate became 0% at around 50 cycles and 55 cycles or more, respectively. Since tungsten does not elute into the etching solution at a collection rate of 0%, it is determined that continuous films were formed at these cycles. With the Ru material shown in FIG. 2( c), on the other hand, around 200 film forming cycles were needed to achieve a collection rate (%) of roughly 0, at which point tungsten elution is considered none. The sequential process using NH3 plasma as shown in FIG. 1 can form a continuous film over short cycles if a Ru molecule having a pentadienyl group, such as Precursor A or B, is used. With a Ru molecule having only a cyclopentadienyl group, such as Precursor C, however, a continuous film cannot be formed for at least 200 cycles.
  • As shown in FIG. 5, while the film growth speed per cycle was roughly the same with any Ru molecule, the required incubation time varied among Precursors A, B and C at 37 cycles, 30 cycles and 54 cycles, respectively. When the numbers of cycles at which the formed film was considered continuous were 50 cycles, 50 cycles and 200 cycles, respectively, the achieved film thicknesses were 0.44 nm, 0.3 nm and 3.4 nm, respectively. Therefore, with the materials shown in FIGS. 2( a) and (b) the film becomes sufficiently continuous if the film thickness is 0.5 nm or more. Also, in practice a semiconductor device has flat sections and stepped sections, and the process using a Ru material with NH3 plasma has been shown to provide a coverage of 70% over holes with an aspect ratio of 5. In other words, a continuous film can be achieved at a thickness of 0.7 nm or more, if device coverage is also considered. In practice, it is preferable to control the film thickness within a range of approx. 0.7 to 1.0 nm. High reliability can also be ensured with a thickness of 1.0 nm or more, because a higher margin can be achieved.
  • If the Ru material shown in FIG. 2( c) is used, on the other hand, the minimum thickness below which the film no longer became continuous was approx. 3 to 4 nm, which means that a Ru film needs to be 4 nm or thicker in practice. As a result, using this Ru material for actual copper wiring decreases the copper wiring volume by the increased thickness of the Ru film, thus virtually resulting in a higher wiring resistance. To prevent this problem, a thinner Ru film is required. Since the method proposed by the present invention allows for use of a Ru film of 1 nm or thinner, the copper volume can be increased and wiring resistance decreased, while ensuring good adhesion between the copper wiring and Ru.
  • With the Ru material having a pentadienyl group, it is considered that the ALD method using NH3 plasma, as explained in this example, allows the Ru component to detach easily by means of NH3 plasma, thus increasing the core formation density and thereby allowing a continuous film to form easily at a small film thickness. If the Ru material only has a cyclopentadienyl, on the other hand, the cyclopentadienyl does not detach easily even under NH3 plasma, and therefore a continuous film does not form easily.
  • EXAMPLE 2
  • This example shows an application of the present invention to the formation of a wiring process using a dual-damascene structure, which is the most commonly used copper wiring structure, and the effects of such application.
  • FIG. 7 shows a process to form a dual-damascene structure. FIGS. 7( a) through (f) show a process flow starting from a condition after completion of dual-damascene processing. FIGS. 8( a) and (b) show the structure of the cluster apparatus (FIG. 8( b)) and the cluster process sequence (FIG. 8( a)) used in this example. The cluster apparatus shown in FIG. 8( b) comprises a pre-cleaning module 304, a WNxCy-film forming module 305, and a Ru forming module 306, and performs a process based on continuous vacuum cycles, as shown in FIG. 8( a). FIG. 7( a) shows a condition after completion of dual-damascene processing. A SiOC film 202, interlayer insulation film 203, etching stopper film 204, interlayer insulation film 205 and copper-diffusion prevention film 206 are formed on a lower-layer copper wiring 201 to produce a copper-diffusion prevention layer. In this condition, pretreatment prior to the formation of ALD barrier film is performed using the pretreatment module 304 shown in FIG. 8. FIG. 7( b) shows a condition immediately after the pretreatment. Here, a step to reduce the oxide formed on the surface of the copper wiring 203 at the bottom of via contacts 207, is performed simultaneously with a processing to stabilize the surface of the processed end of 202, 203, 204, 205 and 206 constituting the interlayer insulation film over trenches 208 and via contacts 207 in the dual-damascene structure. This processing forms NH or NH2 groups on the surface. This surface treatment allows for smooth formation of a WNxCy film in the next step. After its surface has been treated with the pretreatment module 304 shown in FIG. 8( b), the substrate is transferred to the process module for WNC-ALD formation 305 as shown in FIG. 8( b) to form a WNC film. Table 1 shows the conditions for WNC-ALD formation implemented here (the values shown in the table can be modified within a range of ±50%).
  • TABLE 1
    Flow rate Pressure
    Phase Gas (Sccm) Temp. (° C.) (Pa) Time (sec)
    Reduction TEB 300 350 150 2
    Gas
    Purge Ar
    2000 350 150 0.5
    Metal WF 6 300 350 150 0.2
    Precursor
    Purge Ar
    2000 350 150 1
    Nitridation NH3 750 350 150 0.5
    Gas
    Purge Ar
    2000 350 150 1
  • By repeating the aforementioned steps, a smooth WNC film 209 of 3 nm can be formed, as shown in FIG. 7( c). Next in FIG. 7( d), a Ru film 210 is formed using the Ru-ALD module 305 shown in FIG. 8( b). Here, the Ru material shown in FIG. 2( a) was used, where the material was treated in a NH3 plasma process conforming to the sequence illustrated in FIG. 1( a). Table 2 shows the Ru-ALD process conditions. Although 800 W was used as the RF power of NH3 plasma, a Ru film can be formed in a RF power range of 200 to 1,000 W. A similar process can also be achieved at a forming temperature in a range of 250 to 400° C. A Ru film with a thickness of approx. 1 nm was formed over 100 cycles (under the conditions shown in Table 2 below; the values shown in the table can be modified within a range of ±50%, and the process can be implemented in a similar manner with other Ru materials). A Cu seed film 211 shown in FIG. 7( d) is formed on a wafer that has been transferred from the apparatus shown in FIG. 8( b), after which a copper plating film is formed as shown in FIG. 7( e), to form a copper wiring 212 through CMP. A Cu film can also be formed via Cu plating after the Ru film 210 has been formed, or a Cu plating can be formed directly on the Ru film 210. For the Cu film 211, a Cu film formed by CVD or ALD instead of PVD may also be used.
  • As explained above, high reliability can be maintained by continuously performing the series of steps comprising surface treatment, WNC/Ru forming via ALD, and Cu plating. The layered WNC and Ru film structure formed by the aforementioned method had a thickness of 4 nm, which is smaller than when conventional barrier metals are used. As a result, lower copper wiring resistance was obtained.
  • TABLE 2
    Flow rate Temp. Pressure
    Phase Gas (Sccm) (° C.) (Pa) Time (sec)
    Ru Dose Precursor A 300 350 150 1
    Purge Ar 2000 350 150 1
    NH3 plasma NH3 300 350 150 2
    Purge Ar 2000 350 150 1
  • EXAMPLE 3 Example of Ru-CVD/Ru-ALD)
  • This example pertains to a very thin Ru film shown in Example 1 or 2, that is, a Ru film of approx. 1 to 4 nm used as a Cu-film adhesion layer. In certain applications such as the formation of a capacitor using a Ru film as the electrode, the Ru film needs to be formed thicker to a thickness range of approx. 10 to 20 nm. In the process shown in Example 1 or 2, however, the cycle illustrated in FIG. 1( a) needs to be repeated 500 times to form a film of 10 nm. If one cycle requires 3 seconds to complete, the total cycle time becomes 1,500 seconds, which significantly reduces the productivity of semiconductor devices. If a Ru film is formed by chemical vapor deposition, on the other hand, a Ru film can be formed using the Ru material shown in FIG. 2 and oxygen gas, or a RuO2 film can be formed by adjusting the partial oxygen pressure.
  • While the structures shown in Examples 1 and 2 use a WNxCy film underneath a Ru film, with a general capacitor electrode a polycrystal silicon or TiN film, etc., is placed as the under layer of the electrode. If a Ru film is formed by a chemical vapor deposition method, the surface of the aforementioned polycrystal silicon, TiN, etc., is oxidized and the contact resistance increases as a result. This example illustrates how Ru and RuO2 electrodes can be formed while suppressing this oxidization.
  • FIG. 9 is a structural diagram showing a cross-section view of the Ru film-forming apparatus used in this example. Ru Precursor A is supplied through a valve 121, while NH3 or O2 is supplied through a valve 140 or 123. Ar purge gas is supplied through valves 122 and 124.
  • First, a Ru film was formed by an atomic layer deposition method with NH3 plasma based on the conditions shown in Table 2 under Example 2, after which NH3 was changed to O2 gas and Ru Precursor A and oxygen gas were supplied through 112 and 107 in the showerhead, respectively. Since the two gases do not mix in the showerhead, the gases do not react with each other in the showerhead but do so on the surface of the substrate 106 to form a Ru film.
  • FIG. 10 is a schematic diagram illustrating a cross-section structure where a Ru-ALD film 402 was formed on a WNC-ALD film 401 and then a Ru film 403 was formed by a chemical vapor deposition method. If the Ru film 402 is not continuous and exposed to an oxidizing atmosphere, the underlying WNC film 401 is easily oxidized. Therefore, the number of cycles performed to form the Ru film 402 was changed to 25, 50, 75 and 100, and the Ru film 403 was formed on the Ru film produced with each number of cycles. FIG. 10 shows how the sheet resistance changed with different numbers of cycles.
  • If a Ru film is formed without the WNC film 401 being oxidized, essentially the sheet resistance may decrease as the Ru-film 403 forming time increases. As shown in FIG. 11, the sheet resistance increased when the Ru film 402 was formed over 25 and 50 cycles. On the other hand, the sheet resistance decreased when the film was formed over 75 and 100 cycles. These results suggest that the Ru film 402 was not continuous and therefore the underlying WNC film was oxidized during the first 50 cycles. Meanwhile, it is likely that a continuous film that can prevent diffusion of oxygen was formed after 75 cycles. The film thickness achieved after 75 cycles was approx. 0.7 nm, while that achieved after 100 cycles was approx. 1 nm. In other words, it is considered that a continuous Ru film that can prevent diffusion of oxygen has been formed when the film thickness reaches 0.7 nm or more.
  • From the above, it is clear that as long as a Ru-ALD film 402 is formed on a WNC film 401 by 75 cycles or more to achieve a film thickness of 0.7 nm or more, the underlying WNC film is not oxidized even when the Ru film is formed by chemical vapor deposition using a process involving oxygen gas. When a Ru film of 10 to 20 nm is formed, therefore, by using a chemical vapor deposition method where approx. 5 to 10 nm of Ru film can be formed per minute, it suffices to form an oxidization-preventing Ru-ALD film 402 to a thickness of 1 nm, and the remaining thickness of Ru film can be formed by a chemical vapor deposition method offering excellent productivity. This provides a technology that can realize superior productivity.
  • Also, as shown in FIG. 12 it is also possible to use an apparatus having a material container 135 that suppliers the Ru material shown in FIG. 2( a) to form a Ru-ALD film 401, and a material container 138 that supplies the Ru material shown in FIG. 2( c) to form a Ru film 402 by means of chemical vapor deposition. In particular, use of the material shown in FIG. 2( a) achieves a higher growth speed in chemical vapor deposition compared to when the material shown in FIG. 2( c) is used, while the material shown in FIG. 2( c) is cheaper. These factors provide practical advantages in using such apparatus.
  • As explained above, a continuous Ru film of 1 nm can be formed using the method of forming Ru film in an embodiment of the present invention, whereas achieving a continuous film with a very small thickness of say, 1 nm or so, has been difficult using conventional methods. The obtained thin Ru film not only has wet chemical resistance, but it also prevents oxygen gas from permeating through it in vacuum and therefore protects the material in the under layer even in a dry atmosphere. When this Ru film is used in fine Cu wiring, the underlying surface is not damaged in atmosphere or vacuum or when exposed to wet chemicals, if a Ru film of 1 nm is formed on top of a barrier metal of WNC, WN, TaN, TaNC, etc., used to prevent copper diffusion. This improves the repeatability and stability of processes that are sensitive to the surface condition, such as the CMP process (chemical mechanical polishing), which contributes to the formation of fine wiring.
  • If a Ru film or RuO2 film is formed as an electrode, on the other hand, a Ru film can be formed on the surface of the underlying contact metal according to an embodiment of the present invention, after which an appropriate process can be implemented in an oxidizing atmosphere to form a Ru film or Ru/RuOx film without oxidizing the surface of the TiN film, polycrystal silicon, etc., constituting the underlying contact wiring. In other words, the embodiments of the present invention solve the problem of oxidization associated with conventional methods, and can be applied to Ru films very effectively.
  • The present invention includes the above mentioned embodiments and other various embodiments including the following:
  • 1) A method of forming Ru film and a Ru film formed by said method, characterized by, in the case of a method of forming Ru film, comprising a step to supply onto a substrate a Ru material gas having a Ru(XaXb) molecular structure wherein at least one of Xa or Xb is a non-cyclic pentadienyl, and a step to treat the substrate using a reducing gas excited by high-frequency waves.
  • 2) A method of forming Ru film and a Ru film formed by said method according to 1) above, characterized in that the Ru film is formed to a thickness of no less than 0.5 nm but no more than 2.0 nm, or preferably no less than 0.7 nm but no more than 1.2 nm, by repeating a step to supply the Ru material gas to a substrate and a step to treat the substrate using a reducing gas excited by high-frequency waves.
  • 3) A method of forming thin Ru film and a Ru film formed by said method according to 1) or 2) above, characterized in that the Ru film is formed on a metal film.
  • 4) A method of forming thin Ru film and a Ru film formed by said method according to 3) above, characterized in that the metal film is made of WNC, WN, TaN, Ta, TaNC, TiN, Ti, Cu, Al, Co or Ni.
  • 5) A method of forming thin Ru film and a Ru film formed by said method according to any one of 1) to 4) above, characterized in that the reducing gas is NH3, H2, or a mixture of N2 and H2.
  • 6) A method of forming Ru film and a Ru film formed by said method according to 1) above, characterized in that the first Ru film is formed by repeating a step to supply the Ru material gas to a substrate and a step to treat the substrate using a gas containing at least NH3 or H2 that has been excited by high-frequency waves, for no less than 50 cycles but no more than 150 cycles, or preferably no less than 75 cycles but no more than 100 cycles.
  • 7) A method of forming Ru film and a Ru film formed by said method according to any one of 1) to 6) above, characterized in that the Ru material gas is supplied simultaneously with a different Ru material gas.
  • 8) A method of forming Ru film and a Ru film formed by said method according to any one of 1) to 6) above, characterized in that a step to supply the Ru material gas is repeated for a specified number of times, and then a step to supply a different Ru material gas is repeated for a specified number of times.
  • 9) A method of forming Ru film and a Ru film formed by said method according to any one of 1) to 8) above, characterized in that the pentadienyl group has a side chain with a carbon number of 1 or above bonded to it.
  • 10) A method of forming Ru film and a Ru film formed by said method according to any one of 1) to 9) above, characterized in that either a Ru film or Ru oxide film is layered on top of the Ru film by means of chemical vapor deposition using a desired Ru material and oxygen gas.
  • 11) A method of forming Ru film and a Ru film formed by said method according to any one of 1) to 10) above, characterized in that a copper film is formed on the Ru film.
  • 12) A method of forming Ru film and a Ru film formed by said method according to 11) above, characterized in that a copper film is formed on the Ru film by means of a chemical vapor deposition or atomic layer deposition method, by supplying a copper material molecule into vacuum in gaseous form.
  • It will be understood by those of skill in the art that numerous and various modifications can be made without departing from the spirit of the present invention. Therefore, it should be clearly understood that the forms of the present invention are illustrative only and are not intended to limit the scope of the present invention.

Claims (22)

1. A method of depositing a ruthenium(Ru) thin film on a substrate in a reaction chamber, comprising:
(i) supplying a gas of a ruthenium precursor into the reaction chamber so that the gas of the ruthenium precursor is adsorbed onto the substrate, said ruthenium precursor a ruthenium complex containing a non-cyclic dienyl;
(ii) supplying an excited reducing gas into the reaction chamber to activate the ruthenium precursor adsorbed onto the substrate; and
(iii) repeating steps (i) and (ii), thereby forming a ruthenium thin film on the substrate.
2. The method according to claim 1, wherein the ruthenium complex has a structure of Xa-Ru—Xb, wherein at least one of Xa or Xb is a non-cyclic dienyl.
3. The method according to claim 2, wherein the non-cyclic dienyl is a non-cyclic pentadienyl.
4. The method according to claim 3, wherein the non-cyclic pentadienyl has at least one side chain of C1-2 alkyl.
5. The method according to claim 4, wherein the non-cyclic pentadienyl has two side chains of methyl.
6. The method according to claim 2, wherein Xa is a non-cyclic dienyl, and Xb is a cyclic dienyl.
7. The method according to claim 6, wherein Xa is a non-cyclic pentadienyl and Xb is a cyclopentadienyl.
8. The method according to claim 2, wherein Xa and Xb are both non-cyclic pentadienyls.
9. The method according to claim 1, wherein the excited reducing gas is generated by applying radio-frequency power to a reducing gas.
10. The method according to claim 9, wherein the reducing gas is ammonia, hydrogen, or a mixture of nitrogen and hydrogen.
11. The method according to claim 9, wherein the excited reducing gas is an ammonia or hydrogen plasma.
12. The method according to claim 1, further comprising
purging the ruthenium precursor gas from the reaction chamber after step (i); and
purging the excited reducing gas from the reaction chamber after step (ii).
13. The method according to claim 1, wherein steps (i) and (ii) are repeated to form the ruthenium thin film having a thickness of no less than 0.5 nm but no more than 2.0 nm.
14. The method according to claim 1, wherein steps (i) and (ii) are repeated to form the ruthenium thin film having a thickness of no less than 0.5 nm but no more than 2.0 nm.
15. The method according to claim 1, wherein steps (i) and (ii) are repeated 50 times to 150 times.
16. The method according to claim 1, further comprising providing a metal film before steps (i)-(iii) begin wherein the ruthenium thin film is formed on the metal film.
17. The method according to claim 16, wherein the metal film is selected from the group consisting of WNC, WN, TaN, Ta, TaNC, TiN, Ti, Cu, Al, Co, and Ni.
18. The method according to claim 1, wherein in step (i), the gas of the ruthenium precursor is a first gas, and step (i) further comprises supplying a second gas of another ruthenium precursor into the reaction chamber simultaneously with the first gas.
19. The method according to claim 1, further comprising after repeating steps (i) and (ii) a give number of times:
(iv) supplying a second gas of another ruthenium precursor into the reaction chamber so that the second gas of the other ruthenium precursor is adsorbed onto the substrate;
(v) supplying an excited reducing gas into the reaction chamber to activate the other ruthenium precursor adsorbed onto the substrate; and
(vi) repeating steps (iv) and (v), thereby forming a ruthenium thin film on the substrate.
20. The method according to claim 1, further comprising after step (iii) forming a ruthenium thin film or ruthenium oxide thin film on the ruthenium thin film by CVD.
21. The method according to claim 1, further comprising after step (iii) forming a copper film on the ruthenium thin film.
22. The method according to claim 21, wherein the copper film is formed by supplying a gas of a copper precursor on the substrate, which is produced by CVD or ALD.
US12/205,640 2006-09-01 2008-09-05 Method of forming ruthenium film for metal wiring structure Abandoned US20080318417A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/205,640 US20080318417A1 (en) 2006-09-01 2008-09-05 Method of forming ruthenium film for metal wiring structure

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/469,828 US7435484B2 (en) 2006-09-01 2006-09-01 Ruthenium thin film-formed structure
US12/205,640 US20080318417A1 (en) 2006-09-01 2008-09-05 Method of forming ruthenium film for metal wiring structure

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/469,828 Division US7435484B2 (en) 2006-09-01 2006-09-01 Ruthenium thin film-formed structure

Publications (1)

Publication Number Publication Date
US20080318417A1 true US20080318417A1 (en) 2008-12-25

Family

ID=39150364

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/469,828 Active US7435484B2 (en) 2006-09-01 2006-09-01 Ruthenium thin film-formed structure
US12/205,640 Abandoned US20080318417A1 (en) 2006-09-01 2008-09-05 Method of forming ruthenium film for metal wiring structure

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/469,828 Active US7435484B2 (en) 2006-09-01 2006-09-01 Ruthenium thin film-formed structure

Country Status (4)

Country Link
US (2) US7435484B2 (en)
JP (1) JP5196915B2 (en)
KR (1) KR20080020953A (en)
TW (1) TWI450335B (en)

Cited By (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070205484A1 (en) * 2006-02-28 2007-09-06 Sanyo Electric Co., Ltd. Semiconductor device and method of fabricating the same
US7655564B2 (en) 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7799674B2 (en) 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US7955979B2 (en) 2000-05-15 2011-06-07 Asm International N.V. Method of growing electrical conductors
US20110207324A1 (en) * 2007-05-21 2011-08-25 Dussarart Christian New metal precursors for semiconductor applications
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US8084104B2 (en) 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US8273408B2 (en) 2007-10-17 2012-09-25 Asm Genitech Korea Ltd. Methods of depositing a ruthenium film
US8329569B2 (en) 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
US9129897B2 (en) 2008-12-19 2015-09-08 Asm International N.V. Metal silicide, metal germanide, methods for making the same
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US9589835B2 (en) 2008-12-10 2017-03-07 Novellus Systems, Inc. Method for forming tungsten film having low resistivity, low roughness and high reflectivity
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US9653353B2 (en) 2009-08-04 2017-05-16 Novellus Systems, Inc. Tungsten feature fill
US9963782B2 (en) * 2015-02-12 2018-05-08 Asm Ip Holding B.V. Semiconductor manufacturing apparatus
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
DE102018113674A1 (en) * 2018-05-31 2019-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for conductive feature
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US11078568B2 (en) * 2019-01-08 2021-08-03 Applied Materials, Inc. Pumping apparatus and method for substrate processing chambers
US11437249B2 (en) * 2019-07-18 2022-09-06 Asm Ip Holding B.V. Showerhead device for semiconductor processing system
US11447866B2 (en) * 2020-06-17 2022-09-20 Applied Materials, Inc. High temperature chemical vapor deposition lid
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
US11640899B2 (en) 2016-12-22 2023-05-02 Asm Ip Holding B.V. Atomic layer etching processes
US11739428B2 (en) 2016-12-09 2023-08-29 Asm Ip Holding B.V. Thermal atomic layer etching processes

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070014919A1 (en) * 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US7435484B2 (en) * 2006-09-01 2008-10-14 Asm Japan K.K. Ruthenium thin film-formed structure
US7473634B2 (en) * 2006-09-28 2009-01-06 Tokyo Electron Limited Method for integrated substrate processing in copper metallization
US7476615B2 (en) * 2006-11-01 2009-01-13 Intel Corporation Deposition process for iodine-doped ruthenium barrier layers
US20080124484A1 (en) * 2006-11-08 2008-05-29 Asm Japan K.K. Method of forming ru film and metal wiring structure
US20080171436A1 (en) * 2007-01-11 2008-07-17 Asm Genitech Korea Ltd. Methods of depositing a ruthenium film
KR20080071787A (en) * 2007-01-31 2008-08-05 삼성전자주식회사 Method of forming metal layer
US20090087339A1 (en) * 2007-09-28 2009-04-02 Asm Japan K.K. METHOD FOR FORMING RUTHENIUM COMPLEX FILM USING Beta-DIKETONE-COORDINATED RUTHENIUM PRECURSOR
KR20090067505A (en) * 2007-12-21 2009-06-25 에이에스엠지니텍코리아 주식회사 Method of depositing ruthenium film
US7993462B2 (en) 2008-03-19 2011-08-09 Asm Japan K.K. Substrate-supporting device having continuous concavity
DE102008026284A1 (en) * 2008-06-02 2009-12-03 Umicore Ag & Co. Kg Process for the preparation of ruthenium-dienyl complexes
US7928569B2 (en) * 2008-08-14 2011-04-19 International Business Machines Corporation Redundant barrier structure for interconnect and wiring applications, design structure and method of manufacture
JP2010059471A (en) * 2008-09-03 2010-03-18 Ube Ind Ltd Ruthenium particle and manufacturing method thereof, and manufacturing method of metal-containing thin film using ruthenium particles for lower metal film
US20110020546A1 (en) * 2009-05-15 2011-01-27 Asm International N.V. Low Temperature ALD of Noble Metals
DE102009053889B4 (en) * 2009-11-20 2014-03-27 C. Hafner Gmbh + Co. Kg Process for coating a metallic substrate surface with a material layer applied by an ALD process
KR101230951B1 (en) * 2010-11-30 2013-02-07 에쓰대시오일 주식회사 Surface diffusion-induced atomic layer deposition
US20150364772A1 (en) * 2014-05-30 2015-12-17 GM Global Technology Operations LLC Method to prepare alloys of platinum-group metals and early transition metals
WO2017143180A1 (en) * 2016-02-19 2017-08-24 Tokyo Electron Limited Ruthenium metal deposition method for electrical connections
CN111286721A (en) * 2018-12-06 2020-06-16 北京北方华创微电子装备有限公司 Film deposition method and equipment
US20220068708A1 (en) * 2020-08-26 2022-03-03 Macom Technology Solutions Holdings, Inc. Atomic layer deposition of barrier metal layer for electrode of gallium nitride material device

Citations (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US54472A (en) * 1866-05-01 Improved blacking-brush
US87339A (en) * 1869-03-02 Improvement in printers furniture
US124154A (en) * 1872-02-27 Improvement in curtain-fixtures
US124484A (en) * 1872-03-12 Improvement in centrifugal machines for draining sugar
US137608A (en) * 1873-04-08 William guilfoyle
US152255A (en) * 1874-06-23 Improvement in transplanters
US190782A (en) * 1877-05-15 Improvement in rear sights for fire-arms
US318417A (en) * 1885-05-19 Beadish j
US4891050A (en) * 1985-11-08 1990-01-02 Fuel Tech, Inc. Gasoline additives and gasoline containing soluble platinum group metal compounds and use in internal combustion engines
US4902551A (en) * 1987-12-14 1990-02-20 Hitachi Chemical Company, Ltd. Process for treating copper surface
US5106454A (en) * 1990-11-01 1992-04-21 Shipley Company Inc. Process for multilayer printed circuit board manufacture
US5382333A (en) * 1990-07-30 1995-01-17 Mitsubishi Gas Chemical Company, Inc. Process for producing copper clad laminate
US5391517A (en) * 1993-09-13 1995-02-21 Motorola Inc. Process for forming copper interconnect structure
US5711811A (en) * 1994-11-28 1998-01-27 Mikrokemia Oy Method and equipment for growing thin films
US5731634A (en) * 1992-07-31 1998-03-24 Kabushiki Kaisha Toshiba Semiconductor device having a metal film formed in a groove in an insulating film
US5865365A (en) * 1991-02-19 1999-02-02 Hitachi, Ltd. Method of fabricating an electronic circuit device
US5874600A (en) * 1995-11-22 1999-02-23 Firmenich Sa Ruthenium catalysts and their use in the asymmetric hydrogenation of cyclopentenones
US5884009A (en) * 1997-08-07 1999-03-16 Tokyo Electron Limited Substrate treatment system
US6015986A (en) * 1995-12-22 2000-01-18 Micron Technology, Inc. Rugged metal electrodes for metal-insulator-metal capacitors
US6033584A (en) * 1997-12-22 2000-03-07 Advanced Micro Devices, Inc. Process for reducing copper oxide during integrated circuit fabrication
US6040243A (en) * 1999-09-20 2000-03-21 Chartered Semiconductor Manufacturing Ltd. Method to form copper damascene interconnects using a reverse barrier metal scheme to eliminate copper diffusion
US6063705A (en) * 1998-08-27 2000-05-16 Micron Technology, Inc. Precursor chemistries for chemical vapor deposition of ruthenium and ruthenium oxide
US6066892A (en) * 1997-05-08 2000-05-23 Applied Materials, Inc. Copper alloy seed layer for copper metallization in an integrated circuit
US6171910B1 (en) * 1999-07-21 2001-01-09 Motorola Inc. Method for forming a semiconductor device
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6335280B1 (en) * 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US20020004293A1 (en) * 2000-05-15 2002-01-10 Soininen Pekka J. Method of growing electrical conductors
US20020006711A1 (en) * 1995-09-08 2002-01-17 Semiconductor Energy Laboratory Co., Ltd. Japanese Corporation Method of manufacturing a semiconductor device
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US20020013487A1 (en) * 2000-04-03 2002-01-31 Norman John Anthony Thomas Volatile precursors for deposition of metals and metal-containing films
US6346151B1 (en) * 1999-02-24 2002-02-12 Micron Technology, Inc. Method and apparatus for electroless plating a contact pad
US20020027286A1 (en) * 1999-09-30 2002-03-07 Srinivasan Sundararajan Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
US6359159B1 (en) * 1999-05-19 2002-03-19 Research Foundation Of State University Of New York MOCVD precursors based on organometalloid ligands
US6380080B2 (en) * 2000-03-08 2002-04-30 Micron Technology, Inc. Methods for preparing ruthenium metal films
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6395650B1 (en) * 2000-10-23 2002-05-28 International Business Machines Corporation Methods for forming metal oxide layers with enhanced purity
US20020064948A1 (en) * 2000-11-08 2002-05-30 Tanaka Kikinzoku Kogyo K.K. (Japanese Corporatin) Preparation method of bis (alkylcyclopentadienyl) ruthenium
US20030013302A1 (en) * 2000-03-07 2003-01-16 Tue Nguyen Multilayered copper structure for improving adhesion property
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6534395B2 (en) * 2000-03-07 2003-03-18 Asm Microchemistry Oy Method of forming graded thin films using alternating pulses of vapor phase reactants
US20030059535A1 (en) * 2001-09-25 2003-03-27 Lee Luo Cycling deposition of low temperature films in a cold wall single wafer process chamber
US6541067B1 (en) * 1998-08-27 2003-04-01 Micron Technology, Inc. Solvated ruthenium precursors for direct liquid injection of ruthenium and ruthenium oxide and method of using same
US6551399B1 (en) * 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
US20030100162A1 (en) * 2001-11-28 2003-05-29 Kwang-Chul Joo Method for forming capacitor of semiconductor device
US20040005753A1 (en) * 2000-05-15 2004-01-08 Juhana Kostamo Method of growing electrical conductors
US20040004194A1 (en) * 2000-11-20 2004-01-08 Francois Amblard Multi-photon imaging installation
US6680540B2 (en) * 2000-03-08 2004-01-20 Hitachi, Ltd. Semiconductor device having cobalt alloy film with boron
US6679951B2 (en) * 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US20040028952A1 (en) * 2002-06-10 2004-02-12 Interuniversitair Microelektronica Centrum (Imec Vzw) High dielectric constant composition and method of making same
US20040053496A1 (en) * 2002-09-17 2004-03-18 Eun-Seok Choi Method for forming metal films
US6713381B2 (en) * 1999-04-05 2004-03-30 Motorola, Inc. Method of forming semiconductor device including interconnect barrier layers
US6720262B2 (en) * 1999-12-15 2004-04-13 Genitech, Inc. Method of forming copper interconnections and thin films using chemical vapor deposition with catalyst
US20040082125A1 (en) * 2002-10-29 2004-04-29 Taiwan Semiconductor Manufacturing Company Novel dual gate dielectric scheme: SiON for high performance devices and high k for low power devices
US20040087143A1 (en) * 2002-11-05 2004-05-06 Norman John Anthony Thomas Process for atomic layer deposition of metal films
US20040095792A1 (en) * 1998-04-06 2004-05-20 Herrmann Wolfgang Anton Alkylidene complexes of ruthenium containing N-heterocyclic carbene ligands; use as highly active, selective catalysts for olefin metathesis
US6842740B1 (en) * 1999-12-20 2005-01-11 Hewlett-Packard Development Company, L.P. Method for providing automatic payment when making duplicates of copyrighted material
US20050009325A1 (en) * 2003-06-18 2005-01-13 Hua Chung Atomic layer deposition of barrier materials
US20050020060A1 (en) * 2002-01-29 2005-01-27 Titta Aaltonen Process for producing metal thin films by ALD
US6849122B1 (en) * 2001-01-19 2005-02-01 Novellus Systems, Inc. Thin layer metal chemical vapor deposition
US20050048794A1 (en) * 2003-08-28 2005-03-03 Brask Justin K. Method for making a semiconductor device having a high-k gate dielectric
US6878628B2 (en) * 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US6881260B2 (en) * 2002-06-25 2005-04-19 Micron Technology, Inc. Process for direct deposition of ALD RhO2
US6881437B2 (en) * 2003-06-16 2005-04-19 Blue29 Llc Methods and system for processing a microelectronic topography
US20050082587A1 (en) * 2002-08-29 2005-04-21 Micron Technology, Inc. Platinum stuffed with silicon oxide as a diffusion oxygen barrier for semiconductor devices
US20050085031A1 (en) * 2003-10-15 2005-04-21 Applied Materials, Inc. Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers
US20050087879A1 (en) * 2003-10-28 2005-04-28 Samsung Electronics Co., Ltd. Logic device having vertically extending metal-insulator-metal capacitor between interconnect layers and method of fabricating the same
US20050089632A1 (en) * 2003-10-28 2005-04-28 Marko Vehkamaki Process for producing oxide films
US20050092247A1 (en) * 2003-08-29 2005-05-05 Schmidt Ryan M. Gas mixer and manifold assembly for ALD reactor
US20050098440A1 (en) * 2003-11-10 2005-05-12 Kailasam Sridhar K. Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
US6984591B1 (en) * 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
US20060013955A1 (en) * 2004-07-09 2006-01-19 Yoshihide Senzaki Deposition of ruthenium and/or ruthenium oxide films
US20060035462A1 (en) * 2004-08-13 2006-02-16 Micron Technology, Inc. Systems and methods for forming metal-containing layers using vapor deposition processes
US7011981B2 (en) * 2000-11-13 2006-03-14 Lg.Philips Lcd Co., Ltd. Method for forming thin film and method for fabricating liquid crystal display using the same
US20060073276A1 (en) * 2004-10-04 2006-04-06 Eric Antonissen Multi-zone atomic layer deposition apparatus and method
US20060093848A1 (en) * 2002-10-15 2006-05-04 Senkevich John J Atomic layer deposition of noble metals
US20070014919A1 (en) * 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US20070026654A1 (en) * 2005-03-15 2007-02-01 Hannu Huotari Systems and methods for avoiding base address collisions
US20070036892A1 (en) * 2005-03-15 2007-02-15 Haukka Suvi P Enhanced deposition of noble metals
US20070059502A1 (en) * 2005-05-05 2007-03-15 Applied Materials, Inc. Integrated process for sputter deposition of a conductive barrier layer, especially an alloy of ruthenium and tantalum, underlying copper or copper alloy seed layer
US20070082132A1 (en) * 2005-10-07 2007-04-12 Asm Japan K.K. Method for forming metal wiring structure
US7220669B2 (en) * 2000-11-30 2007-05-22 Asm International N.V. Thin films for magnetic device
US20080038465A1 (en) * 2004-09-28 2008-02-14 Christian Dussarrat Precursor For Film Formation And Method For Forming Ruthenium-Containing Film
US20080054472A1 (en) * 2006-09-01 2008-03-06 Asm Japan K.K. Method of forming ruthenium film for metal wiring structure
US20080124484A1 (en) * 2006-11-08 2008-05-29 Asm Japan K.K. Method of forming ru film and metal wiring structure
US7476618B2 (en) * 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
US20090068832A1 (en) * 2000-03-07 2009-03-12 Asm International N.V. Thin films
US20090087339A1 (en) * 2007-09-28 2009-04-02 Asm Japan K.K. METHOD FOR FORMING RUTHENIUM COMPLEX FILM USING Beta-DIKETONE-COORDINATED RUTHENIUM PRECURSOR
US20090104777A1 (en) * 2007-10-17 2009-04-23 Asm Genitech Korea Ltd. Methods of depositing a ruthenium film
US7655564B2 (en) * 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
US20100055433A1 (en) * 2008-08-29 2010-03-04 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US20100099904A1 (en) * 2007-04-03 2010-04-22 Firmenich Sa 1,4-hydrogenation of dienes with ru complexes

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6759325B2 (en) * 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
JP2002016034A (en) * 2000-06-30 2002-01-18 Mitsubishi Electric Corp Manufacturing method of semiconductor device, and the semiconductor device
KR100406534B1 (en) * 2001-05-03 2003-11-20 주식회사 하이닉스반도체 Method for fabricating ruthenium thin film
JP2003068676A (en) * 2001-08-28 2003-03-07 Hitachi Kokusai Electric Inc Method and device for producing semiconductor
JP4517565B2 (en) * 2001-09-12 2010-08-04 東ソー株式会社 Ruthenium complex, method for producing the same, and method for producing the thin film
KR100422597B1 (en) 2001-11-27 2004-03-16 주식회사 하이닉스반도체 Method of forming semiconductor device with capacitor and metal-interconnection in damascene process
KR100805843B1 (en) 2001-12-28 2008-02-21 에이에스엠지니텍코리아 주식회사 Method of forming copper interconnection, semiconductor device fabricated by the same and system for forming copper interconnection
JP2004006699A (en) * 2002-04-25 2004-01-08 Hitachi Kokusai Electric Inc Manufacturing method for semiconductor device, and substrate processing apparatus
KR100455297B1 (en) * 2002-06-19 2004-11-06 삼성전자주식회사 Manufacturing method of inorganic nano tube
JP4770145B2 (en) * 2003-10-07 2011-09-14 東京エレクトロン株式会社 Film forming method and film forming apparatus
WO2005103318A1 (en) * 2004-02-04 2005-11-03 Praxair Technology, Inc. High nucleation density organometallic compounds
US7273526B2 (en) * 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
JP4564498B2 (en) * 2004-10-15 2010-10-20 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, and substrate processing method
JP2006128288A (en) * 2004-10-27 2006-05-18 Tokyo Electron Ltd Film forming method, semiconductor device, manufacturing method thereof, program, and recording medium
KR20060076714A (en) * 2004-12-28 2006-07-04 에이에스엠지니텍코리아 주식회사 Atomic layer deposition apparatus
US7408747B2 (en) * 2005-02-01 2008-08-05 Hitachi Global Storage Technologies Netherlands B.V. Enhanced anti-parallel-pinned sensor using thin ruthenium spacer and high magnetic field annealing
US20060177601A1 (en) * 2005-02-10 2006-08-10 Hyung-Sang Park Method of forming a ruthenium thin film using a plasma enhanced atomic layer deposition apparatus and the method thereof
KR101379015B1 (en) * 2006-02-15 2014-03-28 한국에이에스엠지니텍 주식회사 METHOD OF DEPOSITING Ru FILM USING PEALD AND DENSE Ru FILM

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US190782A (en) * 1877-05-15 Improvement in rear sights for fire-arms
US87339A (en) * 1869-03-02 Improvement in printers furniture
US124154A (en) * 1872-02-27 Improvement in curtain-fixtures
US124484A (en) * 1872-03-12 Improvement in centrifugal machines for draining sugar
US137608A (en) * 1873-04-08 William guilfoyle
US152255A (en) * 1874-06-23 Improvement in transplanters
US318417A (en) * 1885-05-19 Beadish j
US54472A (en) * 1866-05-01 Improved blacking-brush
US4891050A (en) * 1985-11-08 1990-01-02 Fuel Tech, Inc. Gasoline additives and gasoline containing soluble platinum group metal compounds and use in internal combustion engines
US4902551A (en) * 1987-12-14 1990-02-20 Hitachi Chemical Company, Ltd. Process for treating copper surface
US5382333A (en) * 1990-07-30 1995-01-17 Mitsubishi Gas Chemical Company, Inc. Process for producing copper clad laminate
US5106454A (en) * 1990-11-01 1992-04-21 Shipley Company Inc. Process for multilayer printed circuit board manufacture
US5865365A (en) * 1991-02-19 1999-02-02 Hitachi, Ltd. Method of fabricating an electronic circuit device
US5731634A (en) * 1992-07-31 1998-03-24 Kabushiki Kaisha Toshiba Semiconductor device having a metal film formed in a groove in an insulating film
US5391517A (en) * 1993-09-13 1995-02-21 Motorola Inc. Process for forming copper interconnect structure
US5711811A (en) * 1994-11-28 1998-01-27 Mikrokemia Oy Method and equipment for growing thin films
US20020006711A1 (en) * 1995-09-08 2002-01-17 Semiconductor Energy Laboratory Co., Ltd. Japanese Corporation Method of manufacturing a semiconductor device
US5874600A (en) * 1995-11-22 1999-02-23 Firmenich Sa Ruthenium catalysts and their use in the asymmetric hydrogenation of cyclopentenones
US6015986A (en) * 1995-12-22 2000-01-18 Micron Technology, Inc. Rugged metal electrodes for metal-insulator-metal capacitors
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6335280B1 (en) * 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US6066892A (en) * 1997-05-08 2000-05-23 Applied Materials, Inc. Copper alloy seed layer for copper metallization in an integrated circuit
US5884009A (en) * 1997-08-07 1999-03-16 Tokyo Electron Limited Substrate treatment system
US6033584A (en) * 1997-12-22 2000-03-07 Advanced Micro Devices, Inc. Process for reducing copper oxide during integrated circuit fabrication
US20040095792A1 (en) * 1998-04-06 2004-05-20 Herrmann Wolfgang Anton Alkylidene complexes of ruthenium containing N-heterocyclic carbene ligands; use as highly active, selective catalysts for olefin metathesis
US6063705A (en) * 1998-08-27 2000-05-16 Micron Technology, Inc. Precursor chemistries for chemical vapor deposition of ruthenium and ruthenium oxide
US6541067B1 (en) * 1998-08-27 2003-04-01 Micron Technology, Inc. Solvated ruthenium precursors for direct liquid injection of ruthenium and ruthenium oxide and method of using same
US6346151B1 (en) * 1999-02-24 2002-02-12 Micron Technology, Inc. Method and apparatus for electroless plating a contact pad
US6713381B2 (en) * 1999-04-05 2004-03-30 Motorola, Inc. Method of forming semiconductor device including interconnect barrier layers
US6359159B1 (en) * 1999-05-19 2002-03-19 Research Foundation Of State University Of New York MOCVD precursors based on organometalloid ligands
US6171910B1 (en) * 1999-07-21 2001-01-09 Motorola Inc. Method for forming a semiconductor device
US6852635B2 (en) * 1999-08-24 2005-02-08 Interuniversitair Nizroelecmica Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6040243A (en) * 1999-09-20 2000-03-21 Chartered Semiconductor Manufacturing Ltd. Method to form copper damascene interconnects using a reverse barrier metal scheme to eliminate copper diffusion
US20020027286A1 (en) * 1999-09-30 2002-03-07 Srinivasan Sundararajan Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6720262B2 (en) * 1999-12-15 2004-04-13 Genitech, Inc. Method of forming copper interconnections and thin films using chemical vapor deposition with catalyst
US6842740B1 (en) * 1999-12-20 2005-01-11 Hewlett-Packard Development Company, L.P. Method for providing automatic payment when making duplicates of copyrighted material
US6551399B1 (en) * 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
US6534395B2 (en) * 2000-03-07 2003-03-18 Asm Microchemistry Oy Method of forming graded thin films using alternating pulses of vapor phase reactants
US20030013302A1 (en) * 2000-03-07 2003-01-16 Tue Nguyen Multilayered copper structure for improving adhesion property
US20090068832A1 (en) * 2000-03-07 2009-03-12 Asm International N.V. Thin films
US6703708B2 (en) * 2000-03-07 2004-03-09 Asm International N.V. Graded thin films
US6380080B2 (en) * 2000-03-08 2002-04-30 Micron Technology, Inc. Methods for preparing ruthenium metal films
US6680540B2 (en) * 2000-03-08 2004-01-20 Hitachi, Ltd. Semiconductor device having cobalt alloy film with boron
US20020013487A1 (en) * 2000-04-03 2002-01-31 Norman John Anthony Thomas Volatile precursors for deposition of metals and metal-containing films
US6984591B1 (en) * 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
US20040038529A1 (en) * 2000-05-15 2004-02-26 Soininen Pekka Juha Process for producing integrated circuits
US6679951B2 (en) * 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US20020004293A1 (en) * 2000-05-15 2002-01-10 Soininen Pekka J. Method of growing electrical conductors
US6878628B2 (en) * 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US20040005753A1 (en) * 2000-05-15 2004-01-08 Juhana Kostamo Method of growing electrical conductors
US7494927B2 (en) * 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6887795B2 (en) * 2000-05-15 2005-05-03 Asm International N.V. Method of growing electrical conductors
US6395650B1 (en) * 2000-10-23 2002-05-28 International Business Machines Corporation Methods for forming metal oxide layers with enhanced purity
US20020064948A1 (en) * 2000-11-08 2002-05-30 Tanaka Kikinzoku Kogyo K.K. (Japanese Corporatin) Preparation method of bis (alkylcyclopentadienyl) ruthenium
US7011981B2 (en) * 2000-11-13 2006-03-14 Lg.Philips Lcd Co., Ltd. Method for forming thin film and method for fabricating liquid crystal display using the same
US20040004194A1 (en) * 2000-11-20 2004-01-08 Francois Amblard Multi-photon imaging installation
US7220669B2 (en) * 2000-11-30 2007-05-22 Asm International N.V. Thin films for magnetic device
US6849122B1 (en) * 2001-01-19 2005-02-01 Novellus Systems, Inc. Thin layer metal chemical vapor deposition
US20030059535A1 (en) * 2001-09-25 2003-03-27 Lee Luo Cycling deposition of low temperature films in a cold wall single wafer process chamber
US20030100162A1 (en) * 2001-11-28 2003-05-29 Kwang-Chul Joo Method for forming capacitor of semiconductor device
US7220451B2 (en) * 2002-01-29 2007-05-22 Asm International N.V. Process for producing metal thin films by ALD
US20050020060A1 (en) * 2002-01-29 2005-01-27 Titta Aaltonen Process for producing metal thin films by ALD
US20040028952A1 (en) * 2002-06-10 2004-02-12 Interuniversitair Microelektronica Centrum (Imec Vzw) High dielectric constant composition and method of making same
US7183604B2 (en) * 2002-06-10 2007-02-27 Interuniversitair Microelektronica Centrum (Imec Vzw) High dielectric constant device
US6881260B2 (en) * 2002-06-25 2005-04-19 Micron Technology, Inc. Process for direct deposition of ALD RhO2
US20050082587A1 (en) * 2002-08-29 2005-04-21 Micron Technology, Inc. Platinum stuffed with silicon oxide as a diffusion oxygen barrier for semiconductor devices
US20040053496A1 (en) * 2002-09-17 2004-03-18 Eun-Seok Choi Method for forming metal films
US20060093848A1 (en) * 2002-10-15 2006-05-04 Senkevich John J Atomic layer deposition of noble metals
US20040082125A1 (en) * 2002-10-29 2004-04-29 Taiwan Semiconductor Manufacturing Company Novel dual gate dielectric scheme: SiON for high performance devices and high k for low power devices
US20040087143A1 (en) * 2002-11-05 2004-05-06 Norman John Anthony Thomas Process for atomic layer deposition of metal films
US6881437B2 (en) * 2003-06-16 2005-04-19 Blue29 Llc Methods and system for processing a microelectronic topography
US20050009325A1 (en) * 2003-06-18 2005-01-13 Hua Chung Atomic layer deposition of barrier materials
US20050048794A1 (en) * 2003-08-28 2005-03-03 Brask Justin K. Method for making a semiconductor device having a high-k gate dielectric
US20050092247A1 (en) * 2003-08-29 2005-05-05 Schmidt Ryan M. Gas mixer and manifold assembly for ALD reactor
US20050085031A1 (en) * 2003-10-15 2005-04-21 Applied Materials, Inc. Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers
US20050089632A1 (en) * 2003-10-28 2005-04-28 Marko Vehkamaki Process for producing oxide films
US20050087879A1 (en) * 2003-10-28 2005-04-28 Samsung Electronics Co., Ltd. Logic device having vertically extending metal-insulator-metal capacitor between interconnect layers and method of fabricating the same
US20050098440A1 (en) * 2003-11-10 2005-05-12 Kailasam Sridhar K. Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
US20060013955A1 (en) * 2004-07-09 2006-01-19 Yoshihide Senzaki Deposition of ruthenium and/or ruthenium oxide films
US20060035462A1 (en) * 2004-08-13 2006-02-16 Micron Technology, Inc. Systems and methods for forming metal-containing layers using vapor deposition processes
US20080038465A1 (en) * 2004-09-28 2008-02-14 Christian Dussarrat Precursor For Film Formation And Method For Forming Ruthenium-Containing Film
US20060073276A1 (en) * 2004-10-04 2006-04-06 Eric Antonissen Multi-zone atomic layer deposition apparatus and method
US7476618B2 (en) * 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
US20070026654A1 (en) * 2005-03-15 2007-02-01 Hannu Huotari Systems and methods for avoiding base address collisions
US20070036892A1 (en) * 2005-03-15 2007-02-15 Haukka Suvi P Enhanced deposition of noble metals
US7666773B2 (en) * 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US20070059502A1 (en) * 2005-05-05 2007-03-15 Applied Materials, Inc. Integrated process for sputter deposition of a conductive barrier layer, especially an alloy of ruthenium and tantalum, underlying copper or copper alloy seed layer
US20070014919A1 (en) * 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US20070082132A1 (en) * 2005-10-07 2007-04-12 Asm Japan K.K. Method for forming metal wiring structure
US20080054472A1 (en) * 2006-09-01 2008-03-06 Asm Japan K.K. Method of forming ruthenium film for metal wiring structure
US20080124484A1 (en) * 2006-11-08 2008-05-29 Asm Japan K.K. Method of forming ru film and metal wiring structure
US20100099904A1 (en) * 2007-04-03 2010-04-22 Firmenich Sa 1,4-hydrogenation of dienes with ru complexes
US20090087339A1 (en) * 2007-09-28 2009-04-02 Asm Japan K.K. METHOD FOR FORMING RUTHENIUM COMPLEX FILM USING Beta-DIKETONE-COORDINATED RUTHENIUM PRECURSOR
US20090104777A1 (en) * 2007-10-17 2009-04-23 Asm Genitech Korea Ltd. Methods of depositing a ruthenium film
US7655564B2 (en) * 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
US20100055433A1 (en) * 2008-08-29 2010-03-04 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition

Cited By (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7955979B2 (en) 2000-05-15 2011-06-07 Asm International N.V. Method of growing electrical conductors
US8536058B2 (en) 2000-05-15 2013-09-17 Asm International N.V. Method of growing electrical conductors
US8501275B2 (en) 2005-03-15 2013-08-06 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US9587307B2 (en) 2005-03-15 2017-03-07 Asm International N.V. Enhanced deposition of noble metals
US7985669B2 (en) 2005-03-15 2011-07-26 Asm International N.V. Selective deposition of noble metal thin films
US9469899B2 (en) 2005-03-15 2016-10-18 Asm International N.V. Selective deposition of noble metal thin films
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US8927403B2 (en) 2005-03-15 2015-01-06 Asm International N.V. Selective deposition of noble metal thin films
US20070205484A1 (en) * 2006-02-28 2007-09-06 Sanyo Electric Co., Ltd. Semiconductor device and method of fabricating the same
US8022497B2 (en) * 2006-02-28 2011-09-20 Sanyo Electric Co., Ltd. Semiconductor device comprising insulating film
US8329583B2 (en) * 2007-05-21 2012-12-11 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal precursors for semiconductor applications
US20110207324A1 (en) * 2007-05-21 2011-08-25 Dussarart Christian New metal precursors for semiconductor applications
US8273408B2 (en) 2007-10-17 2012-09-25 Asm Genitech Korea Ltd. Methods of depositing a ruthenium film
US7655564B2 (en) 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
US7799674B2 (en) 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US8084104B2 (en) 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US9589835B2 (en) 2008-12-10 2017-03-07 Novellus Systems, Inc. Method for forming tungsten film having low resistivity, low roughness and high reflectivity
US9634106B2 (en) 2008-12-19 2017-04-25 Asm International N.V. Doped metal germanide and methods for making the same
US9129897B2 (en) 2008-12-19 2015-09-08 Asm International N.V. Metal silicide, metal germanide, methods for making the same
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US10553440B2 (en) 2008-12-19 2020-02-04 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US8329569B2 (en) 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
US9653353B2 (en) 2009-08-04 2017-05-16 Novellus Systems, Inc. Tungsten feature fill
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US10103058B2 (en) 2009-08-04 2018-10-16 Novellus Systems, Inc. Tungsten feature fill
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10043880B2 (en) 2011-04-22 2018-08-07 Asm International N.V. Metal silicide, metal germanide, methods for making the same
US9963782B2 (en) * 2015-02-12 2018-05-08 Asm Ip Holding B.V. Semiconductor manufacturing apparatus
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US11069535B2 (en) 2015-08-07 2021-07-20 Lam Research Corporation Atomic layer etch of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10395944B2 (en) 2015-08-21 2019-08-27 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10199234B2 (en) 2015-10-02 2019-02-05 Asm Ip Holding B.V. Methods of forming metal silicides
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US11739427B2 (en) 2016-12-09 2023-08-29 Asm Ip Holding B.V. Thermal atomic layer etching processes
US11739428B2 (en) 2016-12-09 2023-08-29 Asm Ip Holding B.V. Thermal atomic layer etching processes
US11640899B2 (en) 2016-12-22 2023-05-02 Asm Ip Holding B.V. Atomic layer etching processes
US10741442B2 (en) 2018-05-31 2020-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer formation for conductive feature
US11183424B2 (en) 2018-05-31 2021-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer formation for conductive feature
US11043413B2 (en) 2018-05-31 2021-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer formation for conductive feature
DE102018113674A1 (en) * 2018-05-31 2019-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for conductive feature
US11078568B2 (en) * 2019-01-08 2021-08-03 Applied Materials, Inc. Pumping apparatus and method for substrate processing chambers
US11437249B2 (en) * 2019-07-18 2022-09-06 Asm Ip Holding B.V. Showerhead device for semiconductor processing system
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
US11447866B2 (en) * 2020-06-17 2022-09-20 Applied Materials, Inc. High temperature chemical vapor deposition lid
US20220389585A1 (en) * 2020-06-17 2022-12-08 Applied Materials, Inc. High Temperature Chemical Vapor Deposition Lid
US11732358B2 (en) * 2020-06-17 2023-08-22 Applied Materials, Inc. High temperature chemical vapor deposition lid

Also Published As

Publication number Publication date
TWI450335B (en) 2014-08-21
US7435484B2 (en) 2008-10-14
JP2008057042A (en) 2008-03-13
KR20080020953A (en) 2008-03-06
JP5196915B2 (en) 2013-05-15
TW200814200A (en) 2008-03-16
US20080054472A1 (en) 2008-03-06

Similar Documents

Publication Publication Date Title
US7435484B2 (en) Ruthenium thin film-formed structure
US20080124484A1 (en) Method of forming ru film and metal wiring structure
US7785658B2 (en) Method for forming metal wiring structure
JP4503356B2 (en) Substrate processing method and semiconductor device manufacturing method
US7959985B2 (en) Method of integrating PEALD Ta-containing films into Cu metallization
JP4919535B2 (en) Plasma treatment of thermal CVD TaN films from tantalum halide precursors
US7655564B2 (en) Method for forming Ta-Ru liner layer for Cu wiring
US8101521B1 (en) Methods for improving uniformity and resistivity of thin tungsten films
TWI436428B (en) Method for forming ruthenium metal cap layers
US20090087339A1 (en) METHOD FOR FORMING RUTHENIUM COMPLEX FILM USING Beta-DIKETONE-COORDINATED RUTHENIUM PRECURSOR
US20080081464A1 (en) Method of integrated substrated processing using a hot filament hydrogen radical souce
US20070054487A1 (en) Atomic layer deposition processes for ruthenium materials
US20080078325A1 (en) Processing system containing a hot filament hydrogen radical source for integrated substrate processing
CN108735577B (en) Selective deposition of WCN barrier/adhesion layer for interconnect
US10418236B2 (en) Composite dielectric interface layers for interconnect structures
JP2007173824A (en) Method of forming thin film by means of atomic layer deposition and chemical vapor deposition
US20070264816A1 (en) Copper alloy layer for integrated circuit interconnects
KR20020063206A (en) METHOD FOR FORMING TiSiN FILM, DIFFUSION PREVENTIVE FILM COMPRISING TiSiN FILM, SEMICONDUCTOR DEVICE AND ITS PRODUCTION METHOD, AND APPARATUS FOR FORMING TiSiN FILM
US20160326646A1 (en) Method for forming manganese-containing film
JP7345546B2 (en) PEALD process using ruthenium precursor
US20070197398A1 (en) Copper film deposition method
US20070207611A1 (en) Noble metal precursors for copper barrier and seed layer
US20070082130A1 (en) Method for foming metal wiring structure
WO2008042691A2 (en) Processing system containing a hot filament hydrogen radical source for integrated substrate processing
WO2010095497A1 (en) Method for forming cu film and storage medium

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION