US20080284611A1 - Vertical system integration - Google Patents

Vertical system integration Download PDF

Info

Publication number
US20080284611A1
US20080284611A1 US12/143,772 US14377208A US2008284611A1 US 20080284611 A1 US20080284611 A1 US 20080284611A1 US 14377208 A US14377208 A US 14377208A US 2008284611 A1 US2008284611 A1 US 2008284611A1
Authority
US
United States
Prior art keywords
circuit
vsi
layers
layer
circuitry
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/143,772
Inventor
Glenn J. Leedy
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Elm Technology Corp
Original Assignee
Elm Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Elm Technology Corp filed Critical Elm Technology Corp
Priority to US12/143,772 priority Critical patent/US20080284611A1/en
Publication of US20080284611A1 publication Critical patent/US20080284611A1/en
Priority to US14/143,385 priority patent/US20140134950A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04WWIRELESS COMMUNICATION NETWORKS
    • H04W4/00Services specially adapted for wireless communication networks; Facilities therefor
    • H04W4/80Services using short range communication, e.g. near-field communication [NFC], radio-frequency identification [RFID] or low energy communication
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/02Microstructural systems; Auxiliary parts of microstructural devices or systems containing distinct electrical or optical devices of particular relevance for their function, e.g. microelectro-mechanical systems [MEMS]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00222Integrating an electronic processing unit with a micromechanical structure
    • B81C1/00238Joining a substrate with an electronic processing unit and a substrate with a micromechanical structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00222Integrating an electronic processing unit with a micromechanical structure
    • B81C1/00246Monolithic integration, i.e. micromechanical structure and electronic processing unit are integrated on the same substrate
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/66High-frequency adaptations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1463Pixel isolation structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14643Photodiode arrays; MOS imagers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42324Gate electrodes for transistors with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42324Gate electrodes for transistors with a floating gate
    • H01L29/42328Gate electrodes for transistors with a floating gate with at least one additional gate other than the floating gate and the control gate, e.g. program gate, erase gate or select gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66825Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66833Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a charge trapping gate insulator, e.g. MNOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78645Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate
    • H01L29/78648Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate arranged on opposing sides of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • H01L29/7881Programmable transistors with only two possible levels of programmation
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/20DRAM devices comprising floating-body transistors, e.g. floating-body cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/07Integrating an electronic processing unit with a micromechanical structure
    • B81C2203/0707Monolithic integration, i.e. the electronic processing unit is formed on or in the same substrate as the micromechanical structure
    • B81C2203/0757Topology for facilitating the monolithic integration
    • B81C2203/0771Stacking the electronic processing unit and the micromechanical structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/8252Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using III-V technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54453Marks applied to semiconductor devices or parts for use prior to dicing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/58Structural electrical arrangements for semiconductor devices not otherwise provided for
    • H01L2223/64Impedance arrangements
    • H01L2223/66High-frequency adaptations
    • H01L2223/6661High-frequency adaptations for passive devices
    • H01L2223/6677High-frequency adaptations for passive devices for antenna, e.g. antenna included within housing of semiconductor device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06527Special adaptation of electrical connections, e.g. rewiring, engineering changes, pressure contacts, layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06589Thermal management, e.g. cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06596Structural arrangements for testing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/105Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the Vertical System Integration (VSI) invention herein is a method for integration of disparate electronic, optical and MEMS technologies into a single integrated circuit die or component and wherein the individual device layers used in the VSI fabrication processes are preferably previously fabricated components intended for generic multiple application use and not necessarily limited in its use to a specific application.
  • the VSI method of integration lowers the cost difference between lower volume custom electronic products and high volume generic use electronic products by eliminating or reducing circuit design, layout, tooling and fabrication costs.
  • the VSI invention achieves its novel methods of integration through high precision alignment and stacking of component layers, fine grain vertical interconnections, thin flexible circuit substrates fabricated using stress-controlled dielectrics and low temperature component layer bonding.
  • the VSI integration methods are fabrication methods that are independent of the fabrication process methods used in electronic or optical circuit fabrication or MEMS fabrication.
  • the VSI invention enables the integration of systems or subsystems as a single die or VSI IC which would otherwise be collections of multiple planar ICs, optical ICs, passive circuit devices and or MEMS.
  • a VSI IC is a stack of closely coupled device or component layers the majority of which are less than 50 ⁇ m thick and typically less than 25 ⁇ m thick.
  • the VSI invention for vertical integration fabrication of planar electronic [passive and active], optical or MEMS device layers enables on demand fast turn circuit fabrication through the use of an inventory of previously fabricated generic VSI IC or device layers in combination of various proprietary IP generic device layers to achieve custom circuitry which, heretofore, would require at a minimum a new circuit design, layout and masking before consideration of the planar circuit process integration incompatibility of various device elements.
  • VSI fabrication methods enable significant cost and power reduction and performance enhancement through higher levels of integration with higher circuit yields than are presently possible with planar circuit fabrication processes.
  • VSI IC device layers are interconnected by high density vertical interconnections which are scalable so that they can be compatible with the on going decreases of circuit fabrication geometries used in horizontal interconnections of the planar device layers.
  • the VSI method for high density vertical interconnection is enabled through wafer to wafer bonding alignment methods capable of precisions of less than 25 nm.
  • the VSI fabrication methods enable the integration as one IC or die that are currently system assemblies of discreet circuits with the benefit that performance limiting circuit interconnect structures such as long on-IC interconnections, IC carriers and sockets, PCBs and PCB edge connectors are eliminated.
  • the VSI invention enables the reuse of post fabrication or inventory circuit device layers for multiple IC applications.
  • the primary benefits of the VSI technology is a reduction in complexity of IC manufacturing, testing, packaging and an increased in circuit yield resulting in a nominal reduction in manufacturing costs of approximately 10 ⁇ and commensurate with an approximate nominal 5 ⁇ increase in net circuit operating performance.
  • the VSI invention enables the implementation of SoC circuits which presently cannot be manufactured for the commercial or consumer markets due to technological or manufacturing costs limitations. This is before consideration of the present high costs associated with custom circuit tooling, large die size or the low production quantities.
  • the present invention relates to methods for making closely coupled closely aligned stacked integrated electronic circuits, optical circuits and MEMS.
  • the present invention relates to methods specific to fabrication integration, yield enhancement, performance enhancement, power dissipation reduction and cost reduction.
  • IC Integrated Circuit
  • the integration progression has repeatedly enabled the making of ICs that were not possible or practical only a few years earlier. What before prevented the practical implementation of circuits with 100,000 transistors due to excessive power dissipation or low fabrication yields, the integration progression has now enabled practical yields of circuits with 100,000,000 transistors and at much lower power dissipations despite the dramatic increase in transistor count. The integration progression has made possible the expectation that ICs with more than 1 billion transistors will be in wide spread common use within the next three to four years.
  • FIG. 1 shows in cross section a conventional planar IC composed of number of IP [Intellectual Property] circuit blocks 1 a which are interconnected by numerous layers of horizontal metal interconnect or wiring 1 b.
  • IP Intelligent Property
  • the integration progression rate has changed the relationship of the primary cost structure components for making ICs.
  • the cost of testing ICs is now approaching and in a number of cases exceeding IC fabrication cost and the cost of IC packaging ranging from 25% to several times IC fabrication cost.
  • the cost dominance of test and packaging over IC fabrication increases with each generation of IC fabrication technology. It is becoming clear that IC manufacturing methods that reduce through IC integration techniques the cost of test and packaging are of most importance.
  • the integration progression is presently challenged by the need for methods to integrate as a single die not only active electronics, but also passive electronic devices, optical devices and MEMS [Micro-Electro-Mechanical Systems]. This need is particularly evident in networking and telecommunication equipment where the switching of optical signals through the conversion of optical signals to electronic and back, to optical or electronically controlled MEMS of optical mirrors are used. But also in consumer products such as video devices that use imaging arrays which need higher integration of processing electronics and memory or wireless communication devices which need greater integration of analog and passive circuitry.
  • the primary drivers of the integration progression of planar IC manufacturing have been circuit feature size reduction through fabrication process methods and increased wafer or substrate diameter.
  • Volume production process fabrication methods for the dominate CMOS semiconductor technology has presently reached feature sizes of 0.12 ⁇ m [120 nm], and wafer sizes of 300 mm [12 inches].
  • Methods for forming stacked ICs or stacked IC structures have been demonstrated and are expected to become one more of the primary drivers of the IC integration progression.
  • IC stacking methods can be broadly classified as:
  • the stacking of ICs through various packaging methods or package driven stacking has a long and varied application history that goes back at least twenty years.
  • a recent article published in the IEEE Spectrum entitled “Packages Go Vertical” by Harry Goldstein, August 2001, pages 46-51, is one representative summary of the more recent methods of 3D packaging of Integrated Circuits.
  • the primary benefit of package driven stacking of ICs is reduced physical volume, implemented through the use of conventional ICs with various methods of forming peripheral connections from the I/O contacts of each IC to a common set of termination contacts of the package envelop enclosing the ICs.
  • Process driven stacked ICs can be generally characterized by the following process steps:
  • Closely Coupled stacked integrated circuits Process driven wafer stacking fabrication in the above manner will herein also be referred to as Closely Coupled stacked integrated circuits.
  • the Closely Coupled stacked integrated circuit layers of the invention herein are thinned to facilitate the fabrication of fine grain vertical interconnections passing through the circuit layers and substantially flexible, and wherein these layers are preferably fabricated using low stress or stress controlled dielectric materials.
  • the primary objective of closely coupled wafer stacking is to enhance the integration progression of IC fabrication beyond that possible with existing planar wafer process fabrication methods and wafer diameter.
  • Closely coupled stacked IC prior art by the inventor and referred to as 3DS [Three Dimensional Structures] are U.S. Pat. Nos. 5,915,167, 6,208,545, 6,133,640, 6,551,857, 6,563,224, 5,985,693 and 5,654,220.
  • any and all subsequent circuit design changes or additions [placement of circuitry or horizontal interconnect routing layers] to a completed planar IC requires the IC be remade, requiring at a minimum revalidation of electrical and functional operation of the circuit, the remaking of mask tooling, circuit fabrication and in most cases the obsolescence of previous circuit inventory.
  • This is a clear and significant restriction on the control of cost in the development, manufacturing and inventory management of planar ICs.
  • having the ability to inventory fabricated or complete circuitry which can subsequently be integrated at the IC or die level presents a opportunity for cost savings that affects all aspects of IC development and manufacturing, and extends the range of intended end use applications beyond that presently possible.
  • the IC Integration Progression is limited by die size.
  • the die size of planar circuits is limited by current semiconductor fabrication lithographic technology. Die size fabrication lithographic limitations stem from the maximum imaging field size of present semiconductor lithographic processing equipment. The often sought end objective for most electronic products or applications composed of multiple ICs is to integrate the ICs into a single chip solution referred to generically as a SoC [System on Chip].
  • the limits of circuit integration manufacturing are feature size and lithographic stepper reticle size [maximum lithographic image size] and yield. At this point in time production IC feature size is approaching 0.12 ⁇ m and stepper reticle demagnification size is approximately 25 mm by 30 mm which enables presently a die size limit of approximately one square inch.
  • the IC Integration Progression has now reached a minimum circuit device feature size of 0.15 ⁇ m [150 nm] in volume production.
  • the end of the IC integration progression is now anticipated to be something approaching a feature size of approximately 20 nm.
  • circuit density gains will be difficult to achieve or to implement their effective use without additional horizontal interconnect layers and new methods of power reduction or utilization necessary to drive a greater number of low voltage signals long distances over the surface of planar circuits.
  • a comparable reduction in the geometries of interconnections and an increase in the number of interconnection levels must be achieved.
  • Reduction in power dissipation is necessary to prevent power dissipation from becoming a limitation on IC Integration Progression. Reduction in transistor substrate leakage could reduce current power dissipation by approximately 50%.
  • the IC Integration Progression is limited by the number of interconnection levels that can be used in a circuit design.
  • the number of horizontal wiring levels of a planar IC is limited by manufacturing processes, presently nine [9] layers, which in turn limit the integration density of an IC design.
  • Smaller active device circuit geometries and the frequent incorporation of hardwired Intellectual Property [IP] in the design of a circuit increases the wiring or interconnection complexity between those IP circuit elements to each other and the rest of the circuitry of an IC.
  • IP hardwired Intellectual Property
  • the integration progression is physically accomplished by the making of ever smaller circuit devices and through the fabrication of denser and denser interconnections or wiring.
  • Design of most planar circuits posses the challenge of routing interconnections from one circuit block or functional group of transistors to another circuit block and then typically to the portion of the die of the circuit where I/O pads or contacts are formed for off circuit or external connections.
  • These horizontal interconnections take the form of successive layers first interconnecting adjacent circuit devices, then progressing to the interconnection of ever more distant circuit blocks of the circuit.
  • These interconnection layers are themselves connected by structures called vias, or wiring connections typically of less than 1 ⁇ m in length.
  • SoCs System on Chip
  • achieving the SoC goal is greatly restricted by the limited ability of the semiconductor industry to fabricate single ICs consisting of multiple semiconductor processes [such as 0.5 ⁇ m analog and 0.18 ⁇ m logic or DRAM processes] or multiple semiconductor technologies [such as SiGe and GaAs, InP, GaN, etc.].
  • ASICs FPGAs or CPLDs with the advantage of a very short product development process, but with the distinct disadvantages versus ASICs of higher unit circuit cost, lower performance and lower gate density.
  • the lower performance and lower gate density of FPGAs follows from the interconnect complexity required to support programmable function blocks and the on chip programmable routing interconnections for programming of the function blocks.
  • SoCs, ASICs and FPGAs circuits have become increasing capital intensive in terms of facility support and large numbers of highly trained personnel.
  • the IC integration progression can only guarantee that this trend will continue, making the development of these circuits the exclusive domain of a few large established companies with the result of lessening product diversity, competition and the well established economic vitality that flows from the innovation of small enterprise.
  • the result of the current trends of the IC integration process is the loss of greater diversity due to the growing capital barrier to market entry, this cannot be in the long term public best interest.
  • All planar circuits are made from a custom mask set, where a mask set consists of typically 16 to 32 lithography masks.
  • a single change to one circuit device or the its wiring connections in a circuit design of 10s of millions of circuit devices will result in the remaking of several or all masks for a planar IC. This in turn results in a requirement for timing simulation analysis of the circuit to determine anew it operating characteristics and if a failure condition has been created by the change.
  • the operational simulation process or IC physical validation process presently requires the majority of development effort in the design of most ICs. This effort is growing with the rate of integration progression.
  • IP Intellectual Property
  • IPs in the semiconductor circuit design industry typically refers to previously designed integrated circuit blocks that can be incorporated into a circuit design with only modest levels of additional engineering design effort. IPs are often an item of trade and are leased for incorporation into a circuit design. Some examples of IPs are microprocessors, DSPs, PCI bus interfaces and arithmetic functions. The value of IP is its ability to reduce IC development costs by its use, however, the reuse of IP only offers circuit design cost savings and does not extend savings to IC fabrication.
  • Circuit design changes to an ASIC or any IC results in the need to make new masks and potentially the creation of devalued or obsolete [valueless] inventory of those circuits made from the current and now outdated mask set.
  • a design change requires the remaking of one or more masks. New masks are expensive, and in large planar circuit designs made with complex processes they also can result in the introduction of new circuit defects, or due to large mask size reduced IC yield.
  • ASIC inventory is a serious problem which comes in three forms: wafers, bare die and packaged circuits. Circuit inventory is most often held in wafer form for cost reasons, it represents unfinished goods at a lower value but can be quickly turned into the finished goods of bare die or packaged die, however, circuit wafer inventories often take several months to replenish.
  • IC power dissipation of large ICs have increased significantly with the Integration Progression such that high performance circuits exceed 100 watts of power. Such high thermal heating of ICs limits the performance and useful life of the IC.
  • the primary sources of IC power dissipation for most high performance ICs is from substrate leakage and high I/O counts.
  • Substrate leakage is the passage of current between source and drain while the transistor is in the off state.
  • Substrate leakage is increasing with decreasing circuit feature size and is expected to be more than 30% of IC power dissipation for fabrication processes below 130 nm.
  • the power dissipation from I/O drivers are well known, but the increase in the number of I/Os for advanced ICs is expected to exceed 1,000 by 2004 and is a limit on the use of the IC technology.
  • ICs of greater than 100 mm 2 often resort to redundant or spare circuit yield enhancement methods. Such methods have proven successful in only a few higher volume production circuit types such as DRAMs and PLDs. These methods have been tried with little success in planar custom logic circuits by such companies as TRW in the 1980s and Trilogy Corporation founded by Dr. Gene Amdahl in the 1970s. These attempts failed due primarily to the limitation of circuit design automation software tools and available capacity of horizontal interconnections.
  • circuit device feature size will reduce the size or foot print of large and complex IP circuit functions such as microprocessors, DSPs or graphics processors to enable sparing as the simple solution to planar circuit defect resolution, however, the design complexity of interconnection layout and the capacity of horizontal interconnection is still a challenge for generalized implementation methods for these types of circuits.
  • burn-in Various failure conditions of an IC only occur under temperature or voltage stressing, or after some lengthy period of operation.
  • the burn-in procedure is the means used to provide this type of testing, however, the burn-in procedure lacks the full speed functional testing procedures of ATE testing, and therefore, can result in failure to detect a defective operating condition.
  • Production semiconductor lithographic tools use masks in the form of imaging reticles which image or print one or more die onto a wafer per lithographic exposure. Lithographic exposures are repeated until the wafer or substrate is completed.
  • the reticle is limited in its ability to image circuitry on a wafer in one exposure to an approximate area of 25 ⁇ 30 mm, and therefore, the largest planar IC that can be made is limited to the maximum imaging area of the reticle of the lithographic system.
  • the production tooling for making an IC consists of a set of masks. The number of masks per mask set is dependent on the complexity of the process being used and nominally vary from 16 to 32 masks. Any design change to a circuit results in the remaking of one or masks of the ICs mask set.
  • MEMS devices are a rapidly developing manufacturing technology which use semiconductor fabrication processes but has only limited compatibility for integration with IC fabrication processes and technologies.
  • MEMS take the form of such devices as accelerometers, DMD [Digital Mirror Devices], video imaging sensors, micro-switches and micro-gyros.
  • DMD Digital Mirror Devices
  • micro-switches micro-gyros.
  • the capability to integrate microprocessors, memories and wireless circuitry to name a limited few IC types would greatly expand the application, performance and capability of MEMS devices.
  • the primary improvement objectives of the VSI invention disclosed herein over current planar IC design and manufacturing processes are higher performance and lower cost.
  • the invention is a general method for custom integration fabrication of a broad range of electronic, optical and MEMS fabrication processes and technologies including the sensing and processing of information.
  • This invention is a general method for vertical integration into a single die or component of incompatible and disparate electronic, optical and MEMS manufacturing processes.
  • This invention is herein referred to as Vertical System Integration [VSI] or the VSI invention.
  • VSI Vertical System Integration
  • Various additional inventions are also disclosed that are enabled through use of the VSI invention, provide novel means for enabling the VSI invention or are in novel combination with the VSI invention as a preferred embodiment.
  • the VSI invention provides novel means for achieving through vertical integration the multiple primary objectives of lower manufacturing cost, higher performance and smaller size for electronic, optical and MEMS devices.
  • the VSI invention enables the integration into a single IC or component electronic circuit, optical circuits and MEMS devices as circuit or device layers, which are presently individually packaged and assembled onto a supporting interconnection structure such a PCB [Printed Circuit Board].
  • the circuit or device layers of the VSI invention are preferably thin and flexible wherein these layers are fabricated using low stress or stress controlled dielectric materials.
  • the VSI method of device integration is markedly different from planar IC methods which have demonstrated success only where device implementation does not require multiple dissimilar circuit fabrication processes, more than one semiconductor technology or a circuit device die size that is beyond available IC fabrication manufacturing capabilities.
  • circuit layers of the VSI invention not only consist of electronic active device circuitry, but also electronic passive device circuitry [such as inductors, resistors, capacitors, associated interconnections or wireless transmission antennas], active and passive optical circuitry with associated wave guide interconnections and mechanical devices generically referred to as MEMS [Micro Electro-Mechanical Systems], the broader terms VSI Layer or Device Layer will be used to inclusively refer to layers of the VSI invention as being anyone of an electronic, optical or mechanical layer.
  • a completed stack of VSI layers will be referred to as a VSI component or a VSI circuit or a VSI IC.
  • circuit layer EO [Electro-Optical] layer
  • MEMS device layer IC layer or VSI component layer
  • circuit layer will be used to reference both electronic or optical VSI layers
  • VSI component layer will be used to reference all VSI layer types.
  • the individual active or passive circuitry used in a die or circuit layer are referred to as circuit devices or circuit elements, examples of which are electronic transistors, magnetic memory cells, optical amplifiers, resistors or inductors.
  • the unit of VSI fabrication in general is a substrate consisting of an array of ICs, passive circuit interconnection patterns or MEMS devices fabricated on it, it is these wafers or substrates that become the circuit layers of a VSI wafer or substrate stack, and from which after a dicing process step, individual VSI components or ICs are realized.
  • the VSI invention integrates electronic circuits, optical circuits and or MEMS devices through a stacking fabrication process where circuit or device layer wafers or substrates, made with similarly dimensioned arrays of electronic, optical or MEMS components, are precisely aligned and bonded together, the substrate is thinned as needed to enable vertical access to circuit layer elements, electronic conductors or optical wave guides for subsequent circuit element or interconnect structure fabrication where upon the subsequent device layers are added until the substrate stacking is completed.
  • the individual VSI components are then cut or sawed from their wafer or substrate stack in a manner similar to the way today's conventional planar ICs are cut from wafer substrates on which they are fabricated.
  • the VSI invention is a means for fabrication of customized or application specific single die IC components with the requirement for little or no custom circuit design and fabrication tooling.
  • the VSI invention achieves this novel result in its preferred embodiment by making integrated VSI components of electronic, optical circuit layers and or MEMS device layers from generic libraries of VSI inventory circuit and or MEMS substrates with completed physical designs, wherein an application specific IC results from the selection and or order or number of the VSI library layers, or in combination with the specific use of one or more types of programmable VSI circuit layers.
  • This aspect or embodiment of the VSI invention enables application specific customization to be the result of only the VSI fabrication process and absent the specific custom design of a circuit layer.
  • Another embodiment the VSI invention is the fabrication of a VSI component or IC from a inventory circuit substrates and circuit substrates of completed physical application specific design intended only for use in one or limited number of VSI component part types. This is in clear difference to present ASIC or custom circuit integration methods wherein all device components from an IC library require individual placement and layout to be performed to complete the integration of the planar IC, and therefore, any change or addition to a planar IC requires design changes and fabrication of mask tooling for the whole or all of the planar IC.
  • ASIC and ASSP Application Specific Standard Product
  • DSP Application Specific Standard Product
  • ⁇ P volume for DRAM
  • DRAM Application Specific Standard Product
  • this distinction relates to the production volumes of such circuits where volumes for ASICs are low and volumes for DRAMs are high; however, there is no distinction between these various circuit types with respect to circuit layout and fabrication tooling, these items are customized to the circuit no matter its volume, whereas VSI components or ICs with customized or application specific capabilities can be fabricated without requiring circuit layout and fabrication tooling specific to the capacities or capabilities of a VSI component.
  • VSI components or ICs versus conventional planar ICs that a portion of a VSI circuit can be customized and without requiring the custom layout and tooling for the whole or all of the VSI component; this is the case wherein certain VSI circuit layers, but not all circuit layers, with application specific functions are fabricated as custom design layouts and requiring fabrication tooling of the layers of the VSI IC; such VSI circuit layers could be referred to as VSI ASIC circuit layers.
  • the well known cost distinction that exists presently to the advantage of ICs of high volume production versus ICs of low volume is significantly reduced due to the VSI invention capability to fabricate many low volume VSI ICs in an additive or comingled manner with high volume VSI ICs achieving similar cost savings, which is now only a benefit of the high volume fabrication of single planar circuit.
  • This distinction of the VSI invention enables the design and fabrication of electronic and optical integrated circuits from a library of previously completed physical IC designs and fabricated circuit substrates without placing a predetermined constraint on the size, circuit functions, capacities and technologies of the integrated circuit; this is a benefit that reduces the fabrication complexity and cost of an integrated circuit in an inverse relationship to circuit capacity and capability.
  • the VSI IC fabrication process of the VSI invention produces Closely Coupled circuit layers.
  • Closely Coupled means that the circuit layers are sufficiently thin to enable fine grain vertical interconnections between each circuit layer and that the interconnection lengths of electronic or optical interconnections connecting the circuitry on the various VSI circuit layers of a VSI component are less than or equal to the total thickness of the VSI layers making up the stack of the VSI circuit layers of a VSI component.
  • These interconnections are routed vertically between VSI layers and interior to each VSI circuit layer passing through any number of circuit layers with or without making a signal contact to circuitry on them.
  • Closely Coupled means that the interconnection density between VSI layers is fine grain and significantly greater than what is possible with present off circuit interconnection densities between coplanar circuits, wherein the vertical interconnection pitch of Closely Coupled VSI layers is preferably less than 4 ⁇ m and preferably less than 2 ⁇ m and less than 1 ⁇ m.
  • Interconnect lengths between two physically adjoining VSI circuit layers can be less than the combined thickness of these adjoining VSI circuit layers, since the typical thickness of a VSI layer is less than 50 ⁇ m and often less than 15 ⁇ m, VSI layer to layer interconnection lengths can be less than 30 ⁇ m and less than 15 ⁇ m.
  • the thickness of a VSI component is typically less than 4,000 ⁇ m and in most embodiments is less than 200 ⁇ m and can be thinner than 110 ⁇ m.
  • the two primary characteristics of Closely Coupled VSI circuit layers are electronic or optical vertical interconnections which are [1] of similar pitch density to that of the horizontal interconnections of a circuit layer, and [2] provide the shortest interconnection length between any two active or passive circuit device elements that are not both located on the same VSI circuit layer.
  • Closely Coupled VSI circuit layers enable improved IC performance due to the shorter circuit layer to circuit layer vertical connection lengths and also due to the VSI enabled capability to hold constant or reduce VSI layer die size [circuit layer area] while increasing the total circuitry surface area by increasing the number of VSI circuit layers of a VSI IC or component.
  • the performance and power dissipation of planar ICs is inversely proportional to the lengths of horizontal interconnections.
  • the VSI invention improves circuit performance by enabling VSI circuit layer die size or areal dimensions to be held constant or reduced in a manner that is independent of the total amount of circuitry for most embodiments of a VSI component, and thereby, improving circuit performance while increasing the amount of circuitry per VSI component or die independent of the size or areal dimensions of the die.
  • This aspect of the VSI invention to hold constant or reduce horizontal interconnection lengths results in a reduction in total VSI circuit power consumption through reduced parasitic RC effects and or a reduction in the use of long interconnection signal buffers or amplifiers commonly used in planar ICs to prevent signal propagation losses due to long interconnection lengths.
  • the Closely Coupled circuit layers of the VSI invention enables performance improvement through multiple VSI circuit layer stacking.
  • Each VSI circuit layer corresponds with the use of current planar IC technology as a separately packaged planar IC mounted on a PCB [Printed Circuit Board] or electronic circuit assembly, wherein the signal propagation delay between these planar electronic circuits is now typically greater than the internal clocking rates of today's production CMOS circuits of 1 GHz and greater.
  • the IC signal propagation delay between packaged planar ICs is the result of the unavoidable lengths of the interconnections between these ICs, and therefore, the accompanying parasitic RCL electronic effects which delay signal propagation.
  • the Closely Coupled VSI layer interconnection lengths are several hundred [100] to several thousand [1,000] times shorter than those of planar ICs arrayed on a PCB or stacked planar ICs using edge or peripheral connection methods.
  • Another aspect of the VSI invention is a doubling of available horizontal interconnection metallization layers for each VSI circuit layer. This is enabled through the VSI invention with the use of materials that are compatible with established semiconductor fabrication means, and therefore, are able to withstand semiconductor metal and dielectric deposition processing techniques and temperatures.
  • This increase in horizontal interconnection routing density of the VSI invention is enabled by thickness reduction or thinning as required of the backside substrate of a VSI device or circuit layer to less than 50 ⁇ m and as required to less than 1 ⁇ m.
  • the substrate may be thinned or partially thinned before it is bonded to a VSI substrate stack, however, it is the preferred embodiment of the VSI fabrication methods that the thinning of the substrate is completed after wafer or substrate bonding.
  • the thinning of the substrate of a VSI layer is preferably accomplished with use of a barrier layer in the substrate or dissimilar stacked semiconductor materials that provide a means to precisely determine the end point for removal of the substrate.
  • a barrier layer such as silicon dioxide of less than 500 ⁇ and as thin as 50 ⁇ will enable a precision thinning of the substrate of a VSI device or circuit layer to less than 50 ⁇ .
  • the fabrication of horizontal interconnection on the backside of a conventional planar circuit substrate is realized after the circuit wafer or device substrate is bonded to a VSI component stack or carrier substrate by one of various permanent or temporary bonding means, and whereupon, the backside of the device or circuit substrate is made available for fabrication by conventional fabrication means of electronic or optical interconnections and completion of interconnections originating on the front side of the immediately adjacent circuit or device substrate or other circuit layers of the VSI component stack.
  • VSI Closely Coupled circuit layers is the thinning of one or more substrates to a thickness of less than 50 ⁇ m. Thinning of the substrate can be assisted by embedding a barrier layer, a dielectric layer or an etch stop layer beneath the active devices. In the case of SOI [Silicon On Insulator] substrates the buried oxide layer can be used as an etch stop for substrate thinning. Nominally the barrier layer or buried oxide layer is in a thickness range of 2,000 ⁇ to 6,000 ⁇ which presently adds greatly to the cost of the substrate.
  • Closely coupled IC layers enable the buried oxide substrate layer to be less than 1,000 ⁇ in thickness and preferably less than 100 ⁇ or less than 200 ⁇ or in the range of 50 ⁇ to 500 ⁇ , since the buried oxide layer need only act as an etch stop and not as in its original intended purpose of electrical isolation of the semiconductor device layer overlying the oxide [dielectric] layer from the remaining semiconductor substrate below the dielectric layer.
  • additional oxide or dielectric deposition can be performed to provide the circuit isolation layer that would normally be present in a standard SOI substrate.
  • the thinner buried etch stop layer reduces device layer crystalline defects by a factor proportional to the reduced thickness of the buried oxide layer, crystalline defects are a common problem with current SOI substrates.
  • the buried oxide layer in a Closely Coupled VSI circuit layer since the purpose of the buried oxide layer in a Closely Coupled VSI circuit layer is now to function as a barrier layer or an etch stop, it opens the opportunity to form a layer other than oxide, since the layer can be completely removed after thinning such as an epitaxial layer. Further, the thickness of the dielectric layer can be enhanced to a thickness beyond that presently possible with SOI substrates to provide greater circuit isolation for better circuit performance.
  • Another aspect of the VSI invention that in addition to the fabrication of horizontal interconnections on the backside of the substrate of a VSI circuit layer, the fabrication of circuit devices such as transistors, memory cells, resistors, capacitors, inductors is enabled. More specifically, the completion of circuit devices such as transistors or memory cells partially fabricated on the front surface of the substrate can be completed from the backside of the VSI circuit layer; this is enabled by VSI bonding process and VSI precision wafer or substrate thinning.
  • This specific VSI capability of completing the fabrication of a circuit device requires the thickness of the semiconductor substrate wherein active circuit elements or devices are fabricated to be controlled preferably to within a tolerance of less than 5% of the thickness of the retained substrate thickness.
  • a barrier layer in the VSI circuit layer beneath the top substrate surface at a depth equal to the desired thickness that the substrate is to be thinned or approximately so, compensating for additional processing steps.
  • the preferred barrier layer embodiments are silicon dioxide or silicon nitride with thickness of less than 500 ⁇ .
  • An alternate preferred embodiment for enabling precision thinning from the backside of a circuit layer is the use of a semiconductor substrate composed of dissimilar materials such as SOS [Silicon on Sapphire], Si on glass or quartz, GaAs on Si or InP on Si wherein the underlying substrate material such as glass or silicon can be removed by established semiconductor processing methods after circuit substrate bonding to a VSI component circuit layer stack.
  • the objective in the above methods is to achieve a very well controlled thickness of the remaining portion of semiconductor substrate of the circuit layer, these methods allow a semiconductor layer thickness tolerance of less than 50 ⁇ to be achieved.
  • the backside processing of the substrate of a VSI circuit layer can also include the fabrication of a plurality of memory layers such as those made from MRAM, PRAM, ferroelectric or dendritic memory cells.
  • the benefit of fabrication of memory layers on the backside of the VSI circuit layer, in addition to the top or first side of the VSI circuit layer enables the opportunity for design of the memory cell density to be increased with respect to the semiconductor logic layer associated with a less complex vertical interconnection structure to the memory layers, or enables double the total number of memory layers that can be associated with a logic circuit layer.
  • the Closely Coupled aspect of layers of the VSI invention enables high density vertical interconnections that are local to adjoining Closely Coupled VSI circuit layers or global wherein interconnecting some number of other VSI circuit layers of any order in the VSI component, or by forming dedicated or bused interconnections through all or group of the VSI circuit layers of a VSI component.
  • a global bused VSI component interconnection structure is similar or is analogous to the well known PCB back plane bus structure used to interconnect at right angles daughter PCB cards.
  • the VSI vertical interconnection of electronic substrates also includes substrates with deposited layers of active devices such as polycrystalline or amorphous semiconductor devices.
  • active devices such as polycrystalline or amorphous semiconductor devices.
  • the vertical interconnections fabricated between these deposited device layers can be extended to form interconnections to other Closely Coupled layers in a VSI component with established circuit fabrication means.
  • Another aspect of the VSI invention regarding backside substrate processing is that it permits process separation from the fabrication process used on the front or top side of the VSI substrate. This is enabled once a wafer or substrate is bonded on to a VSI substrate stack. The bonding process seals the top side of the substrate from effects of most processing steps that may be performed on the backside. If an inorganic sealing method is used such as metal thermal diffusion bonding, then the top substrate surface is hermetically sealed. This ability to employ disparate fabrication processes on the backside of a VSI layer applies to MEMS VSI layers in addition to electronic and optical VSI circuit layers.
  • CMOS and MRAM Magnetic RAM
  • chalcogenide phase change memories or dendritic memories can be fabricated on the same but opposite sides of a substrate.
  • Processes and materials that have unique or limited product production use would never be considered for integration with a state of the art high volume CMOS fabrication process line, can with the VSI invention be integrated on the backside of the CMOS circuit with no change to the CMOS fabrication sequence or risk to its fabrication cost efficiency.
  • thin film active devices such as TFTs can be fabricated on the back side of a conventional CMOS circuit wherein multiple layers of thin film devices can be fabricated with vertical interconnections not only between these layers but also directly to the active devices on the front side of the CMOS layer.
  • optical circuitry As Closely Coupled VSI circuit or device layers.
  • Optical circuits that are fabricated with semiconductor processing techniques on substrates such as silicon, GaAs or quartz with dimension similar to those that would be used in the VSI fabrication process can be integrated into a VSI component or IC.
  • the same bonding means used for bonding electronic Closely Coupled layers can be used to bond optical layers to form Closely Coupled VSI layers including one or more optical circuit layers.
  • Optical circuit interconnections between VSI layers are achieved through such means as the coupling of adjoining wave guides on the surfaces of VSI layers as a result of the bonding process, through the means of reflectors fabricated onto top or backside surfaces, or through coupling of photo diodes and photo sensors as shown in FIG. 28 and FIG. 29 .
  • MEMS that are fabricated with semiconductor processing techniques on substrates such as silicon or quartz with dimension similar to those that would be used in the VSI fabrication process can be integrated into a VSI circuit or VSI component.
  • the bonding means used for bonding electronic or optical Closely Coupled layers can be used to bond MEMS layers to form Closely Coupled VSI layers including one or more MEMS device layers.
  • MEMS devices fabricated on a substrate such as silicon arranged in much the same manner as an electronic or optical circuit is bonded face down [or initially face to face] onto a VSI circuit stack, the backside of the MEMS substrate is thinned as need and through established semiconductor fabrication techniques various vertical interconnections between the MEMS device and VSI circuit layers to which it may be bonded are fabricated on the backside and optionally a bonding layer is fabricated for subsequent addition of more VSI circuit layers.
  • MEMS devices such as interferometers, micro mirrors or mass storage devices benefit from immediate or Close Coupling to circuitry that can more accurately sense the output of these devices or control their operations improving their performance as well as reducing their cost.
  • FIG. 28 shows a portion in cross section with VSI circuit layers 2801 , 2802 , 2803 , 2805 , 2811 with optical interconnections over a MEMS layer 2803 of a VSI component or IC wherein active optical laser diode 2804 fabricated on the front side of single crystalline semiconductor VSI layer 2805 emits an optical signal into a dielectric wave guide 2806 incorporating optical reflectors 2807 and 2808 which is received by photodiode sensor 2809 . Also shown are TFT deposited layer and horizontal interconnect layers 2810 , digital logic circuit layer 2811 and VSI layer thermal diffusion bond 2812 .
  • the optical wave guide of FIG. 28 may also incorporate an optical wave guide coupling shown in FIG.
  • optical wave guide 29 formed by the bonding of two VSI circuit layers wherein optical wave guide 2901 on circuit layer 2903 is coupled to optical wave guide 2902 fabricated on circuit layer 2904 with the formation of bond 2905 and cavity 2906 .
  • the optical coupling is accomplished by an off angle end terminations 2907 off optical wave guide 2901 and 2908 of optical wave guide 2902 wherein optical signals leaving the end of wave guide 2901 are reflected off reflective metal surfaces 2909 , 2910 , 2911 and 2912 and into the end of waver guide 2902 .
  • the cavity 2906 is vacuum or filed with a fluid with minimum absorption characteristics for the wavelength of the optical signal.
  • the VSI invention takes into account that the rapidly declining fabrication cost of IC transistors can offset the cost of circuit designs and their fabrication costs where circuit self repair or reconfigurability implemented though vertical IC integration methods are used as means to achieve increased IC yields, lower packaging costs including reduction of net system assembly costs and the merger of disparate incompatible semiconductor fabrication processes and technologies.
  • the VSI invention achieves this result by enabling a greater density of IC integration than is possible by the foreseeable means available to planar IC fabrication through the implementation of vertical integration and fine-grain vertical interconnections aligned to less than 2 ⁇ m pitch and the thinning of the semiconductor substrate to less than 1,000 ⁇ or to any dimension as required.
  • VSI wafer or substrate thinning enables fabrication processes to be performed on the backside or underside of circuit layers providing the opportunity for novel transistor design improvements and fabrication of additional conventional [horizontal] interconnections with equivalent circuit complexity and density presently only afforded with the topside of the integrated circuit.
  • the VSI invention enables the cost effective trade-off of the use of dynamic or static field programmable circuitry to implement circuit self repair with the use of spare or redundant circuitry.
  • the implementation of these methods through the VSI invention improves IC yield, reduces the circuit design complexity and the merging of technologies and processes through modular IC structures with higher degrees of assured operation.
  • the VSI fine grain vertical interconnections preferably are formed at the time two circuit wafers or substrates are bonded [preferably by thermal diffusion bonding] and during the backside interconnection fabrication of one subsequently thinned wafer or substrate so that the thinned substrate is less than 50 ⁇ m and preferably less than 5 ⁇ m.
  • the substrate being thinned is an SOI substrate
  • all of the silicon is removed up to the buried insulation layer [the buried insulation layer is typically oxide but alternate insulation materials can be used such as nitrogen to form a nitride layer] underlying the semiconductor device layer.
  • the VSI invention is a set of circuit and MEMS integration methods enabling the fabrication of electronic systems or subsystems as one IC die or VSI component instead of what are now and for the foreseeable future assemblies of large numbers of individually packaged planar ICs.
  • the integration methods of the VSI invention result in greater IC integration than that possible with conventional planar IC integration and with IC benefits of higher performance and net lower manufacturing cost and power dissipation than an equivalent non-integrated set of planar ICs.
  • VSI closely coupled IC circuits enable the performance limiting off-circuit or off-chip interconnections or I/Os of conventional planar ICs to be replaced by their integration into the VSI IC as vertical interconnections between VSI circuit layers or more specifically the interconnection density between the ICs of VSI IC layers.
  • the VSI invention enables novel methods addressing present IC fabrication limitations of yield enhancement, performance enhancement, cost reduction, lower power dissipation, NRE cost reduction, and design and fabrication complexity.
  • the VSI invention reduces the requirement for use of second-tier IC interconnect means such as IC carriers or packages, IC carrier sockets, PCBs and PCB edge connectors.
  • the integration of second-tier interconnect means results in reduced cost and increased circuit performance, but also total circuit power, size and I/O reductions in a range of 100 ⁇ to 10,000 ⁇ .
  • the VSI invention is a means that simplifies the design, development, mask making, final test and burn-in of ICs.
  • the IC integration progression has also provided the infrastructure and means for implementing the VSI invention.
  • the solid solubility or diffusion of one metal into another metal of a higher melting temperature is a means for forming a VSI circuit layer bond at a lower temperature and resulting in an alloyed metal at the bond interface of the bonding layers with a higher working and melting temperature.
  • Metal films or layers deposited on the VSI circuit wafers or substrates for the purpose of forming bonds of VSI circuit layers are deposited with semiconductor techniques such as sputtering, evaporation or CVD [Chemical Vapor Deposition].
  • Such films made from In, Sn, Zn, Cd or Ag or alloys of such metals when deposited on top of another metal film with a higher working or melting temperature such as Cu, Al, Ni or Pd will with appropriate annealing temperatures diffuse into each other forming an alloy of high working temperature. If the lower working temperature film is thinner relative to the higher working temperature film, the resulting alloy film will have a higher working temperature than if they were in equal proportions.
  • the VSI invention uses this physical relationship of metal films to use a first wafer or substrate bonding temperature that is lower than the final working temperature of the VSI circuit layer bond. This is done by using a lower melting temperature metal such as Sn over a film of Cu on the two bond contact surfaces of VSI circuit substrates to be bonded. For example, with a first Sn film of 500 ⁇ and a second underlying film of Cu film of 5,000 ⁇ to 7,500 ⁇ , applying temperature of 180° C. and pressure of 2 atmospheres will bond the Sn first films, and with subsequent temperature annealing steps of 180° C.
  • a lower melting temperature metal such as Sn
  • the Sn will diffuse into the Cu layer creating a SnCu diffused or alloyed film at the bond interface with a higher working temperature than the melting point of Sn.
  • Bonding films made of two or more deposited metal films will be herein referred to as Diffusion Alloy bond layers. This type of metal bond layer is novel in its use in integrated circuits and MEMS and can be used in VSI fabrication to lower the bonding temperatures of VSI substrates.
  • Another example of dual metal thin film diffusion bonding is the sputter deposition of a 50 ⁇ to 500 ⁇ film of Sn [tin] over Al [aluminum].
  • the deposition of the Sn should be done after the removal of the approximate 35 ⁇ thick Al oxide on the surface of the Al film by a method such as sputter etching or the Sn film should be deposited following the deposition of the Al film without exposure of the Al film to atmosphere or oxygen, and therefore, avoiding the formation of the Al oxide film on the Al film; the Sn film can be deposited over the Al film to prevent the formation of Al oxide on the Al film.
  • the Sn films enable a bond between the substrates to be rapidly formed. Subsequent thermal annealing of the bonded substrates will cause the thin Sn film to diffuse in to the thicker Al film.
  • the benefit of this bond processing sequence is to simplify and to accelerate the bonding of Al films and to create an alloy of SnAl at the diffusion bond interface that has a higher melting temperature than Sn such that the working temperature of the IC stack is higher than the melting temperature of Sn.
  • Another example of such a metal sequence is Sn/Cu/Al.
  • the Sn layer may serve as an etch mask for the Cu/Al films and the Cu film will act to prevent the formation of an SnAl diffusion intermetallic alloy.
  • the characteristics of the diffusion formed intermetallic alloys are determined by the thickness of the various metal films of the film stack and their exposure sequences to temperature in terms of duration and temperature range. The thinner the primary bonding metal film is, the greater the control available in reducing the emergence of an undesirable intermetallic diffusion alloy.
  • the VSI invention is a Closely Coupled stacked integrated circuit fabrication technology.
  • the primary benefit of Closely Coupled circuit stacking integration is its ability to achieve electronic circuit characteristics equivalent to that of planar circuit integration from the stacking of a plurality of individual planar ICs.
  • Closely coupled integrated IC layers have the same electronic and electrical operation as a planar integrated ICs.
  • there are clear benefits that Closely Coupled integration provides that planar integration does not.
  • the VSI invention through Closely Coupled circuit fabrication enables one or more of the following:
  • planar ASICs The primary benefit sought from the use of planar ASICs is to achieve high performance of an application by fabricating selected portions of the application as hardware functions. This narrow focus to achieve performance utilization of an application is also the most serious limitation to the use of ASICs for the reason that once hardware functions or IP [Intellectual Property] is committed to a hardware design in a planar IC its reuse in another IC will require at least partial redesign of the circuitry to place it into the circuitry of a second IC, the cost of fabrication tooling masks and physical testing verification.
  • IP Intellectual Property
  • VSI circuit wafers or substrates can be thought of as reusable circuitry from the stand point that their subsequent integration is possible on an as is basis into alternate VSI circuit applications, and without losing the well known attendant benefits of planar IC integration.
  • VSI IC or component design changes by changes to one circuit layer or the addition of circuit layers does not unavoidably result in making the existing VSI layers obsolete or require the remaking of mask tooling for the existing VSI circuit layers as is required when changes are made to planar ICs.
  • VSI component density can be increased or decreased without circuit redesign; the addition or removal of VSI circuit layers is similar in principle to the addition or removal of daughter PCBs from a mother board or back plane.
  • any and all subsequent circuit design changes or additions to an existing circuit layer only requires the remaking of that specific VSI circuit layer. This is a clear and significant novel advantage over planar ICs in terms of IC development, manufacturing and management of fabricated IC inventory.
  • MEMS MicroElectro-Mechanical Systems
  • the IC integration progression is expected to continue with the integration of microprocessor circuits with ever more memory and processor related control circuitry such as graphic processing logic and DSPs. This progression is driven by the demands for more performance and lower cost, and with portable electronic devices lower power and smaller physical form factor or finished circuit size.
  • the result of reducing circuit feature sizes is increased circuit operating performance, lower cost, lower power and smaller form factor or size per some unit of circuit area. This is well know to those skilled in the art.
  • ASIC Application Specific IC
  • ASSP Application Specific Standard Product
  • ASIC application specific integrated circuit
  • the clear end objective for most electronic products or applications composed of multiple ICs is to integrate the ICs into a single chip solution referred to by the all encompassing term of SoC [System on Chip].
  • the limits of circuit integration are feature size, lithographic stepper reticle size [maximum lithographic image size] and yield. At this point in time feature size is approaching 0.12 ⁇ m, stepper reticle size is approximately 25 mm by 30 mm and circuit yield is inversely proportional to the size of the semiconductor circuit die with best circuit yields obtained with chip sizes of less than 1 cm 2 .
  • ICs are also made from different semiconductor manufacturing processes and technologies, such CMOS, flash, DRAM, BiCMOS, GaAs, GaN, InP, etc.
  • CMOS and DRAM are difficult and expensive to integrate, semiconductor technologies such as GaAs, GaN or InP have proven to be extremely difficult or impossible to date to commercially integrate with silicon as a single SoC planar circuit.
  • Larger electronic systems such as multi-processors computer systems, Storage Area Networks and internet routers are performance limit by the methods used to interconnect the various highly integrated ASICs, ⁇ Ps and memory circuits from which they are made.
  • the on-chip IC clock speed can be as much or more than several times higher than the clocking speed used to communicated between ICs.
  • the inter-IC wiring interconnect consisting of IC package, IC socket, PCB, PCB connectors and back plane are the contributors of the single greatest delay in electronic system performance.
  • the VSI vertical interconnections enable a circuit to be reconfigureable by function with similar facilities as it uses in configuring a circuit to delete or add circuitry as a result of a circuit defect.
  • the benefit of VSI vertical interconnections for the implementation of reconfigureable circuits such as PLDs, FPGAs or CPLDs is reduced interconnect routing complexity and or a reduction in the number of horizontal interconnection layers per VSI circuit layer, these benefits result from the use of smaller die dimensions and the segregation to separate VSI circuit layers of various logic circuitry and memory circuitry.
  • An example is global routing for FPGA type circuits where die size can be as large as 600 mm 2 with global horizontal interconnections as long as 20-30 mm.
  • VSI vertical interconnections can reduce maximum global horizontal interconnect lengths to less than 2 mm with a majority of the FPGA logic blocks globally interconnected by lengths of less than 100-200 ⁇ m. This is done by segregating or partitioning the FPGA or CPLD circuit into a set of circuit layers with a die area of 25-30 mm 2 .
  • the VSI partitioning of a planar FPGA circuit into a set of VSI FPGA circuit layers allows the majority of FPGA circuit blocks to be interconnected vertically and reduces the average interconnection length to a function of the die thickness, a length of nominally less than 200 ⁇ m, and the maximum interconnection length to a function of the die size, a length of nominally less than 10 mm.
  • Planar PLD, FPGA or CPLD circuits provide an unrivaled flexibility to achieve hardware development and performance efficiencies through an implementation of a logic function by the programming means of the FPGA circuit. This benefit, however, is significantly offset by a low density of programmable interconnections of and between logic functions, low gate density and low memory density relative to custom logic circuits [ASICs] which results in higher FPGA circuit cost.
  • FPGA circuits are presently limited in the number of gates per circuit by the field size of the lithography tool or reticle and the global routing resources necessary for transmission of data signals across the circuit. PLD routing resources typically require 60 to 70% of the area of a PLD circuit.
  • VSI invention significantly reduces the cost of planar FPGA circuits by increasing the interconnect, gate and memory density.
  • the VSI invention further uniquely improves on the capability and capacity of present FPGA circuits by enabling an increase gate and memory density beyond current planar circuit limitation due to lithography, and to incorporate dedicated circuit functions or IP on an as needed basis without requiring design change to a VSI FPGA circuit layout by implementing hardwired or dedicated circuit functions or IP on VSI circuit layers that can be added to a VSI FPGA component.
  • the lithography die size limitation is a physical constraint on the fabrication of all planar ICs, and therefore, limiting the amount of circuitry that can be integrated on any single planar IC regardless of what planar fabrication process used.
  • the amount of circuitry that can be integrated into a VSI IC is not limited by the lithography fabrication limitation on die size, but by the integration of a plurality of circuit layers providing the integration efficiencies of at least that of planar integration.
  • a unique advantage of the VSI fabrication method of making stacked circuits with SOI substrates fabricated with the SIMOX process is that the buried insulation layer or barrier layer of the SOI substrate need only be as thick as that required to complete the removal of the underlying substrate.
  • the thickness of the buried insulation layer can be as thin as 50 ⁇ to 150 ⁇ versus typical SOI buried insulator layer of 4,000 ⁇ .
  • the direct advantage of such a thin buried insulation layer is that there is less crystal lattice damage of the overlying semiconductor layer during the implant of the insulating atomic species. Once the underlying substrate is completely removed the insulation layer is exposed and the thickness of the insulation layer can be enhanced by deposition of insulation films.
  • the VSI invention integration method uses the fabrication of vertical interconnects on less than 4 ⁇ m pitch and with the wafer to wafer alignment and bonding methods provided herein, the fabrication of vertical interconnects of less than 250 nm [0.25 ⁇ m] pitch and less than 100 nm [0.1 ⁇ m] pitch.
  • a 4 ⁇ m pitch enables a vertical interconnect density of 62,500/mm 2
  • a 100 nm [0.1 ⁇ m] pitch enables a vertical interconnect density 100,000,000/mm 2 .
  • Vertical interconnect pitch with geometries of less than 1,000 nm [1 ⁇ m] pitch are necessary in order to match the integration scale of a majority of current circuit devices.
  • This density of vertical interconnections between VSI circuit layers eliminates a majority of interconnection restrictions that presently exist between planar circuits and result in performance limitations on inter IC bandwidth often referred to as I/O bottlenecks.
  • Present planar ICs have external [off-chip or off-die] I/Os of less than 500 connections and are limited to a maximum I/O count of approximately 4,000; the maximum I/O count limitation results from packaging technology constraints in addition to cost constraints.
  • the VSI high density fine grain vertical interconnections can be organized into standardized placements in the layouts of a family or library of circuit layers with the objective of enabling the subsequent direct coupling of these interconnections to overlying [underlying] circuit layers of a VSI IC.
  • FIG. 2 c shows a standardized placement of several fine grain vertical interconnection blocks 201 c , 202 c , 203 c and within such blocks the vertical interconnection density may vary independently by pitch in addition to the total number of interconnections per block; for example, block 201 c may have 1,000 vertical interconnections arrayed with a 1,000 nm [1 ⁇ m] pitch for providing power between circuit layers, block 202 c may have 15,000 vertical interconnection arrayed with a mix on two or more pitches from 2,000 nm to 1,000 nm, and block 203 c may have 80,000 vertical interconnections arrayed with a 200 nm pitch to implement a high bandwidth bus connections.
  • block 201 c may have 1,000 vertical interconnections arrayed with a 1,000 nm [1 ⁇ m] pitch for providing power between circuit layers
  • block 202 c may have 15,000 vertical interconnection arrayed with a mix on two or more pitches from 2,000 nm to 1,000 nm
  • block 203 c may have
  • a primary objective of the VSI invention is the reduction of the interconnect length of and circuitry associated with off die or external IC circuit interconnections commonly referred to as IC I/O bond pads or I/O connections 11 as shown in FIG. 3 .
  • External IC interconnections typically account for more than 50% of the power dissipation of an IC.
  • the I/O circuitry necessary to send signals on and off the DRAM circuit account for approximately 90% of the power dissipation.
  • the direct benefits of reducing the number of external I/Os of an interconnected set of ICs in addition to lower power dissipation are smaller die size and an increase in the combined performance of the set or network of interconnected ICs.
  • the benefit of reduced power dissipation of the VSI invention follows from the reduction of inter IC or circuit layer connection wire lengths to typically less than 200 ⁇ m implemented with fine grain vertical interconnections, therefore, reducing or eliminating the I/O circuitry and associated power needed to drive circuit signals lengths of several inches to tens of inches.
  • the benefit of reduced die size in VSI invention follows from the reduced foot print of a fine grain vertical connection that can be 2,500 to 10,000 times smaller than an I/O bond pad 11 , therefore freeing the surface area that would be required of a planar IC. This VSI benefit is most significant with ICs that require additional surface area beyond that necessary for the implementation of circuitry in order to provide area for bond pads are referred to as bond pad limited.
  • the benefits of higher performance in VSI circuit layers follows from the shorter inter IC connection lengths.
  • the VSI vertical integration method enables the elimination of external I/O circuit drivers and pads between circuit layers by routing these interconnections to one or more of fine grain vertical interconnections or vertical buses.
  • the fine grain vertical interconnections can be designed to implement any order of connections between each circuit layer of a VSI IC through fabrication of interconnection routing on the backside of a circuit layer, therefore, at a minimum any routing connection network between a set of planar ICs can be reproduced when such ICs are stacked in a VSI IC or component.
  • the VSI integration enables the design of a circuit to be the determiner of VSI circuit layer foot print or die size and not the total amount of circuitry comprising the IC as in planar ICs.
  • the performance and yield of a planar circuit is directly related to its die size.
  • the performance of planar circuits made with fabrication geometries of 0.15 ⁇ m and less are dominated by the propagation delay of the wire length used to interconnect the transistors and not the switching propagation delay of the transistor.
  • the design of a VSI circuit enables the arbitrary partitioning or placement of circuit functions per circuit layer and combining horizontal interconnections with fine grain vertical interconnections between circuit layers, therefore, the VSI circuit layer foot print or die size is a circuit design decision.
  • the VSI integration reduces circuit power dissipation and increases circuit performance through smaller die size and the elimination of stacked IC to IC I/O drivers with fine grain vertical interconnection.
  • Circuit integration with geometries below 0.15 ⁇ m dramatically increases the density of active devices per unit area of semiconductor substrate.
  • circuit operating voltages are also reduced, reducing the transistor signal drive capability and necessitating greater numbers of repeater circuits in order to send a signal across the surface of the IC of lengths of several thousand microns [4-20 mm] or more. This in turn increases the power dissipation of the circuit.
  • the fine grain vertical interconnections of a VSI circuit layer nominally vary in length from a few microns to 10 ⁇ m per circuit layer with total vertical wire lengths through the complete VSI IC or component of nominally less than 150 ⁇ m, although physical implementation of vertical wire lengths of greater than 1,000 ⁇ m [1 mm] are possible, a practical design driven maximum length of less than 600 ⁇ m [0.6 mm] is anticipated.
  • the shorter VSI vertical wire lengths would replace all global or long wire lengths of an equivalent planar circuit reducing the wire propagation delay nominally by more than a factor of 10 and power dissipation by a design dependent amount.
  • IC to IC I/O drivers often account for the majority of power dissipation of a planar circuit and the number of I/Os of a planar circuit design may be restricted in order to reduce total power dissipation.
  • the power dissipation of off chip I/O drivers results from the resistance and capacitance loading of the interconnections between planar circuits consisting of at least circuit packages and PCBs [Printed Circuit Boards], but may further include package sockets, PCB edge connectors and back planes.
  • PCBs printed Circuit Boards
  • These same interconnections are the primary determiners of net electronic system performance [exclusive of delays attributed to electro-mechanical sub-systems such as disk drives] for most electronic systems such as PCs, servers, network processors or supercomputers.
  • the VSI invention enables simplified methods for high speed electronic and or very wide data path transfer of information between two or more VSI circuit layers.
  • High speed transfers of information between planar ICs at rates of greater than 500 Mbps per single interconnection require custom transceiver logic specific to this function.
  • the Rambus transmission technology is a very well known example of such transceiver logic.
  • the short connection [wire] lengths provided by fine grain vertical interconnections of the VSI invention enable information to be transferred from one circuit [IC] layer to any other circuit layer of the same circuit stack with no more circuitry than would be required for transmission over the surface of a planar circuit.
  • the VSI invention enables a new method for built-in self test of a VSI IC with a standardized or specialized tester capability comparable to that now provided by ATE systems. This is accomplished by incorporating tester logic on a separate circuit layer or portion of a circuit layer.
  • the tester circuitry uses fine grain vertical interconnections as direct connections or as standardized bus structures to send test signals to other circuit layers.
  • the tester circuitry is available as a standardized circuit layer that can be programmed to test all or most of the various circuit layers through standardized or common busing means that test a circuit layer as a whole or portions there of.
  • the tester circuit layer may also be fully redundant to enhance the probability that tester circuitry will be available to perform testing.
  • ком ⁇ онент there may be more than one type of tester logic incorporated on one or more circuit layers depending on the types of circuit layers in the VSI circuit stack or component.
  • This approach where a general purpose or broad application built-in circuit tester is incorporated as a circuit layer of a VSI component avoids the obvious and considerable design impact that would result if the tester logic were to be incorporated as part of a planar circuit.
  • the availability of the high density VSI fine grain vertical interconnections allow tester connections on any specific circuit layer to be implemented through a standardized test interface protocol with routing to standardized vertical bus interconnection placements on all circuit layers.
  • this method of built-in self test would eliminate the need for VSI IC testing by current ATE systems and allow all or the majority of VSI IC testing procedures to be performed during IC burn-in processing, thereby reducing the cost of VSI IC testing and the present complexity of burn-in tester circuitry, since no additional burn-in test circuitry or tester programming would be required as presently required for planar circuit burn-in.
  • the cost benefit of the VSI method of built-in circuit self test increases as the number of circuit layers are increased from the stand point of higher tester logic utilization and lower tester logic cost as a percentage of total circuit layer cost.
  • This method of built-in self test gives the circuit the option of using this internal tester logic capability to validate the integrity of the complete circuit or portions thereof during the useful life of the circuit. Further, this method enables the VSI component to provide stand-by [stand alone] or dynamic self testing, or a means to perform circuit operational monitoring to observe circuit degradation over its useful life.
  • the availability of ATE or programmable generic or specialized tester logic also enables internal VSI IC defect detection and reconfiguration when in combination with reconfiguration circuitry and or sparing circuitry.
  • VSI integration reduces the need for circuit packaging and provides a hermetically self-sealed package. Only one conventional package may be required per VSI component, this reduces the number of packages that would have been required for the VSI IC's equivalent as multiple planar circuits to one or none. Reducing the number of packages in an electronic assembly increases circuit yield in addition to a reduction in circuit component cost; the IC packaging process has a nominal 1% or greater yield loss. Further, the VSI IC can form its own package or is self packaging. This results from the VSI bonding process where the top surface of a completed VSI is the backside of the last circuit layer bonded onto the VSI component. This means all electronic device surfaces are interior to the VSI circuit layer stack and protected from damage.
  • the IC integration progression below 0.15 ⁇ m [150 nm] reduces the size of the IP or circuit foot print but not necessarily the die size of a planar IC because the size and number of I/O [off chip] contacts or bond pads are not affected. This is a frequently occurring condition where the size of a die is said to be bond pad limited, meaning that the size of the die is not reduced proportionately with the reduction of area occupied by the circuitry on the die when fabricated with smaller geometries.
  • the integration of additional off chip circuitry or IP in an effort to reduce I/O count is most often limited by the size of off chip IP that would be included, the inclusion of the IP often increases the net I/O count or makes the circuit design non-manufacturable, or the IP is unavailable except as a separate planar circuit.
  • the VSI invention avoids bond pad limitations because the fine grain vertical interconnections pads are nominally more than 2,000 times smaller than conventional planar IC bond pads.
  • prefabricated IP can be integrated into the VSI component without requiring circuit redesign and there is no practical limit to the amount of off chip circuitry or IP that can be added as separate VSI circuit layers. This aspect of the invention enables the VSI component to achieve an I/O bond pad count that is significantly less than the bond pad count of equivalent planar circuit.
  • VSI component bond pad reduction is given by taking a set of planar ICs comprising a microprocessor, DSP, one or more ASICs, CPLDs or FPGAs, several SRAMs and CAMs, and some number of SerializerDeserializer circuits which would be mounted and interconnected via a PCB and with less than 200 contact edge connections on the PCB. Fabricating these planar ICs with perhaps a total of as many as 3,000 I/O bond pad connections as VSI circuit layers of a VSI component would result in a single circuit die with approximately 200 of I/O bond pads corresponding to the edge connections required by the PCB upon which the stacked circuit would be mounted, or approximately a more than 10 to 1 reduction in bond pads.
  • the invention also enables a reduction in the design complexity and numbers of metal horizontal interconnection layers than may be required of a planar IC.
  • the reduction of IC geometry increases the number of transistors that can be fabricated to make a planar IC also increases the wiring complexity and number of wiring layers needed to effect the connections of structures of transistors which take the form of microprocessors, DSPs, memory arrays, register arrays, and IPs of various functions.
  • the continuing integration of more of these circuit structures results in increased circuit design and placement complexity and additional metal horizontal interconnection layers in planar ICs due to the requirement to complete the high numbers of interconnections between the circuit structures and or IP over the surface of the IC.
  • the VSI invention enables the partitioning of the circuit structures of a planar IC to separate circuit layers and a reduction in the number of required horizontal metal interconnection layers with fine grain vertical interconnections.
  • This aspect of the VSI invention has the direct benefits of reduced die size, reduced design complexity from the reduced number of circuit structures per circuit layer and through standardized placement of fine grain vertical interconnections per circuit layer, reduced horizontal interconnection layers per circuit layer due to fewer circuit structures in combination with the use of vertical interconnections, and higher performance through shorter interconnection lengths between circuit structures.
  • the VSI invention uniquely enables SoC circuitry through the following:
  • One of the objectives of the VSI invention is to enable an increase of information transfer between memory and logic [ASICs, ⁇ Ps, graphics processors] circuits in both of the fundamental measures of data bandwidth: data line transfer rate and data path width.
  • This disclosure will describe novel methods of making and device implementations for integrating the IC interconnect components of IC sockets, PCBs, PCB connectors and back plane onto stacked logic and memory chips.
  • closely coupled stacked ICs or VSI components are formed of circuit layers or planar circuits where each circuit layer can be made from an arbitrary and unrestricted choice of circuit device fabrication technology and process to implement active or passive circuit elements or devices.
  • the circuit layers of a VSI component are circuits of 50 ⁇ m thickness or less, typically less than 20 ⁇ m or as thin as 2 ⁇ m, and composed of the layout of single circuit elements or devices or the groupings of various single type circuit elements as Circuit Blocks interconnected by conventional horizontal interconnect means and further connected as required by fine grain vertical interconnections which can be scaled or implemented to dimensions compatible with the horizontal interconnection means used in the fabrication of the individual circuit layers.
  • a VSI IC can be implemented solely with a combination of fine grain vertical interconnections between the various circuit elements or Circuit Blocks on the various circuit layers of VSI component.
  • the VSI component preferred embodiment is implemented with one of several possible yield management methods in combination with fine grain vertical interconnections.
  • Another aspect of the VSI invention is a method of making memory circuits of widely varying capacity with a predictable yield of greater 95%, resulting in a lower cost per bit than planar memory ICs and with the capability to use customized control logic in the VSI memory component but still achieve the cost benefit normally attributed only to the very high production volumes of fixed memory design circuits such as DRAMs or flash.
  • This aspect of the VSI invention includes implementations not limited to flash, DRAM but also includes SRAM, MRAM, PRAM [Phase-change RAM], dendritic, GMR or other such memory cells.
  • the width and transmission rate of an off-chip bus determines transmission bandwidth for moving information within a planar IC.
  • Bus widths for conventional planar circuits vary in a range up to 256 signal lines which are intended to match the processor data width or the width of the off-chip cache or memory associated with the processor.
  • the ability of a processor to move information from one memory area to another, to or from large memory I/O buffers associated with I/O processing logic, context state changes of several thousand bytes or the movement of large messages in a communications router are examples of when throughput performance of an IC would be limited by a bus width of 256 or even 512 signal lines.
  • Off-chip connections or I/Os for present planar ICs are limited to less than approximately 2,000 by horizontal interconnect layer density, packaging and power dissipation.
  • VSI fabrication methods enables multiple vertical interconnect off-chip buses of several thousand signal lines each, with a capability of total off-circuit layer I/Os exceeding 100,000, and no more increased power dissipation than if such a bus were an on-chip transmission bus.
  • the methods of the VSI invention enables the fabrication of a new class of single die ICs which includes without process integration restrictions digital logic, most types of memory, mixed signal circuitry, sensors, passives, and any other type of semiconductor based circuitry and MEMS.
  • the VSI methods are generic in design and fabrication allowing new applications to reach lower manufacturing cost levels without the normally attendant requirement of mass volume production rates.
  • Another aspect of the VSI invention is the ability to reduce the number of horizontal interconnection layers of an IC layer and or the routing complexity of the horizontal interconnect layers for the implementation of large numbers logic function units and or for the implementation of spare logic circuitry for circuit repair in the event of manufacturing defects or operating life-time circuit failures by arranging the design of logic functions vertically and interconnecting them with fine grain vertical interconnections.
  • Vertical interconnections used in this manner can substitute for horizontal interconnections and allow for much higher levels of interconnection density due to the fine pitch [less than 1 ⁇ m], and therefore, small cross-sectional area of the vertical interconnections, the implementation of which is not related to or restricted by the number of horizontal interconnection layers of a circuit layer.
  • the VSI component or IC can be implemented with internal or external yield management circuitry specific to the layers of a VSI IC but not necessarily used or required for use with all circuit layers or all sub portions of any circuit layer.
  • the VSI IC contains one or more circuit layers with yield management circuitry for specific use with one or more circuit layers of a VSI IC and connected to those circuit layers with fine grain vertical interconnections, and or a combination of fine grain vertical interconnections some of which are common to each circuit layer and unique to each circuit layer of all or a subset of the circuit layers of a VSI IC for implementing an external connection for access to yield management circuitry.
  • the VSI IC can be implemented with a combination of fine grain vertical interconnections some of which are common to each circuit layer and unique to each circuit layer to enable or disable individual circuit elements, Circuit Blocks, various groupings of same or whole circuit layers through voltage supply connections.
  • the fine grain vertical interconnections are used to make connections that test, permanently or temporarily program, configure, reconfigure, repair, dynamically reconfigure, dynamically enable or disable, and power individual or groups of circuit elements or Circuit Blocks of a circuit layer or whole circuit layers from one or more controller circuits on a separate circuit layer or layers internal to a VSI IC, external to a VSI IC or a combination of both.
  • the VSI fine grain vertical interconnections are further used as a means to establish customized connections between circuit elements and Circuit Blocks on different circuit layers.
  • the VSI fine grain vertical interconnections are further used as a means to establish standardized physical circuit layout placement of inter circuit layer I/O contacts on the surfaces of circuit layers for the interchange of circuit layers with the same or different functions from a preexisting inventory of circuit layers.
  • the VSI fine grain vertical interconnections further are used for the functional implementation of arbitrarily wide high performance communication protocols, control and data information transfers, permanent or temporary programming of control and data information between separate circuit layers internal to a VSI IC.
  • the VSI fine grain vertical interconnections are further used as a means to establish physical interconnections for functional communication solely between any single circuit layer or a group of circuit layers and circuitry external to a VSI IC.
  • the VSI fine grain vertical interconnections are further used as a means to establish through circuit design, in addition to the established means of fabrication process control, a reasonable expectation of the percentage yield of a wafer of VSI IC circuits independent of the size in planar circuit area of the VSI IC.
  • Yield management circuitry is circuitry specific to the enhancement of the correct operation of a VSI IC or the yield of a wafer of VSI IC circuits.
  • the intention of this circuitry is to enable or disable, permanently or temporarily, one or more circuit elements or Circuit Blocks of a circuit layer or layers, or one or more whole circuit layers of a VSI IC circuit.
  • This circuitry may or may not have circuit test capability.
  • This circuitry may be low in complexity such as a circuit for switching signals from external circuitry for enabling or disabling various combinations of circuit elements, Circuit Blocks or circuit layers via pass transistors, fuses or anti-fuses as examples.
  • a yield enhancement can be achieved through circuit structure redundancy or sparing.
  • the implementation of redundant or spare circuitry increases the requirement for horizontal interconnections on a planar circuit above existing interconnection requirements. This in and of itself makes most implementations of yield improvement through redundancy in planar circuits physically impractical.
  • the VSI invention implements yield enhancement through redundant or spare circuit structures placing these structures adjacent each other on the same circuit layer or on separate circuit layers and enabling or disabling them by control circuitry placed on a separate circuit layer and interconnected by fine grain vertical interconnections.
  • the placement of the circuit spares and control circuitry on separate circuit layers eliminates the need to use additional layers of interconnects and reduces design complexity by providing a standardized interconnection means. In this embodiment of the VSI invention, high degrees of redundancy can be implemented without increased layers of interconnection or design complexity.
  • IC yield is widely understood to be the percentage of fully working finished circuits from a wafer divided by the total number of die available on the wafer.
  • IC yield is widely understood to be the percentage of fully working finished circuits from a wafer divided by the total number of die available on the wafer.
  • There are only two ways to make a working IC one is to fabricate without defect [perfect], and the second, is to “fix” the defective IC.
  • the making of perfect ICs is the preferred goal, rapidly evolving IC fabrication technology makes this an ever challenging goal.
  • one VSI circuit layer becomes the Achilles Heel for defects for the whole circuit, so the yield of a 400 mm 2 circuit made from ten VSI circuit layers is determined by a single 40 mm 2 “control” layer; taking this one step further, making the control layer redundant will boost circuit yield.
  • the VSI invention for yield enhancement is through the novel application of its high density vertical bus interconnection, and smaller die size with increased circuit density due to shrinking fabrication geometries. Yields of large defect free planar ICs are low to zero with leading edge processes. The yield of large planar ICs is achieved through the use of redundant circuit blocks. This is typical of highly regular circuits like DRAMs, Flash, and PLDs, where such circuits are physically repaired after fabrication by replacing [reconfiguring] defective circuit blocks with redundant or spare circuit blocks by physically modifying the circuit wiring.
  • the VSI vertical bus is effectively “free”. This means that the amount of surface area required for a high density vertical bus for as many as 10,000 interconnections is very small and no additional planar interconnect layers are required; even when the vertical buses are fully redundant.
  • the VSI repair method is dynamic and logical [not static and physical as in planar circuits] reconfiguration of failed circuit logic blocks by reconfiguring or disabling the interconnections to failed circuit blocks through vertical bus connections. This means that 100% circuit redundancy is not necessary and that if a defect develops during the useful life of a circuit, it may be able to reconfigure [repair] itself or achieve a degree of fault tolerant capability.
  • the VSI invention provides the capability for wafer to wafer alignment that scales with the reducing geometry of the horizontal interconnections of planar semiconductor fabrication processes.
  • Presently available wafer to wafer alignment technology intended for the pre-bonding of wafers supports the use of fine grain vertical interconnection minimum pitch of 2-3 ⁇ m which is 8 to 12 times greater than the minimum horizontal interconnect pitch of present state of the art semiconductor processes which have a minimum feature size 150 nm [0.15 ⁇ m].
  • FIG. 8 shows horizontal 81 a , 81 b and 81 c and vertical 82 a , 82 b and 83 interconnections with equal spacing pitch.
  • the vertical interconnection pitch should be approximately equal to or less than the pitch of the last horizontal metal interconnection layer as shown in FIG. 8 ; the last horizontal interconnection layer may or may not be the VSI bond layer.
  • a similar or compatible scaling of fine grain vertical interconnections enables the efficiency and capacity of VSI IC integration.
  • the fine grain vertical pitch capability of the of the VSI invention can scale with anticipated geometry reductions of horizontal interconnections to a minimum feature size of less than 100 nm and less than 50 nm due to the enabling capability of the VSI wafer and substrate alignment method described herein.
  • the VSI IC fine grain vertical interconnections have the novel and unique advantage of being able to support higher current flows that may be required when stacking such circuitry as multiple high operating frequency microprocessors or analog circuitry.
  • This advantage results from the ability of VSI IC fine grain vertical interconnections 83 to be formed with arbitrarily high cross section aspect ratios in a range such as from 4:1 and exceeding 80:1, and thereby creating a vertical wire between circuit layers with a cross-sectional area large enough to carry more than 100 times the current of horizontal signal wires or approximately the current carried by present 25 ⁇ m diameter packaging bond wires.
  • the methods used for making fine grain vertical interconnections with high cross section aspect ratios are the same as those used for making vias on planar ICs.
  • the VSI invention's capability to achieve wafer to wafer alignment fine grain vertical interconnection contacts of less than 50 to 100 nm pitch, enables a reduction in the number horizontal interconnection layers typically required for global or cross die interconnections.
  • the VSI IC integration density is further increased when fabricated with SOI wafers or wafers with a buried etch stop layer or wafers or substrates made from two or more distinct materials such as Silicon on glass or GaAs on Silicon. This increase in integration results from thinner remaining semiconductor substrate enabling smaller vertical interconnection pitch through the remaining substrate.
  • the VSI IC integration uniquely enables or enhances the fabrication of a wide range of electronic and MEMS products.
  • the principle results of applying the VSI IC circuit development and manufacturing methods are:
  • VSI IC integration enables the structuring of various circuit function types as one or more circuit layers that can subsequently and arbitrarily be integrated into a final VSI component product. These circuit function types are presently implemented as separate planar circuits.
  • the VSI IC capability to Closely Couple any and all of the numerous wide ranging circuit function types and MEMS devices results in the same operational benefits of an as if planar circuit and or MEMS integration.
  • a partial listing of circuit function or MEMS device types that can be integrated into a VSI IC consists of CAMs [Content Addressable Memories], network specific processing functions, DRAMs, flash, non-volatile memories, DSPs, microprocessors, PLDs, CPLDs, FPGAs, Serdes [serializer deserializer], CCD or CMOS video imaging sensor arrays, and MEMS devices such as DMDs [Digital Micromirror Devices], antennas, micro-gryos, inkjet nozzle arrays, free air inductors, micro switches, IC probes, accelerometers or microphones.
  • Additional aspects of the invention include:
  • FIG. 1 is a cross section of horizontal interconnections of a planar IC.
  • FIG. 2A is a cross section of a two layer VSI IC.
  • FIG. 2B is a cross section of a three layer VSI IC.
  • FIG. 2C is top view of predefined or standardized placement of vertical interconnection blocks on a VSI circuit layer.
  • FIG. 3 is a pictorial view of a VSI Application Specific IC with Component MEMS device, CMOS, bipolar and optical circuit layers.
  • FIG. 4 is a pictorial view of a VSI Non-application Specific IC or Component and Function Layer Groups.
  • FIG. 5 is a pictorial view of a VSI IC or Component and Application Specific and Non-application Specific Function Layer Groups.
  • FIG. 6 is a cross section of a VSI IC with test and yield enhancement circuit layers.
  • FIG. 7A is a cross section of a VSI IC with MEMS and low-K dielectric cavities.
  • FIG. 7B is a cross section of a VSI IC with VSI die bonded onto a VSI IC.
  • FIG. 7C is a top view of individual vertical interconnection contacts patterned into a bonding layer.
  • FIG. 7D is a cross section of a VSI wafer stack during fabrication of a bonded die assembly circuit layer.
  • FIG. 7E is a cross section of a VSI wafer stack during fabrication of a bonded die assembly circuit layer.
  • FIG. 7F is a cross section of a VSI wafer stack of a completed bonded die assembly circuit layer as the first VSI circuit layer.
  • FIG. 8 is a layout view of horizontal and vertical interconnections with equal pitch spacing.
  • FIG. 9 is a cross section of a VSI double layer SOI substrate.
  • FIG. 10 is a cross section of a VSI IC mounted on a support substrate with optical fiber interconnections.
  • FIG. 11A is a cross section of a VSI IC with use of fine grain vertical interconnection redundancy.
  • FIG. 11B is a cross section of placement of redundant circuitry on a planar IC.
  • FIG. 11C is a cross section of placement of redundant circuitry in a VSI IC.
  • FIG. 11D is a pictorial view of a VSI Wireless Interconnect Multiprocessor Computing System.
  • FIG. 11E is a pictorial view of a VSI Wireless Interconnect multiprocessor Computing System.
  • FIG. 11F is a pictorial view of a VSI Wireless Interconnect IP Router and Switching System.
  • FIG. 11G is a pictorial view of a VSI Wireless Interconnect Three Dimensional Super Computer System.
  • FIG. 12 is a cross section of a dual gate V-groove MOS transistor.
  • FIG. 13 is a cross section of a dual gate V-groove MOS transistor.
  • FIG. 14 is a cross section of prior art SOI MOS transistor in a VSI circuit layer.
  • FIG. 15A is a cross section of prior art MOS transistor showing substrate leakage path.
  • FIG. 15B is a cross section of prior art SOI MOS transistor.
  • FIG. 15C is a cross section of a VSI SOI transistor with SLB.
  • FIG. 15D is a cross section of a VSI SOI transistor leakage path trench.
  • FIG. 15E is a cross section of a partially formed VSI double gate MOS transistor.
  • FIG. 15F is a cross section of a VSI double gate sub-optical MOS transistor.
  • FIG. 15G is a cross section of a VSI double contact source drain MOS transistor.
  • FIG. 16A is a cross section of a prior art PD SOI MOS transistor.
  • FIG. 16B is a cross section of a prior art PD SOI MOS transistor with VSI substrate leakage barrier.
  • FIG. 16C is a cross section of a VSI double gate PD MOS transistor with SLB.
  • FIG. 17A is a cross section of a partially completed VSI MOS transistor.
  • FIG. 17B is a cross section of a VSI MOS transistor.
  • FIG. 18A is a cross section of a VSI 1T1C low substrate leakage cell.
  • FIG. 18B is a cross section of a VSI 1T DRAM cell.
  • FIG. 19A is a cross section of a dual function transistor EEPROM device.
  • FIG. 19B is a cross section of a dual function transistor EEPROM device.
  • FIG. 19C is a cross section of a dual gate EEPROM device.
  • FIG. 19D is a cross section of a dual gate EEPROM device.
  • FIG. 19E is a top view of a VSI dual function circuit device.
  • FIG. 19E-AA is a cross section of a VSI dual function circuit device.
  • FIG. 19E-BB is a cross section of a VSI dual function circuit device.
  • FIG. 19 F 1 is a cross section of a Quad-Gate transistor fabrication step.
  • FIG. 19 F 2 is a cross section of a Quad-Gate transistor fabrication step.
  • FIG. 19 F 3 is a cross section of a Quad-Gate transistor fabrication step.
  • FIG. 19 F 4 is a cross section of a Quad-Gate transistor fabrication step.
  • FIG. 19 F 5 is a cross section of a Quad-Gate transistor.
  • FIG. 19 G 1 is a cross section of a Quad-Gate transistor fabrication step.
  • FIG. 19 G 2 is a cross section of a Quad-Gate transistor fabrication step.
  • FIG. 19 G 3 is a cross section of a Quad-Gate transistor fabrication step.
  • FIG. 19 G 4 is a cross section of a Quad-Gate transistor fabrication step.
  • FIG. 19 G 5 is a cross section of a Quad-Gate transistor.
  • FIG. 19H is a cross section of a Quad-Gate 1T Memory Cell.
  • FIG. 20A through 20F are cross sections of a VSI EEPROM emitter fabrication sequence.
  • FIG. 21A is a cross section of a VSI optical substrate alignment method.
  • FIG. 21B is a cross section of a VSI optical substrate alignment method.
  • FIG. 21C is a cross section of a VSI optical substrate alignment method.
  • FIG. 21D is a cross section of a VSI optical split field substrate alignment method.
  • FIG. 22A is a top view of overlapping alignment marks.
  • FIG. 22B is a cross section of a VSI substrate alignment method with a AFM probe.
  • FIG. 23A is a cross section of VSI ATE system circuitry.
  • FIG. 23B is a cross section of VSI ATE system circuitry mounted on a support substrate.
  • FIG. 23C is a cross section of VSI ATE system circuitry mounted on a support substrate.
  • FIG. 24A is a planar circuit layout of a reconfigurable memory.
  • FIG. 24B is a sequence of reconfigurable memory layers.
  • FIG. 24C is reconfigurable memory circuit layer layout of memory array blocks.
  • FIG. 24D is a layout showing VSI configurable memory gate line router and sparing.
  • FIG. 24E is a layout showing VSI configurable memory data line router and sparing.
  • FIG. 25A is cross section of a VSI photo sensing circuit.
  • FIG. 25B is cross section of a VSI photo sensing circuit for multiple wavelengths.
  • FIG. 26A is a cross section of a VSI FPGA IC.
  • FIG. 26B is a cross section of a VSI FPGA IC.
  • FIG. 27 is the diagram of the circuit layer stacking order of a VSI Internet Protocol communication processing IC.
  • FIG. 28 is a cross section of a VSI optical waver guide interconnection.
  • FIG. 29 is a cross section of a VSI optical waver guide coupling interconnection.
  • VSI VSI invention
  • VSI invention provides a means for the reduction of integrated circuit architectural, design and development complexity. It is a further aspect and objective of the VSI invention to provide a means for the incorporation and reuse of hardware function IP [Intellectual Property] without requiring circuit design changes, synthesis and timing analysis.
  • VSI invention provides a method for making an EEPROM memory cell with a dual programmable gate structure. It is a further aspect and objective of the VSI invention that the processor modules of an array of processor modules can form wireless point-to-point interconnection paths between any and all of the processor modules.
  • the preferred embodiment of the VSI invention is a method of integration consisting of fabricating a stack of Closely Coupled circuit layers where one or more of the circuit layers are not specifically designed for a specific application or at least the intended application of the final VSI Component into which it is incorporated or fabricated.
  • the VSI invention enables the making of custom components comprising electronic, optical and or MEMS device layers solely from non-application specific device or circuit layers, or a combination of non-application specific and application specific circuit layers.
  • Non-application specific circuit or device layers or grouping of such device layers called a VSI device layer group may be generically referred to as VSI library device layers, wherein these VSI device layers or device layer groups of completed physical circuit designs or fabricated circuits await subsequent intermediate or final integration into a VSI component in the form of unfinished inventory.
  • VSI library device layers are novel from the standpoint that they are completed physical designs and no further custom fabrication processing is necessary in order for them to be fabricated into a VSI component with a custom or application specific use; wherein the custom nature of the circuit is derived in part or whole from the choice and quantity of the VSI library device layers selected for an intended application and these selected VSI library layers require no further application specific or custom fabrication tooling or processing in order to be used.
  • the VSI invention can also be comprised of all application specific device or circuit layers without limitation.
  • the VSI invention can also be implemented as one circuit layer bonded face down onto a substrate wherein the substrate is limited to passive circuitry on its surface and or has no circuitry and is intended to act as a heat sink or both or is intended to act primarily as a supporting substrate to the circuit layer.
  • the circuit layer bonded to the substrate is thinned and vertical interconnections are formed from the face surface or top surface to the backside of the thinned circuit layer. The vertical interconnections are then used to connect additional circuitry such as I/O drivers or ESD isolation that may be fabricated on the backside and or I/O bond or contact pads.
  • the VSI invention enables greater integration into one die or component than is possible with planar ICs using current sub 0.15 ⁇ m lithographic processes, however, the use of this level of integration is only practical if the VSI component yields are economic, and by present consumer market driven standards this means a yield of at least 75% and higher.
  • the VSI invention enables high component yields through the same means as it enables arbitrary levels of integration, and this is to use the increased level of interconnection capability to incorporate reconfiguration circuitry and test or ATE [Automatic Test Equipment] circuitry.
  • VSI component of 20 circuit layers where four of these layers are reconfiguration and ATE circuitry, wherein a 25% increase in the cost of IC circuitry is offset by a 93.5% reduction in packaging and testing costs, resulting in a net finished IC cost reduction of approximately 20%; wherein the cost of packaging and testing 16 planar circuits is reduced to the cost of packaging and testing one VSI IC and assuming that IC circuitry cost is approximately 50% of a finished packaged planar IC.
  • the VSI invention further enables the incorporation of ATE and reconfiguration circuitry as circuit layers; wherein the reconfiguration circuitry is used to alter the connections between portions of one or more circuit layers and prevent their use as a result of testing that determines the presents of a defect in said portions of circuitry.
  • the segregated application circuitry of the VSI invention enables these circuit layers to achieve higher levels of utilization of the ATE and or reconfiguration circuitry without consideration of the number of application circuit layers due to the ability to design in a high density of fine grain vertical interconnections wherein the physical placement and small layout area or foot print of the vertical interconnections can be implemented without affecting the design or layout of VSI circuit layers per application usage. There are two primary VSI capabilities that support this result.
  • the allocation areas for placement of vertical interconnection can be an initial condition of the physical layout of a circuit layer.
  • the VSI invention enables the backside fabrication of horizontal interconnection with conventional IC fabrication means, and therefore, vertical interconnections that do not align from one circuit layer to the next can be routed to compensate for a misalignment; this may be the case where there is the intention to reuse a circuit layer designed for a prior VSI application or a second library comprising completed physical designs or fabricated VSI IP [Intellectual Property] circuit layers.
  • the VSI invention can take a wide range of substrate stacking organizations of electronic, optical and MEMS circuit and device layers.
  • FIGS. 2 through 7 show by example a range of VSI component organizations consisting of two layers up to tens of layers.
  • FIG. 2A shows a minimal VSI component consisting of two bonded substrates 1 , 3 , an active electronic circuit layer 1 face down bonded with a hermetic seal 2 onto an active, passive electronic or optical circuit layer or a MEMS device layer 3 .
  • the lower substrate 3 may also act as a mechanical support and or for thermal dissipation and made from materials such as graphite, Boron Nitride, Aluminum or quartz.
  • the bond 2 between the substrates if made from a process such as a metal thermal diffusion will form a hermetic seal, which may be necessary in certain applications, such as in the case when the lower substrate is an optical circuit layer or MEMS device.
  • FIG. 2B is a cross section of a stack of three VSI circuit or device layers 5 , 6 , 7 .
  • a first layer 5 with passive electronic elements on its top side which is bonded face down or top side down onto the back side of the second layer 6 which is sufficiently thin to allow fine grain vertical interconnections to be formed through the layer 6 , and which is bonded face down or top side down onto the top side of the third layer 7 .
  • Bonds 8 and 9 between the three layers may be hermetic seals depending on the bond process used.
  • the second layer 6 can be an electronic or optical circuit layer with active devices and the third layer 7 can be a passive or active electronic or optical circuit layer, a MEMS device layer or serve a completely mechanical support or thermal dissipation function.
  • FIG. 3 shows a pictorial view of a VSI component or IC comprising various application specific and non-application specific circuit layers of EEPROM circuit layers 10 , MEMS device layer 12 such as a Rf antenna, CMOS logic and ⁇ P circuit layers 14 , optical circuit layers 15 , bipolar power circuit layer 18 and conventional external I/O contact 11 pads; various organized internal vertical interconnections with standardized and non-standardized protocol buses are not shown.
  • MEMS device layer 12 such as a Rf antenna, CMOS logic and ⁇ P circuit layers 14 , optical circuit layers 15 , bipolar power circuit layer 18 and conventional external I/O contact 11 pads; various organized internal vertical interconnections with standardized and non-standardized protocol buses are not shown.
  • FIG. 4 shows a pictorial view of a VSI component or IC comprising all non-application specific circuit layers of non-volatile memory circuit layers 20 , DRAM circuit layers 22 , FPGA circuit layers 24 , configuration and ATE or test circuit layers 26 , optical transceiver layers 28 and conventional external I/O contact 21 pads; various organized internal vertical interconnections with standardized and non-standardized protocol buses are not shown.
  • FIG. 4 shows a pictorial view of a VSI component or IC comprising all non-application specific circuit layers of non-volatile memory circuit layers 20 , DRAM circuit layers 22 , FPGA circuit layers 24 , configuration and ATE or test circuit layers 26 , optical transceiver layers 28 and conventional external I/O contact 21 pads; various organized internal vertical interconnections with standardized and non-standardized protocol buses are not shown.
  • FIG. 5 shows a pictorial view of a VSI component comprising a group 30 of memory circuit layers of several Gbits, a group 32 of microprocessor layers comprising various types, an ATE and reconfiguration 34 circuit layer, a group 36 of FPGA circuit layers, various power regulation and Rf function 38 circuit layer and conventional external I/O contact 31 pads; various organized internal vertical interconnections with standardized and non-standardized protocol buses are not shown.
  • FIG. 3 and FIG. 4 show self packaged VSI component dice with total thickness of approximately 200 ⁇ m and size or area of 50 mm 2 ; the die dimensions or layer structures do not imply limitations on components of the VSI invention.
  • the fine grain vertical interconnections and busing between the layers of these VSI components are not shown, however, cross sectional views of such component layers are shown in other figures herein. Both of these figures use inorganic dielectric or metal thermal diffusion bonding between each layer forming a hermetic seal of the device surfaces of the layers, and therefore, no additional packaging is required such that these VSI components demonstrate the self packaging aspect of the VSI invention.
  • FIG. 5 shows a self packaged VSI component of conventional planar die IC thickness of less than 500 ⁇ m and wherein the memory circuit layer group is not application specific and microprocessor and FPGA circuit layer groups are application specific for operation in a consumer product providing general personal computing capability and internet wireless communications.
  • This VSI component also has internal ATE self test circuitry and sufficient redundant circuitry in fine grain and macro circuit form such that in conjunction with the reconfiguration circuitry, a net circuit yield of greater than 80% is enabled.
  • FIG. 6 shows in cross-section various groupings of layer types of a VSI component.
  • the purpose of this figure is to show the VSI invention can integrate the variety of electronic, optical and MEMS technologies with a common process of substrate alignment, bond and thinning as appropriate wherein the preferred method of bonding is thermal compression bonding but may include other bond means and the alignment precision is less than ⁇ 1 ⁇ m and preferably less than 500 nm.
  • the layer groupings are also significant due to the reuse capability of certain VSI circuit layers or groups.
  • Layer group 6 c consists of application specific optical circuit layers 66 in combination with a MEMS device layer 67 to form a portion of an optical communication switch.
  • the other layer groups 6 a and 6 b may not be application specific, but selected from broad non-application specific reusable inventory circuit layers fabricated prior to the initiation of the design of the VSI component of FIG. 6 .
  • Circuit layer group 6 a consists of subgroups of one or more circuit layers of programmable circuit layers 61 , IP 62 and programmable routing interconnections 63 .
  • Circuit layer group 6 b consists of subgroups of one or more circuit layers of memory 64 and test and reconfiguration logic 65 . Not shown but assumed are multiple vertical buses which interconnect one or more layer groups or subgroups and have standardized placements or where placement variances are compensated for by horizontal routing formed by conventional fabrication techniques on the backside of certain circuit layers.
  • FIG. 6 shows a level of electronic, optical and MEMS integration that is not possible with present techniques or technologies. It should also be noted that there is not a planar circuit equivalent for the VSI IC component of FIG. 6 for the fundamental reason that the total circuit area of all of the electronic circuit layers exceed the maximum lithographic circuit fabrication size of planar ICs.
  • the 6 a , 6 b circuit layer groupings of FIG. 6 may be placed in reverse order and or one or both of these groupings maybe used more than once in the VSI circuit stack to increase the capacity of the IC as needed. Further, the quantities of circuit layers within each of these groupings may also vary.
  • FIG. 7A is the cross-sectional view of several VSI component layers wherein two of the layers 71 a and 71 b have internal cavities 72 a , 72 b .
  • the cavities are supported at the edge of the VSI component die by depositions of either dielectric and or metal 73 a , 73 b , a portion 73 a of which is shown between two overlying VSI components and would be of sufficient width to accommodate the area commonly called the dicing scribe lane 79 where a cut in the VSI substrate stack is made when separating the VSI components or ICs from the substrate stack.
  • the second circuit layer 71 b with an internal cavity is shown as an electronic circuit layer wherein the horizontal interconnection layers are free standing with a majority of the normally solid dielectric material removed and wherein vertical columns 74 which can act as vertical interconnections and or mechanical support of the cavity, and support for suspended horizontal interconnections 74 a .
  • Vertical columns 74 may also act as a means for thermal dissipation from the electronic layer to thermal spreading layer not shown but part of layer 71 a 1 .
  • the layer bonds 76 a and 76 b adjoining the internal cavities form hermetic seals to preserve the atmosphere of the internal cavities which may be vacuum or partial pressures of an inert gas or a fluid and sealed at the time the bonds are formed.
  • Additional circuit layers or substrates 71 c through 71 g are also shown. This VSI component once completed can be packaged or used without packaging. Free standing horizontal antennas for Rf transmission and reception can also be formed in this or a similar manner.
  • the internal cavity 72 b with the free standing electronic interconnections of FIG. 7A can be fabricated by selective etch removal of the dielectric that normally would be used to fabricated the horizontal interconnections of the circuit layer 71 b , or by using a material in place of the dielectric material that may be more readily removed such as amorphous silicon.
  • the objective of fabricating the free standing interconnections on a circuit layer is to use a fluid such as air as the dielectric separating the free standing interconnection which has a dielectric constant of approximately 1, the lowest possible dielectric constant.
  • FIG. 7B shows VSI IC circuit layers 7 b 5 with VSI ICs 7 b 1 bonded to and forming vertical interconnections at their bond interfaces 7 b 2 , 7 b 3 .
  • the I/O bond pads of the VSI IC are also shown 7 b 4 .
  • the bonding layers above and below the bond interfaces 7 b 2 , 7 b 3 of the VSI ICs 7 b 1 are patterned in a manner as shown in FIG. 7C .
  • the bonding layer 7 c 3 of FIG. 7C is patterned with open areas 7 c 2 and metal contacts 7 c .
  • Vertical interconnections between circuit layers are formed when VSI circuit layers with bonding layers patterned in mirror image are bonded together coupling the isolated metal contacts 7 c 1 of either bonding layer forming vertical interconnections between the circuit layers.
  • An aspect of the fabrication of a VSI circuit layer is that it can be an assembly of thinned die as shown in FIG. 7F where dice 7 f 1 , 7 f 2 are shown as thinned to a thickness of less than 50 ⁇ m, or less than 25 ⁇ m, or less than 15 ⁇ m or as in an implementation using substrates with barrier layers such as a SOI substrate to a thickness of less than 10 ⁇ m.
  • substrates with barrier layers such as a SOI substrate to a thickness of less than 10 ⁇ m.
  • a VSI circuit layer can be formed from a plurality of die 7 d 1 , 7 d 2 , 7 d 3 , 7 d 4 in FIG. 7D aligned and bonded face down across an array of circuit positions formed on a substrate or wafer or VSI wafer stack 7 f 3 .
  • the die bonded onto the substrate or wafer are aligned so that vertical interconnections preferably can be formed with the substrate or wafer to the die as part of the bonding process, although such vertical interconnections to underlying VSI circuit layers 7 f 3 can also be formed through the backside of the bonded die subsequent to completion of the thinning of the die array assembly;
  • the preferred bonding processing is thermal diffusion bonding implemented with the use of one or more of a number of possible materials as described herein, but it is not limited to this process and could be accomplished with currently available non-metal bonding methods.
  • the bond interface 7 d 8 bonding the substrate or wafer 7 d 7 with a bonding layer 7 d 6 and a die 7 d 2 with the bonding layer 7 d 5 of the die is preferably formed with patterned bonding layers 7 d 6 , 7 d 5 on the surfaces of the substrate or wafer and die 7 d 2 .
  • Such deposited bond layers 7 d 6 , 7 d 5 are typically less than 2 ⁇ m thick and can be thinner than 5,000 ⁇ .
  • the dice 7 d 1 , 7 d 2 , 7 d 3 , 7 d 4 when first bonded to the substrate or wafer may vary in thickness having thickness values in a nominal range of 100 ⁇ m to 600 ⁇ m, typical for die cut from a wafer.
  • FIG. 7E shows bonded die on a substrate or wafer including a conformal deposition of low stress or a controlled stress dielectric 7 e 1 material such as oxide and or nitride deposited over the surface comprising all of the die 7 e 3 , 7 e 4 bonded on the surface and on the exposed surface areas of the substrate 7 e 5 .
  • This dielectric deposition passivates each die at its edges 7 e 2 of the bond contact from the accumulation of particles and contamination or chemical attack during subsequent thinning processing steps.
  • the dielectric may be deposited as one layer or in multiple layers and is preferably deposited to a thickness that is approximately or slightly greater than the final desired thickness for the die assembly 7 e 3 , 7 e 4 circuit layer nominally by one or more microns.
  • the objective is to deposit a sufficient thickness of dielectric so that the deposited dielectric forms part of a continuous flat surface 7 f 4 with the backside of all dice 7 f 1 , 7 f 2 after they are thinned as shown in FIG. 7F .
  • This enables the use of subsequent conventional IC processing steps such as dielectric and metal deposition, RIE and lithography which are necessary for addition of more circuit layers or to form termination bonding pads for I/O contacts for example.
  • the thinning of the bonded die is preferably done by first grinding until the bonded dice 7 f 1 , 7 f 2 are level with the deposited dielectric 7 f 4 , thereafter, substrate or wafer polishing, CMP or RIE processing used singularly or in combination can be used to bring the thickness of the die layer assembly 7 f 5 to the desired final thickness.
  • the approach to using wafer polishing, CMP and RIE processing techniques can depend upon hardness of the dielectric versus the substrate of the bonded dice. If there is a significant difference in hardness between these materials, then dishing of one material versus the other can occur. This can be avoided for example by adjusting the hardness of the dielectric or in the case where the dielectric is harder than the substrate of the dice, by uniformly selectively etching the dielectric 7 f 4 through one of the available wet or dry etch processes a few microns or fractions of a micron so it is below the surface of the dice causing the backside of the dice to be above the level of the dielectric so that the dice subsequently can selectively be made planar with and thinned to the thickness of the dielectric by such means as CMP.
  • the dielectric 7 e 1 is deposited onto the die following bonding of all of the die and prior to any die thinning processing is the preferred embodiment, because to do so after some amount of thinning of the die will increase difficulty in cleaning the areas between the die from accumulated particles and will leave the areas between the die unprotected from mechanical or chemical affects of the thinning processing methods used.
  • measurement of the remaining thickness of the die can also be more easily made by measuring the thickness of the dielectric in the areas between the dice 7 f 4 , since the dielectric does not have any intervening layers that could possibly complicate or prevent simpler thickness measurements. Further, since the original deposition thickness of the dielectric is known it can be monitored for a change in thickness indicating that the final desired thickness of the VSI die assembly circuit layer is being approached.
  • the deposited dielectric as a filler 7 f 4 in the areas between the bonded die is more cost effective than using a stenciled material such as another substrate which would be bonded before or after the bonding of the die array assembly.
  • Dielectric in the areas between the bonded die also enables a simpler fabrication of vertical interconnections to the VSI circuit layers below the die assembly layer.
  • VSI circuit layers below the VSI die array assembly layer also can be a VSI die array assembly layer.
  • a VSI die assembly layer can be any layer in a VSI wafer stack and any number of them can be used in a VSI wafer stack.
  • the dice used in a VSI die array assembly layer can be themselves VSI die; this is worth noting because it enables the inclusion of previously fabricated VSI ICs such as those from a VSI physical wafer or substrate circuit library that were designed for the expressed purpose of systematic inclusion as either circuit wafer or substrate components during the fabrication of a wafer stack or as singulated die components to be assembled as a VSI die array assembly layer.
  • the VSI die assembly circuit layer be fabricated on a substrate or wafer consisting only of an interconnect structure fabricated from one or more layers of metal and dielectric materials forming horizontal interconnections and overlying a release layer as described in U.S. Pat. Nos. 5,354,695 and 5,915,167 and incorporated herein by reference.
  • the substrate or wafer on which the interconnect structure is fabricated can be a sacrificial substrate or wafer should a parting layer not be used, wherein the sacrificial substrate or wafer is sacrificed subsequent to the bonding of the VSI die assembly circuit layer onto a VSI circuit stack.
  • a principal purpose of the interconnect structure of the substrate or wafer that the dice will be bonded is to provide alignment marks for the aligning the dice onto the substrate or wafer during bonding, however, it is not necessary that an interconnection structure be fabricated, but it is necessary that at least the alignment marks be fabricated on the substrate or wafer to effect accurate positioning of the die to be bonded.
  • VSI die assembly circuit layers that are fabricated upon a separate substrate or wafer can be reserved or inventoried for subsequent VSI stacking fabrication as part of a physical VSI circuit layer design library.
  • a VSI die assembly circuit layer is quartz substrate upon which a release layer and interconnection structure are fabricated through conventional IC fabrication means, and subsequently, die are bonded and thinned as described herein to form a VSI circuit layer that can be incorporated into a VSI circuit stack at a time when needed and the quartz substrate once released can be reused.
  • a first preferred embodiment fabrication method and the devices that result from this fabrication method of the VSI invention is wherein Closely Coupled electronic, optical or MEMS device layers used to form a single integrated device of die form and further each layer used in the fabrication of the Closely Coupled device layers were themselves originally fabricated as non-application specific with respect to the final VSI device in which they were applied.
  • each circuit or device layer used was initially designed with the expectation of being used to form a VSI component, and therefore, each circuit or device layer is designed with the same die or foot print dimensions and conventions for vertical interconnections or busing between various layers are also a part of each circuit or device design, however, the hardwired or physical function of each such circuit or device layer is not designed in anticipation for use in a specific VSI component application.
  • the resulting VSI component of this first preferred embodiment of the VSI invention allows the VSI component to be fabricated from a library of completed physical circuit designs and or previously fabricated circuit or device substrates which can also be referred to as an inventory of circuit or device substrates that will have a useful inventory life time that may be greater than anyone VSI component or VSI IC for which these substrates are used.
  • This aspect of the capability of the VSI invention is novel for it allows for the first time the fabrication of a planar circuit or IP [Intellectual Property] to be separate from its eventual fabrication in a larger integrated circuit structure.
  • VSI library or inventory of completed physical circuit designs and or fabricated circuit layers of the first preferred embodiment of the VSI invention may be a group of circuit layers wherein such layers have interdependent designs.
  • the design of such layers does not necessary affect their use as non-application specific library layers for the reason that the use of the group of layers still has a non-application specific range of use.
  • Examples of such groups of VSI layers are memories [DRAM, EEPROM, MRAM] and FPGAs.
  • the first preferred embodiment of the VSI invention enables the fabrication of an application specific integrated circuit with varying amounts of logic processing capability such as a varying number of ⁇ Ps, DSPs or IP, varying amounts of volatile and or non-volatile memory or other distinct memory type such as CAM [Content Addressable Memory], and other capabilities such as optical transceivers or MEMS mass storage without the present and unavoidable requirement of current IC manufacturing to create a new design and layout of the compete integrated circuit, perform circuit simulation and fabricate tooling for the IC and then fabricate the IC.
  • logic processing capability such as a varying number of ⁇ Ps, DSPs or IP
  • volatile and or non-volatile memory or other distinct memory type such as CAM [Content Addressable Memory]
  • other capabilities such as optical transceivers or MEMS mass storage
  • This first preferred embodiment is similar to the well established method of PCB assembly, however, without the well known limitations in that art regarding planar IC packaging, package I/O count, I/O power requirements, I/O signal delay and individual testing of the planar ICs of the PCB prior to the functional testing of the completed PCB.
  • the first preferred embodiment of the VSI invention enables the fabrication of application specific integrated circuits without unique circuit design engineering efforts or unique circuit fabrication tooling.
  • This first preferred embodiment of the VSI invention may include the use of backside device and interconnection fabrication of Closely Coupled circuit or device layers.
  • Back side processing is used as a means for hardwired circuit corrections of circuit defects to achieve circuit yield enhancement; the implementation of specific logic functions or application specific logic functions; and, interconnection routing where by the bonding pattern used to form vertical interconnections between layers is modified to achieve a specific interconnection design objective or horizontal backside interconnections are modified as a means to achieve a specific routing use of an existing vertical interconnection bonding pattern.
  • the wiring patterns for vertical interconnections of VSI circuit layers are subject to change or there may be several vertical interconnection [bussing] conventions sometimes referred to as interconnection standards, and therefore, existing or inventory VSI circuit layers that are affected by such vertical interconnection differences can avoid obsolescence or have a greater range of application usage with back side interconnection processing changes that bring vertical connection contact patterns of such VSI circuit layers into compliance with the vertical interconnection conventions of the VSI component on which they are being integrated.
  • This first preferred embodiment of the VSI invention may include the use of through the substrate alignment processes that enable alignment accuracies of less than ⁇ 1 ⁇ m for the alignment of circuit substrates and or Closely Coupled circuit, device layers when fabricating a VSI component.
  • This first preferred embodiment of the VSI invention may include reconfiguration control circuitry used to reconfigure circuitry on one or more other layers of a VSI component and further described herein.
  • This circuitry may exist segregated to a circuit layer or may be integrated with other functions of a circuit layer.
  • the reconfiguration circuitry performs at least two principle functions: first, enabling or disabling the use of some portion of circuitry, and second, enabling how that same portion of circuitry will be used.
  • the reconfiguration control circuitry is enabled through conventional circuit or device means and is interconnected to the circuitry it effects through the fine grain vertical interconnections of the VSI invention.
  • This first preferred embodiment of the VSI invention may include internal self test circuitry or ATE [Automatic Test Equipment] circuitry used for testing circuitry on one or more circuit layers of a VSI component.
  • the ATE test circuitry is differentiated from internal self test circuitry by the distinction that ATE circuitry is programmable for use over a wide range of electronic and optical circuit types; the inclusion of ATE circuitry capable of being programmed to perform a functional test of all or a portion of an IC is unique to the VSI invention and the inclusion of ATE circuitry is enabled through the fine grain vertical interconnections of the VSI invention.
  • the self test circuitry may exist segregated to a circuit layer or may be integrated with other functions of a circuit layer.
  • the ATE circuitry preferably exists segregated to one or more circuit layers.
  • the self test circuitry or ATE circuitry can be programmed for performing tests from an external or internal source. If self test and ATE circuitry are both present, the self test circuitry preferably can be coordinated in its use by the ATE circuitry.
  • the self test or ATE circuitry, if reconfiguration circuitry is present, is preferably used to determine what circuit defects exist in the reconfiguration circuitry and then in conjunction with the reconfiguration circuitry, various or all portions of the circuitry on other VSI component layers.
  • reconfiguration circuitry is not completely internal to the VSI component, circuit defects may be isolated from use by external control circuitry that implement interconnection changes such as through the use of fuses or anti-fuses that prevent the use of the portion of a VSI layer with the defect or the whole VSI layer.
  • external control circuitry that implement interconnection changes such as through the use of fuses or anti-fuses that prevent the use of the portion of a VSI layer with the defect or the whole VSI layer.
  • the VSI component is capable of performing sufficient circuit testing during its useful life in order to determine if a circuit defect is present in either a dynamic or static testing means and to use this information in conjunction with reconfiguration circuitry of the VSI component to avoid the use of defective circuitry with the objective of extending the useful life of the VSI component and avoiding the necessity for physical replacement of the VSI component wherein such efforts may exceed the cost of the VSI component.
  • ATE circuitry into the VSI stack has several further unique advantages such as the capability to match the fabrication technology of the ATE circuitry to the circuitry under test, to perform extended at speed testing for extended periods of time sufficient to determine test coverage approaching or achieving 100% of circuit function, providing a means to determine circuit yields prior to the dicing of the VSI stack into separate die, and to make IC testing transparent to IC design and manufacturing processes.
  • the incorporation of self testing circuitry and or ATE circuitry in combination with the VSI invention enables full functional testing of ICs to be performed in wafer or die form during burn-in processing.
  • This first preferred embodiment of the VSI invention enables it to be used as a predefined electronic, optical and or MEMS architecture providing a platform for the subsequent development of other hardware platforms.
  • the term platform is presently used to describe a known to work hardware system or subsystem electronic architecture of busing, processors, memory, peripheral and IP [Intellectual Property] blocks implemented as one or a few planar ICs from which in combination with software an expected range of various application products can rapidly be designed and developed.
  • planar IC platforms also incorporate various EDA [Electronic Design Automation] tools for their implementation.
  • the limitation that is known to exist with this platform approach to product or application development is that the defined envelope of the architecture does not anticipate all future application requirements and cannot be easily changed once developed as planar ICs with respect to its initial platform design due to the level of design effort results in changes to many hardware functions and or the limitations of the EDA tools that accompanied the platform.
  • Functional enhancements to a platform made with planar ICs does not easily accommodate a requirement to add additional hardware functions such as microprocessor units or IPs.
  • the VSI invention enables the development of hardware platforms that can be enhanced with electronic, optical and MEMS hardware functions through the addition of VSI layers with the desired hardware function.
  • An objective of present planar IC hardware design platform is the ability to reuse a designed hardware function in a series of product applications with only a minimum redesign effort required of the hardware function for achieving its reuse; often this is not the case because the placement and routing demands that result from planar IC design.
  • the VSI invention enables the insertion or removal of hardware functions implemented as a VSI circuit layer without requiring redesign or change of hardware function prior to its subsequent insertion into a VSI application specific platform or product stack.
  • the VSI invention further enables the expectation that design changes can be limited to only vertical interconnection routing changes on circuit backsides in order to integrate a desired hardware function into a platform or platform derived application end user product.
  • VSI hardware platforms are uniquely enabled due the VSI inventions capability to add or remove hardware function device layers without affecting the design or implementation of the other device layers in the VSI platform stack.
  • This capability for adding or removing hardware functions as device or circuit layers also eliminates the development requirement in planar ICs to use various EDA tools to effect the addition of a hardware function or what is often referred to as architectural tuning; wherein the layout of the planar IC is incrementally adjusted to achieve circuit timing closure.
  • Timing closure of a planar circuit nominally requires the effective shortening of horizontal interconnections between hardware functions by hundreds to thousands of microns in length, by signal amplification or slower operation of the IC, the thickness of VSI circuit layers which are nominally less than 25 ⁇ m eliminate the possibility that the distance between hardware functions on any two VSI circuit layers will result in a timing closure failure.
  • This first preferred embodiment of the VSI invention may include the use of self packaging.
  • the self packaging aspect of the VSI invention results from the bonding of all Closely Coupled circuit layers of a VSI component wherein as a result of such bonding the circuitry of all circuit layers is internal to the VSI component and cannot be damaged by mechanical means. Further, if the bond process used for bonding the layers of a VSI component form a hermetic seal, then the VSI component is also hermetically sealed.
  • a second preferred embodiment of the VSI invention is the fabrication method of the first embodiment but wherein one or more but not all of the planar circuits or IPs for use as VSI circuit layers are designed for one or more specific applications of a VSI component.
  • This also includes the application specific design of circuitry on the backside of one or more of the Closely Coupled circuit layers whether or not the top side planar circuit is of an application specific design for a VSI component.
  • a third preferred embodiment of the VSI invention is the fabrication method of the first embodiment but wherein all of the planar circuits or IPs for use as VSI circuit layers are designed for one or more specific applications of a VSI component.
  • This third preferred embodiment of the VSI invention is most closely related to what is presently called an ASIC [Application Specific IC] for the reason that all of the VSI circuit layers are designed for a specific application or set of well defined applications. It can also be said that this third embodiment of the VSI invention is related to all production ICs no matter what the intended volume production whether only a few IC parts are fabricated or a high volume of parts are repeatedly fabricated as in the case of embedded microprocessors or the microprocessors used in Personal Computers.
  • yield enhancement is a principle characteristic of the VSI invention.
  • the yield enhancement of a VSI Component is implemented by fine grain vertical interconnections used to enable, disable or power circuit devices, groups of circuit devices or circuit blocks, or whole circuit layers by yield enhancement circuitry located on a different circuit layer of the VSI Component or external to the VSI Component.
  • the separation of the yield enhancement circuitry to separate circuit layers allows the enhancement circuitry to be designed and fabricated without affecting the design, fabrication and quantity used of other circuit layers in a VSI Component.
  • the VSI invention increases interconnect density by enabling an equal amount of horizontal interconnect layers to be fabricated on the backside of a thinned semiconductor IC substrate as presently possible on the front side of the IC substrate.
  • This 2 ⁇ improvement over conventional interconnection routing density is enabled with the use of conventional interconnection fabrication methods once the semiconductor portion of the IC substrate is sufficiently thinned to a thickness of less than 50 ⁇ m and preferably in a range of 0.5 ⁇ m to 10 ⁇ m for bulk substrates and in a range of 0.010 ⁇ m to 10 ⁇ m for SOI substrates; these ranges are approximate and not to be considered limitations on the actual thickness that can be achieved.
  • the thinning of the substrate is required to enable low cost vertical interconnect or via fabrication from the front side of the substrate to the back side.
  • the thinning of the substrate or partial thinning can occur before or after bonding of the IC substrate as part of a VSI circuit stack.
  • the IC substrate thinning step is independent of whether it is bonded to a supporting substrate such as a VSI circuit stack if the IC substrate is fabricated with stress controlled dielectric or low stress dielectric materials such that the net stress on the surface of the substrate prior to thinning is less than 8 ⁇ 10 8 dynes/cm 2 [80 Mpa] in accordance with the inventor's U.S. Pat. No. 5,354,695.
  • the capability for fabrication of similar horizontal interconnect densities on either side of VSI circuit layers enables the a doubling of semiconductor circuit device interconnection density without the requirement of additional geometry scaling of the interconnect [feature size]. Conversely, this also allows the use of larger interconnect geometries with the objective of lowering the number of critical higher cost mask [feature size] layers, but achieving the same or a better routing interconnection density by completing the interconnect on the back side of the IC substrate.
  • the ability to fabricate circuit structures on the back side of an IC substrate is not limited to horizontal interconnections but can also include such circuit structures as back side gates [dual gates], passive circuit elements, circuit references or wave guides.
  • the VSI invention enables the fabrication or the completion of fabrication of passive and active circuit elements or devices such as resistors, capacitors, inductors, transistors, floating gate transistors, diodes, optical amplifiers, optical wave guides, etc.
  • Backside circuit element fabrication is enabled as a result of the face down bonding of wafer or substrate onto a VSI substrate stack.
  • the backside of the just bonded substrate in now available for circuit fabrication, if the substrate already is of the desired thickness and surface preparation.
  • the substrate, if not already thinned to a desired dimension, is thinned by numerous known process methods and or combinations of same such as grind and polish, plasma etch, RIE, spray wet etching or thinning to a existing barrier layer or etch stop.
  • CMOS VSI stack processing temperature in a range up to 550° C. could be used.
  • Repeated process step heating of a CMOS VSI stack for example to temperatures beyond 600° C. can result in semiconductor auto-doping.
  • high temperature steps as dopant annealing or activation, source/drain ohmic contact formation or thermal oxidation of silicon can be localized to surface heating effects through the use of excimer laser processing.
  • the backside processing aspect of the VSI invention uniquely enables the fabrication of passive and active circuit elements and MEMS, on the backside of completed circuit substrates or MEMS substrates with the use of conventional semiconductor fabrication equipment.
  • This unique method for circuit or MEMS processing allows for the completion of circuit elements such as dual gate transistor with backside gates, or dual function circuit elements such as a combined transistor and memory cell circuit element further described herein.
  • lower temperature epitaxy deposition or semiconductor poly-crystallization processes in combination with the VSI backside processing enable the fabrication of additional semiconductor material layers for the fabrication of additional active circuit elements such as heterojunction transistors or TFTs [Thin Film Transistors].
  • the VSI invention enables the fabrication of interconnection on the backside of a thinned semiconductor substrate or an appropriate carrier substrate like a deposited dielectric such as silicon dioxide, or glass or quartz substrates which could be used in the fabrication of deposited semiconductor circuit devices or elements such as polysilicon transistors.
  • the VSI process method uses SOI substrates or heterojunction semiconductor substrates such as GaAs on Silicon or Silicon on Sapphire to provide a means to precisely terminate or stop the thinning of the backside of such a semiconductor circuit substrate.
  • the VSI process method uses a carrier substrate with a release layer under the deposited semiconductor devices to remove the carrier substrate.
  • the VSI process enables backside fabrication of electronic or optical circuit devices or elements following the bonding of a circuit substrate and the thinning of the substrate if and as required to allow the formation or completion of vertical interconnections from the front surface or bond layer of the last bonded substrate.
  • the methods for fabrication of electronic or optical circuit devices are the same as those presently used to fabricate such devices on a semiconductor or carrier substrate. This is enabled by the VSI invention when preferably thermal diffusion bonding is used or inorganic bonding processes that can tolerate elevated temperatures from deposition or surface annealing processes by laser or rapid thermal processing.
  • FIG. 9 shows in cross-section a dual SOI substrate with a top or first device layer 91 , an isolation buried layer 92 , a bottom or second device layer 93 and a thin barrier dielectric layer 94 for termination of the backside substrate thinning process.
  • the two device layers 91 and 93 may have nominal thickness ranging from 50 ⁇ to more than 5,000 ⁇
  • the isolation layer 92 may have a thickness ranging from approximately 500 ⁇ to 5,000 ⁇
  • the buried dielectric layer 94 may have a thickness of typically less than 500 ⁇ and less than 150 ⁇ .
  • the barrier dielectric layer 94 provides a precision etch stop when thinning the backside of the SOI semiconductor substrate, the etching process can be terminated by the dielectric layer without etching any of the second device layer 93 .
  • the dual SOI substrate is made with conventional oxygen ion implant processing means except instead of only implanting one dielectric layer, this implementation of the VSI process requires the second buried dielectric layer 94 , wherein the buried dielectric layer 94 is implanted first followed by the implant of the isolation dielectric layer 92 .
  • the dual dielectric implant or buried layer structure in combination with the VSI backside fabrication processing enables a single SOI substrate to be used for fabrication of two distinct layers of electronic or optical devices.
  • the use of other compound semiconductor substrates such as GaAs on Silicon, or InP on Silicon allow the backside of the GaAs or InP semiconductor device layers to be used in a similar manner, however, without the requirement for a dielectric isolation layer because these semiconductor materials are semi-insulating, and therefore, have lower intrinsic conductance. If the compound substrate is formed on a SOI substrate, the buried dielectric layer could be used to form a uniform crystalline device layer on the backside of the substrate.
  • a primary advantage or benefit of the use of a VSI dual SOI substrate is the reduction in substrate cost, wherein two active circuit layers can be fabricated on one semiconductor substrate. It is also clear that this advantage is provided by compound semiconductor substrates like GaAs on Silicon, however, silicon is presently the most widely used semiconductor substrate material, and therefore, the use of the VSI dual SOI substrate is of unique cost advantage.
  • Some of the unique benefits of the VSI dual SOI substrate is the capability to fabricate a CMOS circuit layer where the NMOS devices of the CMOS layer are on one side of the dielectric isolation layer 92 and PMOS of the CMOS devices are on the opposite side; the same advantage can be used to achieve a complementary Bipolar circuit layer.
  • the VSI invention enhances metal thermal diffusion bonding of two substrates by combining the primary metal film with one or more secondary metal films, preferably with lower melting temperatures than the primary metal film, with the objective of lowering the temperature and or pressure necessary to achieve a desired bond quality.
  • the use of such secondary metal films also has the objective that with subsequent temperature annealing the secondary metal films will diffuse into the primary metal film resulting in a metal bond layer that has a higher working temperature.
  • working temperature means a temperature wherein the physical characteristics of the resulting metal layer are compatible with subsequent fabrication processing steps and sufficient to meet the mechanical and electrical operating requirements of the IC of which it is a part.
  • Examples of such multiple bonding metal films with copper [Cu] as the primary film are Cu:Sn, Cu:Zn, Cu:Ni:Sn or Cu:Sn:In, but numerous other combinations of metals are also possible such as Al:Sn.
  • the primary metal film would have a thickness of 2,500 ⁇ to 20,000 ⁇ and a secondary films would have a thickness of typically less than 2,000 ⁇ and preferably about 500 ⁇ .
  • the primary and secondary metal films are preferably deposited sequentially without exposure to atmosphere, such as in the case of sequential sputtering steps in the same sputtering process tool. In this manner a metal oxide is not allowed to form on the surface of an underlying metal film.
  • the metal films as first deposited have their purer form properties, so a properly chosen secondary film with a lower melting temperature and a high solid solubility coefficient or diffusion rate for the primary metal will achieve two goals for enhanced VSI bonding: enable substrate bonding to occur at a lower temperature, and, after subsequent lower temperature thermal annealing of the bonded wafers, allows for the diffusion of the secondary metal film into the primary film wherein the resulting metal layer of diffused metal films has a set of physical properties that more closely resemble those of the primary metal film such as a melting temperature that is higher than the melting temperature of the secondary film, and therefore, a higher working temperature for the metal bond layer resulting from the initial deposited metal films or other physical properties of the primary metal film.
  • a more explicit example would be a Cu:In set of metal films.
  • the In to In films will form a bond at less than 100° C. with applied mechanical pressure, but the In will diffuse into the Cu metal films on either side of the bonded In films with annealing temperatures in a range of 80° C. to 150° C. or higher wherein the resulting diffused metal film will have a melting temperature that is much greater than the melting temperature of In at approximately 122° C.
  • the resulting benefit of such a combined metal layer is one that retains the higher electrical and thermal conductivity of Cu without requiring the use of bonding temperatures in excess of 350° C. that would be required to form a Cu to Cu bond in a timely manner and without the use of higher mechanical bond process step pressures.
  • the VSI invention enables through vertical integration of two or more circuit layers a low power means for high bandwidth data transfer.
  • the transfer rates through horizontal interconnect metallization on the surface of a conventional IC such a microprocessor presently demonstrate information transfer rates in excess of 32 Gbytes/s. This example is taken from the transfer of information between microprocessor and cache over a 256 bit wide bus with a 250 ps time interval and over signal bus lines of 1 mm [1,000 ⁇ m] or greater in length.
  • the VSI invention enables a bandwidth transfer rate in excess of 2 Tbytes/s between circuit layers.
  • Conventional IC I/O circuit driver [IC to IC on a common PCB] transfer power is nominally 10 mWatts and greater.
  • the VSI power transfer per serial signal line between circuit layers is nominally less than 1 ⁇ Watt. This results in a power transfer dissipation reduction of approximately 1/10,000 less per I/O [vertical interconnections] between VSI circuit layers.
  • the VSI invention is a method for vertical integration of two closely coupled circuit layers, or ICs which are bonded together in a face to face fashion, or a VSI circuit layer and a MEMS device layer.
  • the bonding method is preferably thermal diffusion bonding and the bonding process preferably forms a hermetic seal of the surfaces of the IC circuit layers.
  • a hermetic seal is formed when thermal diffusion bond processing is performed using inorganic metal or dielectric materials.
  • Preferred bonding metals are metals such as Al, Cu, In, Sn, Zn, Ag, Au, and alloys of same.
  • Preferred bonding dielectrics are oxides of silicon and nitrogen, and glasses with glass transition temperatures below 450° C.
  • the circuit layers are interconnected by fine grain vertical interconnections and these interconnections are organized to form at least one bus path or connections for information transfer with a serial line data transfer rate capability of 100 Mbps and preferably greater than 500 Mbps or 1 Gbps, or 5 Gbps using conventional logic circuitry with a vertical separation of typically less than 2 millimeters using no circuitry of a design for transmitting signals distances greater than 10 millimeters.
  • the circuitry used for sending information between the circuit layers on vertical interconnections does not require transmission line structure or specialized circuitry, this is due to the short distance of the vertical interconnection which are nominally less than 250 ⁇ m, and therefore, nominally less than a majority of the longer horizontal signal lines typical of a circuit layer or planar ICs in general.
  • the circuit drivers for external I/Os or off IC transmission for both circuit layers are preferably fabricated only as part of one circuit layer.
  • Such external I/O circuit drivers may be fabricated on the backside of anyone of the circuit layers, and the external bond pads of the VSI IC are all formed on the backside of one or both of the external circuit layers.
  • the VSI invention enables the backside processing of a single circuit layer by bonding a circuit substrate face down onto a second substrate without active circuit elements which serves the purpose of providing such capabilities as mechanical support, thermal dissipation, interconnection structures and or passive circuit elements.
  • This second substrate may be made from a non-semiconductor material such as quartz, graphite, Boron Nitride, Aluminum, NiFe alloys or Mo. After the circuit layer substrate or wafer is bonded to the second substrate it is thinned as necessary, and thereafter, active circuit elements and horizontal interconnections may be fabricated on the backside of the circuit substrate.
  • VSI invention with only one circuit layer is its minimal form, with a primary benefit of enabling access to the backside of a circuit layer whereupon conventional semiconductor fabrication processes may be used to form or complete the formation of active circuit elements or horizontal interconnections.
  • An additional substrate may be bonded onto the backside of the circuit wafer in order to form a hermetic seal of the circuit layer's surface, to form a means of mechanical protection of the circuit layer, and thereby, creating a package for the circuit layer.
  • the VSI invention is a method for vertical integration of two or more closely coupled circuit layers and one or more MEMS device layers.
  • the bonding method is preferably thermal diffusion bonding and the bonding process preferably forms a hermetic seal of the surfaces of the IC circuit layers.
  • a hermetic seal is formed when thermal diffusion bond processing is performed using inorganic metal or dielectric materials.
  • Preferred bonding metals are metals such as Al, Cu, In, Sn, Zn, Ag, Au, and alloys of same.
  • Preferred bonding dielectrics are oxides of silicon and nitrogen, and glasses with glass transition temperatures below 450° C.
  • the circuit layers are interconnected by fine grain vertical interconnections and these interconnections are organized to form at least one bus path or connections for information transfer with a serial line data transfer rate capability of 100 Mbps and preferably greater than 500 Mbps or 1 Gbps, or 5 Gbps using conventional logic circuitry with a vertical separation of typically less than 2 mm and without requiring circuitry for transmitting signals distances greater than 10 millimeters.
  • the circuitry used for sending information between the circuit layers on vertical interconnections does not require transmission line structure or specialized circuitry, this is due to the short distance of the vertical interconnection which are nominally less than 250 ⁇ m, and therefore, nominally less than an majority of the longer horizontal signal lines typical of the circuit layer or planar ICs in general.
  • the circuit drivers for external I/Os or off IC transmission for both circuit layers are preferably fabricated only as part of one circuit layer.
  • Such external I/O circuit drivers may be fabricated on the backside of anyone of the circuit layers with the external bond pads of the VSI IC formed on the backside of one or both of the two external circuit layers.
  • the VSI invention enables yield enhancement through the use of reconfiguration and or test layers.
  • the fine grain vertical interconnections enable the reconfiguration layers to change the interconnection routing on one or more circuit layers when a portion of a circuit layer is determined to be defective.
  • the test circuit layer can be used to determine defective portions of a circuit layer.
  • Test circuitry can be physically external to the VSI component, but it is the preferred embodiment that test circuitry be internal to the VSI component to enable circuit reconfiguration on going during the useful life of the VSI IC.
  • reconfiguration layers wherein also a redundant reconfiguration circuit layer or a redundant reconfiguration layer may be used to increase its yield, can be the primary yield determinate for the VSI component.
  • VSI IC yield factoring is when VSI IC yield is determined primarily by the yield of its reconfiguration circuitry, or by the yield of a limited number of circuit layers which enable the yield of a VSI component to be largely determined by the designed use of these circuit layers, examples of VSI yield factor circuits are VSI memory components, VSI PLD components or large logic circuitry VSI ICs with appropriate redundant logic circuitry resources.
  • the yield of VSI components therefore, can be independent of the total area of all of the circuit layers comprising the VSI component. Further, the yield of an arbitrarily large VSI component or IC can be designed to always have a yield greater than 80%.
  • the VSI invention enables the fabrication of a circuit layer or a group of circuit layers with a well known yield expectation and wherein their subsequent inclusion in a completed VSI component affects the final VSI IC yield in a predictable and calculable manner that can be determined prior to VSI component fabrication.
  • a memory circuit layer group, processor circuit layer group and a FPGA circuit layer group each with their own yield enhancement circuitry can be combined into a VSI component wherein the yield of the VSI component is determined in part by the known yield of each group and not the yield that would be anticipated by independently considering the yield of each circuit layer of the VSI component.
  • the VSI invention enables the use of 100% circuit redundancy for any circuit type without consideration to the layout of the circuit.
  • the application of full redundancy in planar circuits is limited by the need to provide additional horizontal interconnection routing for redundant circuit sections and a doubling of die size which restricts the area of a planar circuit prior to redundancy circuitry additions to approximately one half the reticle area of present lithography tool which is for most lithography tools less than 3 cm 2 .
  • the VSI invention does not have either of these limitations.
  • the VSI full redundancy method stacks circuit layers of identical of mirror image layouts that are divided into arbitrary blocks of circuitry that can be isolated through the use of fuse links or by pass transistors if the block is found defective.
  • a block If a block is found defective, it is isolated and the vertically positioned redundant or spare equivalent circuit block is used. Since both the first block and its redundant spare are vertically position relative to each other there are not routing delay effects induced because the routing length to each block are identical or nearly so. Further, the full reticle of the lithography tool can be used because no planar area need be used for placement of redundant circuitry. And most importantly, the yield of the circuit is improved for the well established reason that whatever the number of partitioned fully redundant blocks in the circuit, yield product of their separate yields will always be higher than the yield of the circuit taken for a planar circuit.
  • a planar circuit of size 4 cm 2 in a state-of-the-art technology can be expected to have a yield of less than 25%, whereas the same circuit as a fully redundant VSI IC of eight blocks each with an area of approximately 0.5 cm 2 and an approximate yield of 85% would have a VSI circuit yield of approximately 83% or [1 ⁇ [1 ⁇ 0.85] 2 ] 8 . It should be clear that the VSI yield calculation improves with a greater partitioning and is applicable to all planar circuits regardless of physical area if the effective yield cost is less than 50% after inclusion of test and packaging cost which is the case for many state of the art high performance logic circuits, and 32 bit and 64 bit microprocessors with die sizes greater than 2 cm 2 .
  • This VSI method of yield enhancement also can be extended for use with any number of circuit layers or more than one redundant spare circuit block.
  • An additional advantage of this VSI redundancy method is the design and layout of a circuit layer is much the same as would be the case for a planar circuit but for the incorporation of isolation circuitry associated with each redundant block.
  • the VSI invention enables yield enhancement by allowing IC processes to be segmented to separate circuit layers of the VSI component. This is distinct from the segmentation by circuit layer of semiconductor technologies such as the use of CMOS on one circuit layer and GaAs, GaN, InP, InSb, or ZnTe on other circuit layers. It is often the case that in the design of large CMOS planar ICs that only a limited portion of the IC requires the use of the most advanced IC process fabrication technology, whereas, the remaining and generally larger portion of the circuit does not. The more advanced IC process will have a lower yield per area than a less advanced process. The result is a reduced yield of the planar IC due to the use of a more advanced IC process over a larger IC area.
  • the VSI invention enables that portion of the planar IC requiring the more advanced IC process to be fabricated as a separate circuit layer and the remaining portion of the circuit to be fabricated on one or more additional circuit layers.
  • An example of this type of VSI yield enhancement is its application on high performance microprocessor wherein more than half of the IC is cache memory.
  • Nine or more horizontal interconnection layers are used in present state of the art microprocessors, however, this interconnect density is need only by the microprocessor or logic portion of circuitry and not the on chip memory.
  • the separation of the logic portion to a separate circuit layer results in limiting the lower yield process to only the logic circuit layer or layers, and allowing the memory portion of the planar microprocessor IC be fabricated with fewer horizontal interconnection layers.
  • the memory portion of the planar microprocessor circuit fabricated as separate circuit layers will then have a higher yield, therefore, resulting in a net higher yield for an equivalent VSI microprocessor component.
  • the VSI invention enables the reduction of circuit power dissipation through vertical integration of two circuit layers and by eliminating the need to have conventional I/O driver circuitry to transmit signals between circuit layers.
  • VSI integration reduces the total number of off chip I/Os that would be required if each VSI circuit layer were instead a conventional planar circuit using off chip I/O driver circuitry.
  • the VSI invention enables power management of various circuit layers and portions of circuit layers of a VSI die through vertical integration of two or more circuit layers by physically placing power control circuitry on one or more circuit layers other than the circuit layers of which power dissipation is to be controlled.
  • the power control circuitry controls power dissipation from circuit layers or portions of circuit layers by switching on or off the voltage supply and or clock signals carried over vertical interconnections from the power control circuitry based on system or application required use of the circuitry on the controlled circuit layers or portions thereof.
  • the separation of the power control circuitry to a separate circuit layer enables the power circuitry to be fabricated from an IC process that is most appropriate for this purpose and vertical routing of power and clock interconnections simpler and shorter than in a planar implementation.
  • the VSI invention enables transistor leakage reduction through backside fabrication processing of transistor body contacts to achieve a forward body bias in order to increase the transistor threshold voltage [V t ] or fabrication of a backside gate or dual gate.
  • the backside processing preferred embodiment uses a buried dielectric layer such as the oxide buried layer of a SOI [Silicon on Insulator] wafer substrate.
  • the buried dielectric can be used as an etch stop for precise thinning of the closely coupled substrate last bonded to the VSI substrate stack. Such controlled thinning allows contacts to be made to the backside of a transistor without affecting the thickness of the transistor body which can be less than 15 nm.
  • the backside processing enables the placement of contacts where biasing with larger than the power rail can be applied. This allows the off leakage from source to drain regions of the transistor to be reduced or eliminated depending on the applied voltage. Fabrication of additional circuit element structures such as the fabrication of diode junctions by epitaxy means or the fabrication of a floating backside [dual] gate, which can be used to apply a non-volatile or permanent bias to the transistor gate channel, is also enabled.
  • the cost of testing and packaging of high I/O count planar ICs is often far more than the manufacturing cost of IC die of the completed circuit.
  • the VSI invention enables through vertical integration the reduction or elimination of testing and packaging costs by the incorporation of ATE [Automatic Test Equipment] functions as a circuit layer and the packaging of two or more circuit layers eliminating the use of conventional planar IC interconnecting means.
  • Conventional IC interconnection means of planar ICs are IC packages, package carriers or sockets for IC packages, multi-layer PCBs [Printed Circuit Boards] and PCB edge connectors.
  • Planar ICs are tested with the use of ATE systems which occurs more than once during the manufacturing process of a planar IC.
  • the VSI invention enables the incorporation of ATE functions as a separate circuit layer which places a fixed limit on ATE processing costs no matter how often such tests may be performed for all the layers of a given VSI component and with the fixing of ATE cost at no greater than the cost of one of the VSI component circuit layers plus the pro rata cost per IC of test programming. For example, if a VSI component has five circuit layers and one ATE circuit layer, the cost of test processing is reduced approximately by a factor of five while enabling the performance of testing with additional frequency at no additional testing costs. It is well known that for certain ICs such as high performance microprocessors, longer test times are required to verify the proper functioning of the IC. Further, such internal test capability enables the testing of the VSI component during its useful life.
  • Planar IC packaging with I/O count in excess of 500 can cost several times the cost of the IC die they enclose.
  • additional interconnection means such as a PCB and package sockets are additional costs.
  • the VSI invention enables the reduction of the number of packages used to one or none. This is accomplished by the VSI invention, since any number of VSI circuit layers need only require at most one package enclosure. Further, since VSI processing results in the enclosure of all circuit layer surfaces, which can be hermetic, no additional package enclosure may be needed. For example, if a packaged VSI component has five circuit layers, the packaging cost of the five circuit layers is reduced approximately by a factor of five. This cost can be further reduced to near zero, if the inherent self packaging capability of the VSI component is used.
  • the VSI invention reduces the complexity of circuit design and validation by enabling an arbitrary division of a planar IC into a number of stacked circuit layers. This has the immediate benefits of simplifying functional and physical design, and validation.
  • IC functional and physical design are simplified when a smaller physical area or size of a circuit layer is used; the partitioning of a large planar IC into several circuit layers allows changes to the functional or physical placement of circuitry on one layer to affect only the circuit layer on which the change is being made.
  • a change in a microprocessor circuit does not result in a physical change to associated planar ICs that it may be connected to on a PCB.
  • the VSI invention allows the partitioning or division of a large planar IC enabling the interconnect density of the various partitions to be maintained, the routing distance between circuit divisions to be shortened, and the treatment of each circuit partition or circuit layer in a “black box” fashion, wherein once a circuit layer partition is completed it can be treated as a fixed entity that is not affected by subsequent circuit design changes on other layers. Further, a circuit partition that is physically used multiple times in a planar circuit will vary in design for each one of it uses, whereas as a VSI circuit layer partition has only one design.
  • circuit design and validation software tools for planar circuits process the complete planar IC as a single entity resulting in longer processing times and software complexity.
  • the VSI invention enables circuit design and validation to be performed on a circuit layer basis wherein circuit design changes can be considered to have affects limited to the circuit layer on which they occur.
  • the VSI invention enables a circuit design framework or platform wherein circuit layers of widely varying design and function, process and technology used previously on fabricated circuit designs can be reused in an as is manner as circuit layers for a new IC application. Circuit layers can be fabricated and held as inventory for subsequent insertion into a VSI component application, and therefore, avoiding the need to integrate the circuitry of this circuit layer into each and every new circuit design such as in the design of planar IC. This circuit design aspect of the VSI invention is not available in present circuit design processes.
  • IP Intellectual Property
  • This type of VSI design platform simplifies circuit designs by eliminating the design validation complexity of integrating previously designed circuitry or IP for each application circuit and reduces the circuitry to be designed to that uniquely required to implement the desired application.
  • a VSI design platform allows the micro-controller and memory circuitry to exist as an inventory of previously fabricated IP layers that does not require a design and validation effort for integration with the application specific peripheral circuitry.
  • IP of a VSI design platform is tester circuitry. Tester circuit layers for broad classes of applications such as microprocessors, memory or analog can be inserted into a VSI component and programmed for the unique testing requirements of specific circuit layers of the VSI component application.
  • the testing is accomplished through one or more tester specific fine grain vertical busing interconnections common to some number of circuit layers and or circuit layer vertical busing interconnections specific for use by such tester circuitry.
  • a further example of the VSI design platform is the design of a VSI component using a large number of functional circuit units such as DSPs, FPUs, ALUs, MACs, Serdes, various SRAM, DRAM, MRAM memory groups, ECC, etc. as circuit layers and not requiring the design, validation, placement or orientation of the functional blocks with respect to each other as would be required in a planar IC design, while interconnection of these blocks would be through a placement convention for high density fine grain vertical interconnections or busing.
  • the VSI invention design platform further enables the means for the incorporation of redundant or spare circuitry in much the same manner as in the above examples. Yield of an IC is presently a result of fabrication process and its ability to achieve specific defect densities. The use of design to affect the yield of an IC only has limited specialized use such as in DRAM ICs. A VSI design platform allows selective yield improvement or enhancement per circuit layer.
  • the yield of a specific circuit layer of a VSI component is for example 25%, if a redundant or spare of that circuit layer is added to the VSI component layers, then the effective yield for that circuit layer [the primary circuit layer or the spare] is enhanced to 44%, and if a second spare is added the effective yield for that circuit layer is enhanced to 58% or 1 ⁇ [1 ⁇ 0.25] 3 .
  • the benefit of the VSI design platform method for yield enhancement is the low design effort, and simplicity and selectivity for increasing the effective yield of any given circuit layer of a VSI component.
  • This circuit layer specific design approach to VSI component yield enhancement is preferably implemented through the use of signal line bypass transistors and or power bypass to isolate defective or spare circuit layers within the VSI component.
  • the VSI invention enables self packaging and hermetic sealing of the circuit die. This is accomplished by the thermal diffusion bonding using thin film depositions of inorganic materials such as aluminum, copper or low temperature glasses to form the bond between circuit layers. Since all IC surfaces of a VSI die can be made to be interior to the circuit layer stack. The I/O pads used to make external connections to the VSI circuit are formed on the backside of the last bonded circuit layer. This is accomplished by making vertical interconnections through the semiconductor substrate of the last circuit layer where the substrate of this circuit layer may be thinned to a thickness of between 15 and 50 ⁇ m to provide a thicker separation between the circuitry of the last circuit layer and the bond pads formed on its backside.
  • the vertical interconnections to the bond pads and the bond pads are formed with conventional process means. No additional packaging enclosure is then needed to protect exposed IC surfaces of the VSI circuit resulting in a self packaged condition upon the completion of the fabrication of the VSI die, because none of the VSI circuitry layer surfaces have external expose, as shown in FIG. 3 , FIG. 4 & FIG. 5 and FIG. 1 of inventor's U.S. Pat. No. 5,915,167.
  • the process of bonding the circuit layers of the VSI circuit causes a hermetic seal of the surfaces of the circuit and wherein access to these surfaces is only from their exposed edges.
  • the VSI invention achieves a hermetic seal depending on the type of bonding process used. Thermal diffusion bonding process using inorganic materials achieves a hermetic seal, whereas a bond process using organic materials may not. Since all IC surfaces are interior to the VSI circuit then all of the IC surfaces are sealed and no additional hermetic packaging enclosure is required to create a hermetically sealed environment for the VSI circuit which is always required for planar ICs.
  • the VSI invention enables substrate isolation and distribution of I/O pads onto a separate circuit layer or the backside of a circuit layer.
  • the placement of I/O pads over or in close proximity to active circuitry in planar ICs creates the opportunity for damage to the underlying circuitry from Electro Static Discharge [ESD].
  • ESD circuit structures around I/O pads are designed to couple the ESD to the substrate.
  • I/O pads of planar ICs are generally located at the edge of the die to allow for fabrication of ESD structures, this often results in what is termed a pad limited die size where the size of the die is increased to accommodate the number of peripheral I/O pads and beyond that needed by the area of circuitry on the die.
  • ESD isolation of the I/O pad in a VSI die is accomplished without concern of circuitry placement or resulting in I/O pad limited die size because the I/O pads are formed on a separate circuit layer or on the backside of a circuit substrate. Therefore, the fabrication of ESD protection circuit structures and the distribution or placement of I/O pads, does not impact the placement and routing of VSI circuit layer circuitry.
  • the benefit of this aspect of the VSI invention is smaller die size resulting from the removal of the area normally allocated for I/O pads from the circuit layout, and the elimination for nearly all circuit designs with the possibility of a pad limited die size condition.
  • the horizontal circuit interconnections are typically formed in dielectric materials of silicon dioxide, however, the integration progression below 0.15 ⁇ m [150 nm] has raised the need for materials with lower dielectric constants than the nominal value of 3.9 provided by silicon dioxide.
  • the fabrication method of the VSI invention enables the use of a vacuum or a fluid dielectric such as dry nitrogen or dry air resulting in a dielectric constant near or equal to unity for horizontal interconnections. This is enabled by a combination of the VSI fabrication process and the removal of the dielectric material or a sacrificial material such as amorphous silicon or low temperature glasses used in place of the dielectric material.
  • FIG. 7A is a combination of the VSI fabrication process and the removal of the dielectric material or a sacrificial material such as amorphous silicon or low temperature glasses used in place of the dielectric material.
  • the VSI fabrication process forms a hermetic seal 76 b at the edges of the surfaces of the individual ICs of a circuit layer and or of a wafer or substrate during the bonding process step.
  • a dielectric margin support 73 b is formed along the edge of each IC of the VSI circuit layer, and in the area at the edge of a die when arrayed as part of a substrate which is referred to as the die scribe lane 79 ; the forming of a hermetic seal does not require additional processing steps, is compatible with the metal interconnect processing steps and is accomplished through the design of the layout of the circuit layer.
  • the dielectric or sacrificial material is removed by selective etching or a dissolving solution leaving a free standing structure of horizontal interconnections; similar to some MEMS processing techniques used to make free standing metal structures.
  • This method of forming a low-k dielectric separation between horizontal and portions of vertical interconnections of a circuit layer is herein referred to as VSI low-k dielectric.
  • the free standing horizontal antennas for Rf transmission and reception can also be formed in this manner.
  • the horizontal interconnection structure remains without collapsing due to the low mass of the interconnection wires [measured in ⁇ m grams or less] and the typical use of low tensile stress deposition methods of forming the metal interconnects which are made primarily from aluminum or copper.
  • the free standing interconnect structure is supported at the edge of the die by the dielectric margin of the IC 73 b and where also a hermetic seal is formed, and as may be required by the design of the horizontal interconnection layers or IC die size, support columns or posts formed with the interconnection structure as part of the interconnection fabrication process or subsequent to the formation of the interconnection structure but before the removal of the dielectric or sacrificial material.
  • the vertical interconnections of the VSI fabrication process are formed as columns as required over the surface of the circuit layer.
  • a further advantage of the removal of the dielectric material or the VSI low-k dielectric method when such metal interconnection materials such as Cu [copper] are used, is the reduction or elimination of the requirement for a barrier layer about the exterior of the interconnection. It is well known that a barrier layer such as TiN is required to enclose copper interconnections in order to prevent the diffusion of copper atoms in to the dielectric. It is not possible for copper to diffuse through a VSI low-k dielectric material. The elimination of the requirement to form a barrier layer enclosing the interconnections increases the conductivity of the interconnections and reduces the cost of fabrication.
  • the interconnection conductivity is increased as a result of replacement of the barrier layer with additional interconnect material; all present barrier layer materials have lower conductivities than the preferred interconnection material of Cu now used and for circuit geometries of less than 120 nm the barrier layer thickness surrounding the interconnection can occupy more than 10% of the volume of the physical interconnection, and therefore, the combination of the barrier and interconnection material results in a net lower conductivity of the interconnection than if the barrier layer was not present.
  • a cost reduction results from the elimination of the cost in forming the barrier layer.
  • the VSI invention enables the use of conventional busing structures and memory organization to achieve various error correction methods.
  • the memory array structure does not need to be uniquely designed or structured to accommodate the amount error encoding information as is the case with current memory designs.
  • Two examples of this is parity encoding which adds one bit of error detection information for every eight [8] bits, and ECC which can add two or three additional error detection and correction information bits for every eight bits of information.
  • This aspect of the VSI invention allows a number of vertical bus paths to memory to be implemented without the need to be designed to support a specific error detection or correction method, but to be designed without accommodation to any specific error detection or correction methods.
  • the error information would be provided by one or more of the available bus paths to memory and programmable processing logic would decide where and when the method to apply if any to determine if information from memory is in error.
  • the benefit of this partitioning of information and error code data of the information simplifies the design of memory structure, does not require the error detection correction methods to be a fixed capability designed into the memory structure and enables a choice of when to apply a memory error detection correction method for some segment of stored information and which type of method to apply.
  • MEMS Micro-Electro-Mechanical Systems
  • a MEMS layer can be integrated as a separate layer or as an internal layer of a VSI component or group of VSI circuit layers or incomplete VSI component.
  • VSI stacking fabrication processing sequences are sufficient to incorporate the inclusion of MEMS layers with minor or no modification allowing direct interconnection to the various circuit or device layers of a VSI component through fine grain vertical interconnections.
  • MEMS fabrication sequence embodiments There are two preferred VSI component MEMS fabrication sequence embodiments. These are:
  • MEMS substrates cannot be thinned from the backside to allow fabrication of VSI fine grain vertical interconnections. In these cases such MEMS devices would be restricted to being the first or bottom VSI layer so that fine grain vertical interconnections can be originated from the MEMS device surface of the MEMS substrate.
  • a MEMS device can be fabricated [in situ] as a layer of a VSI component if its processing sequence steps [typically thermal step requirements] do not damage the existing VSI layers.
  • This processing sequence can be implemented by first processing the backside of the substrate onto which the MEMS device is to be subsequently fabricated, wherein circuitry and fine grain vertical interconnections are formed to enable interconnection to and bonding onto a VSI component stack, after substrate bonding, the substrate surface to be used for MEMS device fabrication is available for processing.
  • the processing sequence of the MEMS device will include processing steps to form vertical interconnections from the backside of the MEMS substrate for use by the MEMS device and connection to any additional VSI device layers.
  • a MEMS device may require placement as the bottom layer of a VSI component but with its device surface [top surface] allowed to face out from the VSI component [into the environment] as may be required by an optical array. This is facilitated first by bonding the MEMS substrate to a temporary substrate with a release layer allowing its removal or a permanent transparent substrate such as glass, quartz or an application compatible substrate. In this manner, the backside of the MEMS substrate can be thinned as needed to allow fabrication of fine grain vertical interconnections and subsequent VSI processing.
  • the VSI process enables the integration of MEMS devices and a majority of semiconductor electronic or optical circuit types. This is possible because there is no requirement to merge the fabrication processes of the MEMS device and circuitry as would be the case in a planar fabrication that combined MEMS and circuit fabrication.
  • the VSI process separates or segregates the typically incompatible fabrication processes to layers of a VSI component, and thereby avoids this particularly difficult problem.
  • MEMS devices cannot be integrated with state of the art semiconductor circuitry such as CMOS in a single die.
  • the VSI process enables the integration of MEMS devices with semiconductor electronic or optical circuitry into a common or single die.
  • VSI component MEMS integration There are numerous benefits of VSI component MEMS integration, some of these benefits are a generic and simple method for integration of electronic and or optical circuits with a MEMS device, VSI process hermetic seal and self packaging, short wiring interconnections between circuit layers and MEMS device layers of typically less than 0.5 mm to 1 mm, higher analog circuit fidelity and lower noise from short interconnect length, smaller net IC and MEMS footprint size, greater interconnect density and the anticipation of low cost.
  • Optical circuits fabricated with semiconductor processing means can be integrated into a VSI component with the same VSI fabrication processing steps as those used for electronic circuit layers.
  • optical circuits can be bonded onto a VSI component stack with dielectric or metal thermal diffusion bonding and then thinned as needed to allow fabrication of optical connections on the backside of the optical circuit layer or vertical optical interconnections to other circuit layers.
  • the switching of optical input signal to optical output signals is now accomplished by converting incoming optical signals from a given optical input to electronic signal to make the determination onto which outgoing optical signal the incoming information from the optical signal should be forwarded or output.
  • the VSI invention enables the integration of optical and electronic circuits into a single Optical-Electronic [OE] IC or die or Optical-Electronic-Optical [OEO] IC or die.
  • Such OE or OEO ICs reduce the cost of optical switching applications through reductions in packaging and IC package to IC package interconnection costs.
  • Such OE or OEO ICs improve optical switching performance by coupling the electronic circuitry on layers within distances of microns to either optical input or output means; this reduces the delay time of electronic signals both from between the optical inputs and outputs and electronic circuitry, but also among the numerous electronic circuit means that comprise the electronic processing portion of the VSI OE or OEO IC, such as programmable processors, programmable logic [PLDs] and memory.
  • the VSI OE or OEO IC structure enables the integration of active and passive optical signal processing components such as modulators, filters, optical sensors and laser diodes, or WDMs as separate VSI layers in the OE IC.
  • Planar optical circuits are increasingly being fabricated on silicon or similarly sized substrates and with processes that are compatible with semiconductor electronic circuit fabrication.
  • the VSI process directly integrates such optical circuit substrates or wafers into a VSI substrate stack or component as long as, at a minimum, the edge surrounding the optical circuit die is sufficient planar for use by one of the VSI substrate or wafer bonding processes.
  • FIG. 10 shows the integration of an optical circuit layer into a VSI component IC stack 104 which is mounted on a support substrate 103 .
  • Optical signals are received by way of an optical fiber connection 101 a , 101 b passing through and attaching to support substrate 103 and sensed by photodiodes 102 on one or more circuit layers of the VSI component 104 where these optical signals are converted into electronic signals.
  • the optical signals may also be routed between optical circuit layers of the VSI component 104 , prior to their conversion to electronic signals, by means of optical planar wave guides and reflective corner mirrors or facets fabricated on an optical and or electronic circuit layer or by means of layer to layer coupling by placing optical waveguides [using voids or dielectric materials with appropriately chosen indices of reflection] into physical proximity as a result of the substrate or wafer bonding process.
  • Electronic signals are converted to optical signals from within the VSI component by laser diodes on one or more circuit layers and routed from the VSI component via planar waveguides on one or more circuit layers terminating into one or more output optical fiber connections.
  • VSI VSI
  • ATE Automatic Test Equipment
  • ATE circuit layers may have many of the design and operating characteristics found in present ATE systems, however, the VSI self test or ATE circuit layers offer fundamental differences in operation and capabilities versus externally applied ATE systems.
  • the VSI self test or ATE circuit layers may rely on or make use of the internal memory layers of the VSI component to store some or all of its test vectors, and can perform its testing procedures of the VSI circuit layers of which it is a part on demand, such as during manufacturing burn-in processing or at anytime during the useful life of the VSI IC or VSI component.
  • the use of the ATE term with respect to a VSI test circuit layer or layers is intended to make clear that such VSI circuit layers are generic programmable VSI circuit layers with as much or more of the test function capability as an ATE system and intended for integration into VSI components for use in testing a broad range of VSI component applications.
  • test function logic of an ATE system can be integrated as one or two planar ICs, however, at least the requirements for very high throughput resulting in large complex test vector memories and very sophisticated transmission interconnection paths in order to propagate test signals to the DUT [Device Under Test] with matched timing from the ATE to the DUT have prevented the ATE systems from benefiting from advances in IC integration to the same degree as the ICs the ATE systems are intended to test.
  • ATE circuit layer into a VSI component requires that there is sufficient internal memory to support the operation of the ATE processing logic, and the added cost of the ATE layer for most applications be less than the combined testing costs of the individual device layers that may be included in the circuit design of the VSI component.
  • the inclusion of the ATE circuitry becomes a reasonable consideration in the use of the VSI invention given the rising cost of testing for such complex logic circuitry as microprocessors or network processors where their test costs presently exceeds their fabrication costs, and wherein the duration of present external ATE testing does not provide exhaustive fault coverage of the circuit.
  • the VSI ATE method for IC testing allows a fixed cost of ATE logic usage for whatever duration of testing, this is not the case with current ATE systems because such systems have very high capital costs and this results in a trade-off of test usage costs versus adequate IC test coverage.
  • the cost per VSI component of the VSI ATE method can nominally be estimated as a fixed cost of approximately the cost of one circuit layer of a VSI component, and independent of the duration of testing conducted on the VSI component.
  • the operation of the ATE circuitry testing program can be loaded under control of external logic or by internal logic of the VSI component under test.
  • the test vectors used by the ATE are loaded into the memory of the IC or VSI component and testing is conducted as needed to complete the desire level of fault coverage for a given device layer or group of device layers. This process is then repeated as needed for the testing of all device layers of the IC including the testing of optical and MEMS device layers.
  • One of the significant differences of the VSI ATE test method versus present external ATE system testing methods is that it enables VSI component or IC testing to be conducted for extended periods of time and at the maximum performance rate of the VSI component in either burn-in facilities or low cost PCB test fixtures, and after assembly in an end use application.
  • ATE circuitry In addition to lower circuit test cost are at-speed testing of the IC, testing sufficiency for greater IC fault coverage, no additional IC test handling or requirements for IC bare die or packaged tester interface fixtures.
  • the fabrication process used to make the ATE circuitry can match the state-of-the-art fabrication process used for any of the other electronic circuit layers of the VSI component.
  • the electronics used in current state of the art ATE systems are generally two to three IC generations behind IC state of the art fabrication processes, and therefore, are often insufficient to provide the testing performance capability that IC manufacturers seek, and greater automation of the IC manufacturing process.
  • the VSI integration process invention incorporates several circuit yield enhancement methods. These methods vary in application but are distinctly enabled through the VSI integration process.
  • yield used herein is the percentage of accepted [passed] VSI components or circuit die of the total number of available die per VSI wafer or substrate stack.
  • VSI yield enhancement methods of the invention are presented below in an order intended to show that there is a progression of capability of the VSI yield enhancement methods.
  • the VSI invention enhances die yield by allowing a large planar circuit die to be partitioned into some number of circuit layers each with the same die size but with a reduced die size versus the original planar circuit.
  • the statistical yield of a VSI die is higher versus the equivalent planar die. This is the due to the existence of the non-linear relationship of yield versus die size.
  • the VSI integration process enables IC fabrication processing to uniquely utilize this non-linear relationship.
  • a die of 400 mm 2 in a current CMOS logic or memory process may have a yield of less than 20% whereas a die of 25 mm 2 in the same process may have a yield of 96%.
  • the VSI invention enhances die yield per wafer or substrate by allowing the size of a planar die to be reduced by partitioning portions of a planar circuit design onto separate circuit layers to achieve a higher wafer tiling efficiency or a greater number of physical die per wafer or substrate surface area. This increases the total number of dice fabricated per wafer, and therefore, for some percentage yield, a greater number of total good or usable dice.
  • the VSI dice per wafer yield enhancement quantitatively varies in a range approaching 25% greater die per wafer or substrate and can be shown by the example of a 520 mm 2 planar die and an equivalent VSI component or die with a size 66 mm 2 and 8 circuit layers.
  • the 520 mm 2 planar die will have a wafer tiling efficiency of approximately 74% or 44 die per 200 mm 2 diameter wafer.
  • the equivalent VSI component or die will have a wafer tiling efficiency of approximately 85% or 400 die per wafer. This results in a net increase of 48 additional die or 14%, or an increase in die per wafer [VSI stack] yield of approximately 14%. If the VSI die size is decreased to 33 mm 2 there is a net increase of 149 die or an increase in die per wafer [VSI stack] yield of approximately 22%.
  • the increased number of circuit die per wafer results in increased die per VSI wafer or substrate yield.
  • the reduced die size used in this method of VSI yield enhancement is further improved, and unavoidably benefits, from VSI yield enhancement method 1.
  • the VSI invention enhances die yield by allowing the separation or segregation of high complexity processes or technologies which have lower yield to be restricted to a smaller physical size when integrated with high yield processes or technologies.
  • the VSI invention allows the size or physical dimensions of a VSI component or die [not the total area of all circuit layers] to be determined by the maximum amount of circuitry desired per circuit layer rather than the total amount of circuitry to be fabricated onto the die as is the case with planar ICs.
  • the net yield of a VSI component or die per wafer or substrate therefore, is the combined yield of the separate or segregated circuit layers made with lower complexity processes or a combination of higher and lower complexity processes, as compared to the yield of equivalent planar circuitry requiring the merger of two or more distinct circuit fabrication processes resulting in a more complex process with higher defect density and lower yield.
  • the VSI component or die yield will be always greater because the complexity or defect density per VSI circuit layer is lower resulting in a higher net yield of VSI components.
  • This yield effect of the VSI process can be stated as resulting from a semiconductor industry established fact that the defect density of merged IC fabrication processes are always greater than the defect densities of those IC fabrication processes if utilized separately.
  • planar processor circuits are generally designed with a significant percentage of their circuit surface area allocated to memory circuitry.
  • the logic circuitry typically uses a transistor fabrication process that is more complex or significantly different from those used for fabrication of the memory circuitry.
  • the logic circuitry presently may require nine [9] or more horizontal interconnect metallization layers whereas the memory circuitry typically requires less than four [4], and in the case where embedded DRAM memory is used, the logic and memory cells are formed with two different processes.
  • the fabrication yield of the logic circuitry is usually less than that of the memory circuitry due to its greater fabrication complexity, therefore, the combination of two or more distinct fabrication processes increases the total number of process steps required to produce the a planar IC resulting in a lower net circuit yield than if the logic and memory circuitry where fabricated as separate planar circuits.
  • the VSI invention enables the logic and memory circuitry to be fabricated separately and integrated as separate closely coupled circuit layers of the VSI component or die. Therefore, yield of the VSI die is higher than a planar die equivalent because the net yield of the individual and separate logic and memory circuit layers of the VSI die are higher.
  • a further aspect of this yield enhancement method is that it has a lower cost of manufacturing, and therefore, lower net die costs result from both a higher yield and cost savings from manufacturing wafers or substrates with fewer process steps.
  • the following is an example of this VSI yield enhancement method.
  • the percentage logic circuitry of planar die surface area in current high performance microprocessors such as 32-bit and 64-bit circuits with a die size of 200 mm 2 is less than 25% with the balance generally given over to memory circuitry.
  • An equivalent VSI component or die of the planar circuit would consist of the logic layer and three memory layers.
  • the estimated yield of the VSI logic layer is 85% and 94% for each VSI memory circuit layer, the estimated yield of this VSI component would be approximately 70% versus an estimated yield for the equivalent planar circuit of 40%.
  • this specific VSI yield enhancement method is the use of non-silicon fabrication technologies such as GaAs, InP or InSb for logic and CMOS memory. If GaAs is used as the non-silicon semiconductor technology, it is well known that the yield of GaAs is significantly less per unit area than that of digital logic CMOS or for such a CMOS memory as SRAM. Presently there are no commercially produced examples of planar ICs with merged GaAs and CMOS technologies, however, it is reasonable to estimate that the yields of such planar circuits would be significantly less than the yields of their separate GaAs and CMOS circuit portions if fabricated as separate planar circuits.
  • the capability to integrate different semiconductor technologies into a single die would enable the integration GaAs optical transceivers circuitry and digital CMOS, simultaneously improved performance over present discrete IC implementations and at lower cost.
  • the fabrication of different semiconductor based circuits as circuit layers under the VSI process and in combination with VSI backside interconnect fine grain vertical interconnect fabrication means allows wiring connections to be made on the basis of individual circuit elements or devices between the two distinct semiconductor technologies by virtue of the placement of such devices in close proximity either directly above or below each other, and therein, achieve a circuit integration equivalent to planar integration.
  • This method of VSI yield enhancement by circuit layer complexity reduction or separation can be further improved by use of VSI yield enhancement methods 1 and 2.
  • the VSI invention enables yield to be primarily determined by a specific portion of circuitry instead of circuit yield being directly proportional to total surface area of all VSI circuit layers.
  • the portion of circuitry affected by a defect is small preferably less than 0.5 mm 2 in size. The size is important because when a defect is found the affected area of circuitry or the portion of circuitry that can be isolated and replaced by an identical spare is preferably small. This is VSI fine grain redundancy and allows a circuit to be repaired through the availability of 100% circuit logic redundancy which is structured as shown in FIG. 11A . In FIG.
  • F 11A functional unit F 11 can be replaced by F 21 or F 31 which are positioned in close vertical proximity which allows vertical interconnections 1101 a to all three units to be of similar length and require a minimum of horizontal interconnection wiring; it should be clear that a planar placement of these same functional units would require wiring lengths that would vary significantly from each unit. Similar wiring length efficiencies are represented by vertical interconnections 1102 a and 1103 a.
  • FIG. 11B and FIG. 11C contrast the wiring length efficiencies of VSI vertical fine grain interconnections with planar interconnections.
  • FIG. 11B shows in cross section a planar IC 1104 b with logic unit 1102 b and spare logic unit 1101 b which are separated by wiring length dh 1105 b . Redundancy control unit 1103 b is used to enable the use of either logic unit 1101 b or 1102 b .
  • FIG. 11C shows in cross section a three VSI circuit layers 1104 c , 1105 c and 1106 c with logic units 1101 c , 1102 c and spare control logic unit 1103 c vertically aligned and positioned on one each of the three circuit layers.
  • Logic units 1101 c and 1102 c have a vertical wiring length of dv 1107 c .
  • the dh 1105 b wire length will vary widely because it is dependent upon the placement and size of logic units 1101 b , 1102 b and any requirements on their placements relative to other logic unit circuitry. It would not be unusual that dh have nominal wiring length values in a range of 500 ⁇ m to 2,000 ⁇ m.
  • the dv 1107 c wire lengths only dependent on the number of vertical layer separations of the logic units 1101 c and 1102 c which in most cases will be in a range of 10 ⁇ m to 20 ⁇ m.
  • the wire lengths of dh are nominally 50 to 200 times greater than dv.
  • the wire length variances of dh are significant to the performance of an IC and will cause the circuit timing to be a function of the longest wiring lengths between the logic units, this may cause the timing performance of the circuit to be inadequate.
  • the placement of spare circuitry will cause additional wiring lengths between a number if not all of the other logic blocks of a planar IC and again resulting in lower or inadequate circuit performance. It can be easily seen from this comparison that a 100% or 200% VSI circuit redundancy will not affect circuit performance as a result of wiring length delays, and that the use of the VSI method of redundancy is not only transparent to performance but also to the layout or placement of the IP or circuit blocks of a given circuit layer.
  • the unique difference of this method is that the majority of horizontal wiring layers are on one side of a circuit layer with one or more circuit element or element group spares directly below each primary circuit block that can be replaced if defective.
  • This type of fine grain circuit redundancy nominally results in yields of greater than 99%.
  • This yield per configurable circuitry portion enables 90% yields for logic circuit layers of greater than 1,000,000,000 transistors with the assumption that each sparing portion of circuitry has 10 transistors or more and some number of the first horizontal interconnection layers such as the layers generally referred to IC layout art as M 1 , M 2 and or M 3 .
  • Selection of the primary or spare circuit portion is accomplished by a row and column orthogonal wiring matrix on the backside of the primary circuit layer or on the spare circuit layer[s] which can select and configure the use of spare circuitry on the spare circuit layer as temporary or permanent.
  • This type of sparing interconnection allows for the repair of circuitry in a VSI component throughout its useful life.
  • This method of yield enhancement has little if any impact on conventional planar circuit design methods because the spare circuitry is vertically below or above the primary circuitry with fine grain vertical interconnection providing the wiring for enabling the use of the spare circuitry, and therefore, the logical or physical design of any circuit is unaffected.
  • This method lends itself for automation by the physical layout CAD tools used for present planar circuit design because this method does not cause changes to the planar circuitry placement.
  • the CAD tool would insert fine grain vertical interconnection routing to sparing circuitry as a vertical overlay of a circuit design with interconnections for circuit sparing made from the backside of a primary circuit layer.
  • Fine grain vertical interconnections and pass transistors or fuses/anti-fuses can be used to replace the primary circuitry with the spare circuitry in the event of a defect in the primary circuitry.
  • the efficiency or cost reduction of this VSI invention is provided through its high yield and the ability of the VSI process to integrate identical circuit layers organized in circuit blocks to the horizontal wiring layers of one circuit layer.
  • Vertical buses are themselves sources of IC defects from fabrication of the vertical bus interconnection or the logic used to interface to the bus vertical interconnections.
  • the area used per circuit layer even for buses with large numbers of wires such as 1024 or 4096 vertical interconnection wires is relatively small.
  • the area required for a bus of 4096 vertical wires with a 2 ⁇ m wiring pitch and its drive logic is approximately 0.03 mm 2 . It is cost effective to make portions of a bus reconfigurable with spare or redundant logic or wiring portions or to have a fully redundant bus in close proximity to the primary bus.
  • VSI components or ICs can be determined from the yield of one or two circuit layers, therefore, a yield of 90% or higher can be expected no matter how large the actual circuit area.
  • This method of VSI IC yield enhancement combines the use of circuitry sparing and the incorporation of test and reconfiguration logic.
  • This VSI invention method for circuit yield improvement combines the use of the VSI fine grain vertical interconnect, the ability to isolate whole circuit layers or arbitrary portions of circuit layers through pass transistors, fuses, anti-fuses or other electronic device, and yield management control, test and reconfiguration logic.
  • the VSI fine grain vertical interconnect requires little surface area for implementation of large number interconnections on a circuit layer and typically no additional horizontal interconnect layers are needed in order to connect yield management control logic which resides preferably on one of the layers of the VSI component or can be partially external to the VSI component.
  • the VSI yield methods are uniquely enabled by the novel aspect of fine grain vertical interconnect that provides significantly greater densities of circuit interconnections than possible with horizontal interconnect and without additional process complexity.
  • This VSI yield enhancement method requires a greater level of interconnect than can be provided by horizontal interconnect at present with 8 to 9 layers or even the 14-16 layers anticipated in the future.
  • This aspect of fine grain vertical interconnect is demonstrated when considering that fine grain vertical interconnections implemented with a 2 ⁇ m pitch enables an interconnect density of 250,000 interconnections per 1 mm 2 or the equivalent of 125 horizontal interconnect layers of 0.25 ⁇ m pitch over an area 1 mm wide.
  • This VSI yield method achieves yield improvement by partitioning a VSI component by circuit layer, Circuit Block of a circuit layer or a circuit element of a circuit layer that is vertically interconnected to one or more spare circuit equivalents. Such spares are enabled by yield management control logic.
  • the yield management control logic typically on a separate circuit layer.
  • the yield management control logic uses self test circuitry or internal VSI ATE [Automatic Test Equipment] circuitry to determine the presents of a defective circuit layer, Circuit Block or circuit element. If a defect is found by the internal test circuitry, the yield management control circuitry uses reconfiguration circuitry to disable the defective circuit layer, Circuit Block or circuit element and enable spare circuitry replacement.
  • This VSI yield method is not restricted to die size since yield is dependent on sections of circuitry of a circuit layer or Circuit Blocks that have a surface area that is smaller than the circuit layer or die.
  • the use of a die size of less than 200 mm 2 and preferably 50 mm 2 or less reduces the fabrication horizontal interconnection complexity of a circuit layer, and therefore, the likelihood that a circuit defect will occur on a specific circuit layer and less so per Circuit Block.
  • the reduced fabrication complexity results from few active Circuit Blocks types, and therefore, typically a reduced number of horizontal interconnect layers.
  • the die yield model for planar circuits resulting from the established and traditional semiconductor fabrication process quality enhancement methods is directly related to die size.
  • This VSI yield method uses the established planar fabrication yield method benefits for smaller die or Circuit Blocks in combination with fine grain vertical interconnect to achieve higher yields for VSI circuits by raising the expected yield per layer than would be possible if the separate VSI circuit layers were made as one planar circuit.
  • the VSI small die size yield enhancement method benefit is more clearly seen when considering semiconductor technologies or processes that when merged on a common substrate [versus implemented separately as VSI circuit layers] are known to have lower yields such as in the case of merged GaAs and CMOS technologies or digital CMOS and DRAM memory cells.
  • the yield management enhancement circuitry isolates a whole VSI circuit layer, portion or portions of a circuit layer from the operation of the total circuit. It also can enable the operation of a whole circuit layer, portion or portions of a circuit layer for operation with the total circuit.
  • There can be multiple yield management control logic units which are specialized to work with various circuit types such as DRAM, Flash, PLD, analog circuit arrays, passive circuit arrays, MEMS, microprocessors, network custom processors, etc.
  • the VSI yield method is independent of die size. This is to say that with sufficient spare or replacement circuit portions, and or sufficient redundant circuit layers, the die size can approach the size of a complete wafer for such applications as full wafer circuit test and burn-in [a MEMS application wherein micro-probe points are integrated on the surface of a VSI wafer stack].
  • Circuit layer stacking as shown by the VSI invention has a first enabling benefit of increasing the number of interconnections or interconnection density between any two IC layers while simultaneously lowering the net cost of achieving greater interconnection density through the use of various well established semiconductor fabrication methods.
  • the VSI invention provides greater interconnection metallization density through interconnect metallization scaling through the arbitrary addition of three dimensional [k by n by m] circuitry which is independent of the fabrication process used for making any one of several possible circuit layers of the VSI IC. This thereby increases the planar [n by m] interconnection metallization limitations by [k] times.
  • the VSI method for increasing interconnection density increases the utilization of future lower cost transistors, and therefore, the benefits that can be gained from these lower cost transistors.
  • PCB printed Circuit Board
  • These systems use numerous microprocessor ICs that operate in parallel and in conjunction with other ICs such as memory ICs to achieve an intended performance capacity.
  • These systems are typically assembled from a number of PCBs wherein a PCB has mounted on it one or more microprocessors or processors and associated support ICs such as application specific logic ICs, memory ICs and bus I/O or interconnection ICs and may be generically referred to in industry as processor PCBs or processor modules.
  • processor modules are then typically plugged into another PCB often called a backplane which provides one or more wired interconnection paths between all the processor modules; in addition, there may be cabling connections between the processor modules to improve the data access and transfer rate between processor modules; such cabling may take the form of a bundle of copper transmission wires or one or more fiber optic transmission lines.
  • a PCB backplane interconnection is often a shared or multiplexed interconnection resource of the processor modules plugged into it, and cabling is used to connect two processor modules [point to point] or small number of processor modules that are part of a larger assembly of processor modules.
  • An example of such a data processing system is a current IBM supercomputer of 1,408 processors consisting of 44 groups of processors wherein each group contained 32 processors; computational systems like this IBM supercomputer presently cost in the hundreds of millions of dollars even though the microprocessor and memory ICs comprising a majority of the data processing related ICs in their assembly amount to less than 1% of their cost. The majority of the physical costs of such large computational and communication systems are accounted for by the costs of their PCB and cabling interconnections and not the semiconductor ICs.
  • An alternative interconnection structure architecture to processor modules organized as groups, sub-arrays or clusters such as the IBM supercomputers is the mesh or Massively Parallel-Processing [MPP] interconnection structure used in the Red Strom supercomputer system of CRAY Inc. wherein over 10,000 microprocessor modules are physically interconnected each to seven other microprocessors.
  • MPP Massively Parallel-Processing
  • router logic in each module routes or passes the request and information to subsequent intervening processor modules until the target processor module of the communication is reached.
  • This is similar to an Internet Protocol communication network wherein communication between the majority of processor modules is not a direct point-to-point interconnection, but through some number of intervening processor modules with an additional access and transmission delay for each intervening processor.
  • both the clustered and mesh processor module interconnection topologies are not uniform point-to-point topologies. Both of these currently in use processor module interconnection topologies are known to excessively limit the maximum potential performance of the system of processor modules due to delays resulting from data transmission paths with intervening or forwarding modules during communications between various pairs of processor modules. Further, due to the fixed and physical interconnections connecting the processor modules, when a physical failure occurs that affects the use of one of these fixed and physical interconnections or when there is communication along a specific fixed capacity interconnection path that exceeds its capacity, routing around or avoiding this failed or capacity limited interconnection path has as yet to be solved without resulting in additional performance losses and system implementation costs. These limitations are a direct result from the fact that the interconnection topologies of these processor module structures do not use a multiple point-to-point interconnection method and that physical and not wireless interconnection methods are used.
  • the VSI invention enables a means for reduction of the cost and performance disadvantages presently resulting from high densities of physical interconnections between information processing or switching planar ICs, VSI ICs or stacked ICs also from time to time herein referred to as a processor ICs, or modules of VSI ICs or stacked ICs and or planar ICs, wherein a module also from time to time herein referred to as a processor or processing module, is a collection of such ICs on one or more interconnection substrates; examples of modules are a MCM [Multi-Chip Module], MCP [Multi-Chip Package], a PCB [Printed Circuit Board] with ICs or MCMs thereon and an assembly of PCBs.
  • MCM Multi-Chip Module
  • MCP Multi-Chip Package
  • PCB Print Circuit Board
  • the preferred embodiment of this example of the VSI invention is a VSI IC module wherein in one or more VSI ICs integrate one or a plurality of wireless transceivers as circuit layers of the VSI IC stack for creating a wireless interconnection and enabling communication between one or more other such VSI IC modules, however, such a module is not limited to or by the use of a VSI IC; the module would also incorporate the antenna or antennas required to enable a means of transmission of the wireless signal.
  • the antenna can be fabricated as part of a VSI circuit layer in a VWM, or as part of a substrate one or more VSI ICs are bonded and incorporated in a VWM.
  • this processor module will be referred as a VSI wireless module, VWM, or from time to time a processor module or module.
  • the VSI wireless module or VWM is not limited in data processing capability or memory storage capacity.
  • VWMs can be used for example in the assembly of data processing systems, servers, IP network switching systems or supercomputers.
  • VWM systems are preferably enclosed by an enclosure which shields or prevents the release of electro-magnetic radiation in the Mhz, Ghz or Rf [Radio frequency] frequencies from VWMs and prevents the entry of such interference radiation frequencies; the use of this radiation shield is optional and depends on the use of the VWM system.
  • a VWM in addition to incorporating one or more wireless transceivers can incorporate a wide range of data processing capabilities and data processing logic capacity.
  • Examples of the various logic circuits a VWM can incorporate singularly or combination are one or more microprocessors such as 32 or 64 bit fixed instruction microprocessors, programmable logic such as FPGAs [Field Programmable Gate Arrays], custom design logic such as ASICs [Application Specific ICs].
  • a VWM can incorporate most of the various types of integrated circuit memory technologies such as SRAM, DRAM, Flash, ferroelectric, MRAM, chalccogenide based memory cells or dendritic based memory cells, and in capacities of a few thousand bytes to more than several gigabytes.
  • a VWM there is a memory capacity from several megabytes of memory to more than sixteen gigabytes of memory.
  • a VWM can incorporate one or more wired bus interconnections, however, in the preferred VWM embodiment there is only one bussed interconnection or there are none; such VWM wired interconnections, either electronic or optical, are typically arbitrated or shared bused connections common to a portion or all the VWMs of the system in which they are incorporated.
  • a VWM by intention has a limited number or no wired connections for data transmission to other VWMs.
  • the wireless transmission between VWMs enables the forming of multiple point-to-point or VWM to VWM transmission paths as needed and or on demand such that all connection paths are effectively a nearest neighbor connection; the transmission paths and the number of point-to-point transmission paths between VWMs are dynamically configurable under VWM programmed control, this is clearly not possible in a wired system of data processing modules.
  • the preferred embodiment of the VWM invention is VSI IC incorporating a plurality of wireless transceiver circuits within its circuit layers and where each transceiver is preferably programmable to a plurality of transmission frequencies.
  • the programmable transmission frequencies are preferably in the Radio frequency [Rf] frequency range.
  • a VWM also may be an assembly of more than one VSI ICs and conventional planar ICs.
  • the objective of the wireless interconnection [communication] between any two VWMs is to provide one or more point-to-point high speed high capacity data transmission paths at one or a plurality of transmission frequencies.
  • the use of multiple transmission frequencies simultaneously enables higher overall data transmission through parallel transmission of information or data as a means to achieve greater bandwidth.
  • the signal strength of the wireless interconnection signal between VWMs need only be sufficient to reach any one of the other VWMs in a specific system assembly or array of modules where the maximum wireless communication distance between modules of such an assembly or array may vary in a range from less than an inch to less than 10 meters and with the nominal or preferred distance being less than 4 feet and less than 18 inches wherein the use of lower Rf radiated power per VWM transceiver is an embodiment preference.
  • the localized use or limited distance use of the wireless interconnection signal in combination, if necessary, with radiation shielding allows any MHz or GHz frequency to be used without causing interference with the licensed transmission frequencies in use such as those used in cellphones or TV transmissions.
  • the radiation shielding is made with conventional methods as a metallic grill or solid metallic layer or film and with the appropriate choice of metal.
  • the use of a radiation shield enclosure enables the use of the greater range of transmission frequencies by a system of VWMs without regard to the use of those transmission frequencies that are reserved for licensed use such as broadcast frequencies.
  • the radiation shield can be used to reduce the signal noise within the space it encloses, and therefore, among the VWMs it encloses, by absorbing incident radiation and so reducing reflected signal radiation from the VWMs.
  • Power to a VWM may also be wirelessly transmitted. This would allow an array of VWMs to be embedded in a large electronic and or mechanical assembly or system without physical wiring connections.
  • the use of wireless power transmission to a VWM depends on the power requirements of the VWM or VWMs to be used and the type of transmission methods used such as a conventional isotropic antenna transmission or adaptive antenna transmission.
  • VWMs that are powered through wireless transmitted power in their preferred embodiment would incorporate a rechargeable battery.
  • a transceiver in a VWM uses one or more antennas incorporated in the VWM to transmit a wireless signal to one or more VWMs in a VWM array or to one or more VWMs in another VWM array, or to information or data receiving electronics that can be internal or external to the system in which the transmitting VWM is installed.
  • the preferred VWM antenna embodiment is an adaptive array antenna for the purpose of achieving spatial transmission path spectrum or channel reuse among VWMs of a VWM array.
  • the adaptive array antenna is presently used in cell phone communication networks to maximize the use of Rf frequencies available to the cell phone service provider.
  • VWMs use adaptive array antennas in a much different manner than their present use by cell phones; whereas cell phones communicate only with and through a central transmission tower, VWMs communicate directly with one or plurality of VWMs without an intermediate transceiver means.
  • VWMs use adaptive antenna technology to enhance the capability to achieve on demand transmission paths for direct simultaneous communication to one or more other VWMs, and therefore, without an intermediate transceiver coordinating or controlling means.
  • an intermediate transceiver means such as a central switching or control point tower as that used in the structure of cell phone communication could be used, this approach is not necessary and would add delay to transmission performance.
  • each VWM in a VWM array is held in a fixed position relative to the other VWMs of the array without a requirement for tracking VWM movement or coordinating its availability state as in a cell phone communication network; the position of one or more VWMs can be changed during its operation as might be the case during maintenance and this can be dynamically compensated by the affected VWMs, however, VWMs are not expected to be individually mobile like cell phone users.
  • the communication network of a VWM array in its preferred embodiment is self organizing upon startup and continues to be so during operation, wherein each VWM of the array is identified to every other VWM of the array and a determination of the physical position of each VWM relative every other VWM of the array is made such that the adaptive antenna electronics of each VWM can create a spatial transmission path to the adaptive antenna of every other VWM of the VWM array. If an established spatial order of VWMs is changed or disrupted, a new spatial order would be computed, however, this is not expected to be a frequent occurrence.
  • the objectives in using a wireless interconnection for the interconnection structure of a VWM array are data transmission performance with the characteristics of non-interfering point-to-point transmission using whatever transfer protocol that provides for the highest level of reliable information or data transfer rate and minimum access delay. This is markedly different from most applications of wireless data transfer such as in a cell phone for at least two reasons: first, the wireless interconnections formed between two VWMs are designed only for data transmission between VWMs in much the same way a dedicated wired interconnection between two VWMs would be designed and without the design restraint of compliance with one or more established transmission standards or protocols over the relatively short distances between VWMs of preferably less than one meter [39.4 inches]; and second, due the preferably small volume of a VWM array, the environment of a VWM array is preferably closed or shielded and not subject to external radiation interference sources normally found in an open air or unshielded environment and is preferably not intended for communication directly with public communication systems.
  • VWMs can use multiple Rf frequency bands in order to increase the transmission of information or data between two VWMs, and to allow the direct simultaneous transmission of data by a VWM to two or more other VWMs.
  • the use of adaptive antennas by VWMs is the preferred transmission means although it is not essential to the implementation of point-to-point transmission paths between VWMs.
  • the preferred Rf frequencies used by VWMs are in the range of 10 Ghz to 300 Ghz, but not limited by this range.
  • the choice of frequency bands to be implemented by the VWMs of a VWM array is determined primarily by the available transmission rate of the frequency band through the various materials used to make the VWMs and mechanically suspend them in their array structure with respect to non-line-of-sight transmission, and the size of the antenna or antennas needed for effective transmission at the selected frequency band or bands.
  • the length of an antenna is determined by the wavelength of the central frequency of a frequency band, the wavelength of a 1 Ghz frequency is 300 mm [11.8 inches], the wavelength of a 60 Ghz frequency is 5 mm [0.1968 inches] and the wavelength of a 100 Ghz frequency is 3 mm [0.1181 inches]. It is clear from the above examples of wavelength with respect to Rf frequency that the use of higher frequencies allows for the fabrication of smaller antennas for each VWM and the antenna is preferably fabricated as an integrated part of the VWM.
  • VWMs can vary significantly in processing capacity, functional capability, storage capacity and wireless communication or transmission capacity, more commonly referred to as information or data transmission bandwidth.
  • system assemblies of VWMs can be heterogeneous or can have specialized functions such as IP [Internet Protocol] processors, data base analysis processors or conventional general purpose instruction set processors, however, the wireless interconnection or communication transceivers of the VWMs of a system are by necessity compatible, thereby providing high speed dynamically configurable [changeable under programmable control] module to module transmission paths for information or data transfer without the restrictions imposed by wired interconnections of fixed and unchangeable physical connection paths between communicating processor modules or the volume required for these physical interconnection such as sockets for mechanically coupling the wired connections to each module.
  • a VWM may incorporate one to 1,000 to over 4,000 wireless transceivers, this is enabled as a direct result of the circuit stacking capability of the VSI invention. Further, since each wireless transceiver of a VWM may be programmed on demand to transmit to any of the VWMs in an array of VWMs, the cost of the interconnection communication path is clearly limited to the cost of the IC transceiver circuitry no matter which two VWMs in a system array of VWMs of any size need to establish a transmission path between each other for the transfer of data or information. This is in contrast to current wired connections where a physical connection path between any two modules must previously exist to affect the transfer of information.
  • a wired data processing module requires such a connector means for each physical or wired data transmission path it may incorporate; the number of wired data transmission paths of a wired module is limited by at least the physical volume need for such connectors.
  • the elimination of the physical or wired connection path [of either electronic or optical means], and therefore, their physical and mechanical limitations is a principal benefit and advantage to a data processing equipment or system employing an array of VWMs.
  • the data transmission paths between VWMs may be dynamically established. This means that the wireless transceivers of a VWM can be used to configure the information or data transmission interconnection topology that is best suited for a particular information or data processing task, and subsequently reconfigured to the requirements of another tasks. It is the capability for on demand point-to-point interconnection of VWMs in any as needed order or arrangement of interconnection without requiring an intervening physical [electronic or optical] switching or forwarding means, or preexisting wired interconnection capacity sufficient to provide transmission between any configuration of information or data processing modules, that provides a novel size [volume], performance and cost advantage of a system of VWMs versus a system of wired modules.
  • the wireless transceivers and antennas of the VWM invention replace the function of the high performance PCB backplanes and other PCB and cabling interconnection means while increasing processor interconnection capacity and reducing system interconnection implementation costs.
  • a VWM array is an inherently fail safe computing structures. What is meant by this, is that in the event of the failure of a VWM, the VWM array prevents the use of a failed VWM by performing similar computing processes to those used for establishing or verifying wireless transmission paths between VWMs such as when the VWM array is first started. Continuous reconfiguration processes eliminate, preferably through self adaptive and decentralized VWM function, the failed VWM from use within the VWM array, or more simply said, by reconfiguring the existing wireless transmission paths of the VWM array to exclude the failed VWM.
  • This fail safe capability is a by product resulting from a VWM array or system with decentralized VWM self organizing capability and is inherent in an assembly of wireless processing modules where all connection paths are effectively a nearest neighbor connection.
  • FIG. 11D shows an array of eight [8] VWMs 11 d 1 through 11 d 8 with Rf signal communications 11 d 9 a and 11 d 9 b between each of these modules and an optional electronic shield 11 d 10 enclosing the VSI modules to prevent the electro-magnetic radiation of the Rf signals from the VWMs from potentially interfering or harming electronic equipment in sufficient proximity to the VWM array or for external Rf signals from reaching the VWMs of the array.
  • Power interconnections between the VWMs are not shown for simplicity, but also with consideration that the VWMs could be wirelessly powered if so designed; the Radio frequency [Rf] transmission of power to electronic ICs is well known in the art, and therefore, no discussion is provided.
  • the wireless communication paths are shown as being line-of-sight 11 d 9 a and non-line-of-sight 11 d 9 b ; the as indicated wireless transmission paths 11 d 9 a , 11 d 9 b shown in FIG. 11D are for illustrative purposes only and are not intended to be exhaustive or limiting by their presentation of a VWM wireless interconnection network. Not all transmission frequencies that could be used for wireless communication between VWMs will provide the capability for forming a non-line-of-sight information or data transmission path, but the capability of non-line-of-sight frequency transmission when used allows electronic systems formed by the aggregating or arrangement or assembly of three dimensional [3D] arrays of VWMs as shown in FIG. 11G wherein some number of VWMs in a 3D array do not have a line of sight between each other but are not restricted from forming point-to-point communication information or data transmission paths.
  • each of the eight [8] VWMs has a direct point-to-point interconnection to each and every other VWM in the array of VWMs.
  • each VWM would have a plurality of wireless transceivers with unique transmission frequencies or adaptive antenna electronics such that each VWMs could have an interconnection transmission path to each of the seven other VWMs that would permit non-interfering simultaneous transmission of information to any VWM at any time, if greater bandwidth between each module were required additional transceivers at unique frequencies could be added, thereby creating a number of parallel interconnection paths between each VWM.
  • each VWM it is not necessary for each VWM to have a separate transceiver for each of the other VWMs of an array, but at least one is required and as few as one or two transceivers will be sufficient to satisfy the communication requirements of some VWM arrays and allow non-arbitrating non-interfering point-to-point communication. It is through the addition of wireless transceivers per VWM that the information or data bandwidth between any two modules can be increased without a corresponding increase in physical size of the VWM as would be the case of a wired module.
  • the number of VWMs in a VWM array can be increased without diminishing the processing throughput of the VWM array for the fundamental reason that for most information processing tasks the number of communication paths needed simultaneously by a VWM is a relatively small number that is generally four [4] or less, however, the VWMs connected by these communication paths can vary greatly and may at any time be between any two of the VWMs in the VWM array; further, these wireless communication paths between any two VWMs are non-interfering or exclusive to the VWMs using it and not shared or multiplex with other VWMs.
  • the number of VWMs in an array can be increased without a decrease in interconnection bandwidth or an increase in the number of potential I/O interconnections per VWM.
  • the ability of each VWM in an array to create a non-interfering transmission path to any other VWM of the array on demand results is a capability of VWM arrays that could be equaled in wired systems only by having a physical connection between each and every other module in a wired system resulting in an increase of the physical size of the wired system of at least one to two orders of magnitude for wired systems of thirty two [32] or more processor modules without consideration of whether such implementations are cost effective or even possible.
  • the numbers of VWMs in an array can be increased with a proportional or linear increase in physical size or volume. This is the case because there is no increase in VWM size due to the increase in potential wireless interconnections, the circuitry in the VWM or any additional increase in such circuitry as layers in a VSI IC circuit stack, since the addition of VSI circuit layers does not necessarily result in an increase in the overall volume of the VWM. Further, because the assignment of transmission frequencies are programmable or can be allocated on demand, a module may simultaneously broadcast information to more than one module using the same frequency, while wireless interconnection capacity for other on going uses is not affected.
  • the physical volume of the preferred embodiment of a VWM is 1 cubic inch [16.387 cm3], however, a VWM can be larger or smaller in volume.
  • each VWM in the VWM array is in a fixed position relative to the other VWMs of the array it is embedded while it is in operation. Fixing the position of each VWM in an array permits the point-to-point wireless transmission signals between each VWM to be characterized or to be tuned for optimum transmission performance with the assumption that the geometry of its environment with respect to the position of other VWMs is not variable. It is not a requirement of the invention that each VWM of a VWM array be held in a fixed position in order to be functional, however, it is preferred because it simplifies the typical operation of each VWM while increasing throughput.
  • VWM VWM
  • This requirement is the case for application such as life critical support or 24 hour transaction processing systems, and are referred to as high availability or non-stop electronic systems.
  • 11D shows a wireless point-to-point interconnection structure of a minimum of 28 point to point wireless interconnection paths 11 d 9 a , 11 d 9 b between eight [8] VSI wireless modules resulting in a total of potentially 56 separate serial module interconnection or transmission path transceivers.
  • wired point-to-point interconnection structure [often referred to as a star or radial interconnection structure] can be understood from FIG. 11D should the wireless paths be replaced by wired paths and with each wired path having a wired width of 32, 64, 128 or 256, which are often the width of data paths [bus widths] used in computers from desktop PCs to high performance servers and supercomputers.
  • the wired interconnection connectors of each processor module and physical cabling require a volume several times that of the microprocessor modules, and because of this volume increase the separation distance between the modules results in lower performance and or increased power requirements necessary to drive the signals due to the length of the interconnection.
  • the VSI wireless module array invention enables the use of the economies semiconductor integration manufacturing to effectively integrate the external physical interconnection structures between microprocessor or electronic processor modules into the module.
  • the result of this integration is a reduction in the size, power and manufacturing cost to implement electronic equipment using a plurality of microprocessor modules.
  • the integration of wireless transmission into a VSI IC or a circuit stack represents a manufacturing cost that is subject to the well known and established cost reduction efficiencies of semiconductor manufacturing of approximately 40% per year, whereas, the cost of any physical wiring interconnection means enjoys no such comparable year to year cost reduction benefit, but are seen as non-reducible and fixed manufacturing costs.
  • the VSI invention enables the effective integration of interconnection paths onto the VSI IC, and in doing so achieving benefits of reduced cost and increased performance of the interconnection paths between VWMs or information processing modules.
  • the well established reliability benefits of semiconductor integration are offset by the increased part counts of larger electronic systems that have large part counts.
  • the VSI wireless module reduces the part count of a large system to approximately the number of VSI wireless modules used.
  • FIG. 11E An example of an application using a large number of VSI wireless processor modules is shown in FIG. 11E . In FIG.
  • 11E VSI wireless processor modules 11 e 11 through 11 e 1 m and 11 e 21 through 11 e 2 n are interconnected by wireless point-to-point transmission paths 11 e 4 and an optional common wired cabling path 11 e 5 ; the as indicated wireless transmission paths 11 e 4 shown in FIG. 11E are for illustrative purposes only and are not intended to be exhaustive or limiting by their presentation of a VWM wireless interconnection network.
  • the part count of this system based on the VSI invention can be seen as approximately [m+n] modules, [j] I/O processing modules 11 e 31 through 11 e 3 j and cabling 11 e 5 plus connectors [not shown].
  • the system is optionally enclosed by radiation shield 11 e 6 to reduce wireless transmission interference.
  • 11E shows that the transceivers of the wireless transmission paths are point-to-point and the bandwidth of any point-to-point wireless path is determined by amount of wireless circuitry integrated into the VSI ICs of the wireless processor modules; this is intended to be example of how the VSI invention increases the level of IC integration of the system by replacing or integrating what would normally be interconnection structure consisting of physical cabling, connectors and line driver circuitry into lower cost, lower power integrated circuitry of a VSI IC.
  • 11E could easily exceed 32 without a reduction in wireless communication performance due to deficiencies in interconnection bandwidth since the performance of the interconnection between the VSI wireless processor modules is not determined by the wired interconnection 11 e 5 but by the numerous indicated wireless interconnections 11 e 4 .
  • the incorporation of the wired interconnection 11 e 5 of FIG. 11E is optional and could be eliminated; since it is shared over a large number of processor modules, it is not likely that it would be used for performance critical processing, but it could be used as a system management or maintenance resource.
  • Modules 11 e 31 through 11 e 3 j with wired I/O connections 11 e 31 c to 11 e 3 jc are also wirelessly connected to the VWMs and serve as interfaces to external peripherals or connections.
  • the preferred embodiment of a VWM computing system or a VWM array does not incorporate a backplane or physical wiring interconnect means. If a backplane or wiring interconnection means is used, it is not used as the primary interconnection or busing means for transfer of information or data.
  • FIG. 11E and FIG. 11F show wired interconnections means 11 e 5 , 11 f 31 c , 11 f 41 c these are used as examples of how wired interconnections could be used in conjunction with wireless interconnections discussed herein, however, the wired interconnection means in the preferred embodiment of a VWM system is not the primary interconnection means.
  • FIG. 11F shows an IP [Internet Protocol] communication processing switch and router consisting of I/O processing VWMs 11 f 11 through 11 f 1 j and 11 f 21 through 11 f 2 k and IP message information or data processing VWMs 11 f 31 through 11 f 3 m and 11 f 41 through 11 f 4 n .
  • the I/O processing VWMs have one or more each of wired interconnection 11 f 11 c through 11 f 1 jc and 11 f 21 c through 11 f 2 kc .
  • Each of the information or data processing VWMs may optionally incorporate one or more wired interconnections 11 f 31 c , 11 f 41 c that interconnect in common two or more VWMs,
  • Wireless transmission paths 11 f 51 , 11 f 52 are point-to-point interconnections between the I/O processing VWMs 11 f 11 . . . 11 f 1 j , 11 f 21 . . . 11 f 2 k and IP message information and data processing VWMs 11 f 31 . . . 11 f 3 m , 11 f 41 . . . 11 f 4 n ; the as indicated wireless transmission paths 11 f 51 , 11 f 52 shown in FIG.
  • 11F are for illustrative purposes only and are not intended to be exhaustive or limiting by their presentation of a VWM wireless interconnection network. Further, wireless transmission paths can be made between the various I/O processing VWMs and between the various IP message information and data processing VWMs. An optional radiation shield 11 f 6 is used to prevent radiation from the transmissions of the VWMs from going beyond the radiation shield or allowing radiation from beyond the radiation shield from reaching the VWMs.
  • IP communication processing switch and router of FIG. 11F is intended to perform similar operations to those of existing IP router and switching equipment but without the well known physical wiring bandwidth limitations between I/O electronics and IP message processing electronics.
  • IP messages are received through wired interconnections, sent to an IP message information and data processing VWM for designation determination and then sent on to the appropriate I/O processing VWM for transmission over wired interconnections. It is well known that IP message traffic loading through IP switching equipment can vary widely and unpredictably and that having sufficient IP message processing and transmission data path capacity to handle such changing IP message loads has proved challenging in the design of this type of electronic equipment using wired interconnection paths.
  • the IP message processing capacity of the invention can be increased with the addition of IP message processing VWMs without considerations for the ability of each VWM to accommodate an increased density of interconnection paths or the increased physical space that would be required for wired interconnection.
  • the IP communication equipment of FIG. 11F has the novel capability for using more VWMs to process IP messages in parallel in an on demand capacity basis without consideration of whether the system will have sufficient message transmission or switching capacity.
  • the IP message processing capacity of the equipment is not limited to the structure of VWMs 11 f 31 . . . 11 f 3 m , 11 f 41 . . . 11 f 4 n , but can take on other structures such as that shown in FIG. 11D or FIG. 11G for example.
  • the invention uniquely enables allocation of VWM IP message processing and transmission capacity and capability without the requirement that the VWMs be designed in advance to anticipate such allocations as must be done in the case of wired systems.
  • VWM arrays can be arranged in three dimensional structures in addition to the planar structures as suggested by FIG. 11D , FIG. 11E and FIG. 11F . This enables the emergence of a new form of three dimensional IC integration wherein VWM are closely packed into the shape of various solid 3D geometric structures or arrayed in the outline of hollow 3D geometric structures such as a cube or a sphere.
  • FIG. 11G shows a pictorial view of an array of VWMs in a cubic 11 g 2 structure.
  • 11G shows wireless transmission paths 11 g 42 , 11 g 41 , 11 g 43 , intended to suggest point-to-point transmission data paths between all VWMs of the 3D VWM array and between all VWMs of the 3D VWM array and two external interface processing modules 11 g 1 , 11 g 3 within wireless transmission radiation shield 11 g 5 and with external I/O wired connections 11 g 1 c , 11 g 3 c ; the wireless transmission paths 11 g 42 , 11 g 41 , 11 g 43 as indicated in FIG. 11G are for illustrative purposes only and are not intended to be exhaustive or limiting by their presentation of a VWM wireless interconnection network.
  • Each VWM of the cubic 11 g 2 structure is interconnected with point-to-point wireless transmission paths 11 g 42 .
  • These wireless transmission paths 11 g 42 are a combination of line-of-sight and non-line-of-sight transmission paths.
  • the wireless transmission paths shown 11 g 41 , 11 g 42 , 11 g 43 represent one or a group of transmission frequencies depending on the desired data path width or the desired data transmission rate between VWMs.
  • the cubic array of FIG. 11G is shown as comprising 64 homogeneous or heterogeneous VWMs without wired interconnections; wired power distribution to the VWMs is not shown.
  • Each VWM of the VWM array 11 g 2 is not restricted in the number of wireless transmission path capacity it may have but it is not necessary for each VWM to have sufficient wireless capacity such that each VWM be capable to simultaneously communicate with each of the VWMs of the array, however, such simultaneous communication capability, if needed, can be accomplished through integration of greater numbers of wireless transceivers.
  • the capability for any VWM of the VWM array 11 g 2 to form a wireless interconnection to some number of other VWMs is a requirement only of the transceiver capacity of the VWM and not a capacity limitation inherent in the design of the size of the VWM array or other system level design consideration.
  • the VWM array of 64 VWMs is a closely packed 3D structure which is not complicated by wireless interconnection circuitry or antennas required to implement the large numbers of potential wireless transmission paths that may exist at any one moment in time, nor does the physical size or volume of the array have to compensate for the wireless circuitry required for creating the transmission paths between each VWM.
  • the physical size of an individual VWM is largely independent of the size of a VWM array of which it is in, such that the size of a VWM array can be increased without diminishing the point-to-point connectivity between the VWMs of a VWM array as the size of the VWM array is changed.
  • the volume of the VWM array would increase as a multiple of the average volume of the VWMs used in the array, and not require an increase in the size of the individual VWM in order to accommodate the increase in the number of interconnections required to interconnect to a larger number of VWMs.
  • This is unique to the VWMs wireless method of VWM to VWM interconnection when compared to a wired multiprocessor or cluster system with point-to-point interconnection wherein the addition of a processor would require the addition of wired interconnection couplings to each of the existing processors of the system.
  • a VWM computing system or VWM array enables the reduction of the distance necessary for information signals or data to travel between VWMs. More than approximately 50% of the delay in sending a signal between logic modules in conventional systems is due to the wired distance between them, the VWM array capability to closely space the VWMs reduces the distance signals must travel between VWMs versus conventional logic modules by 5 ⁇ to more than 100 ⁇ , and in consideration of some large multiple processor or supercomputer systems, more than 1000 ⁇ .
  • a VWM computing system or VWM array enables fault tolerant capacity and excessive transmission path loading recovery through programming changes of the VWMs. This is novel to the VWM due to its wireless interconnection means. Fault tolerance can be implemented in a VWM system through isolation or reconfiguration of the available or useable wireless transmission paths of the VWM array by software programming means to avoid a physical failure at a VWM as it occurs. Excessive transmission path loading between any two VWMs is avoided by reconfiguration or reallocation by software programming means of the available point-to-point transceivers of the affected VWMs to create additional or multiple point-to-point transmission paths to increase the transmission capacity between the capacity affected VWMs.
  • a VWM is in an unshielded network.
  • the preferred embodiment of the VWM is to have a volume of less than ten [10] cubic inches, preferably less than four [4] cubic inches and preferably less than one [1] cubic inch, water proof packaging without external contacts, powered by wireless transmission with or without an internal battery, made from one or a plurality of VSI ICs such as those shown in FIG. 3 through FIG. 6 , and where a VWM operates over regulated transmission frequencies or over a sufficiently short legally proscribed distances for unregulated frequencies so that no harmful or interference effects result from VWM usage.
  • the VWM may communicate wirelessly over conventional or standard wireless communication protocols and frequencies to non-VWM electronic equipment, be used by itself or with a plurality of other VWMs, and where a VWM may have dedicated functions such as mass storage, audio or video processing, for consumer appliances, home security and networking control, home appliance control, cell phone and wireless phone networking, or application specific processing functions such as language translation, voice recognition or handwriting recognition.
  • a VWM may have dedicated functions such as mass storage, audio or video processing, for consumer appliances, home security and networking control, home appliance control, cell phone and wireless phone networking, or application specific processing functions such as language translation, voice recognition or handwriting recognition.
  • These VWMs like the VWMs of a VWM array previously discussed, are capable of forming self organizing wireless networks with multiple transmission paths to multiple VWMs or between any two VWMs with those VWMs that are in sufficient proximity.
  • the VSI fine grain vertical interconnect enables the fabrication of ICs that cannot presently be manufactured by stepped optical, UV, EUV or other EM radiation source based lithographic techniques due to the limited size of the reticle image field.
  • Present UV stepper lithographic tools perform a 4 ⁇ or 5 ⁇ reduction of the mask field or reticle resulting in an imaged field of less than 30 mm by 30 mm. Therefore, the largest IC that can be fabricated is less than the size of the reticle image field, approximately 25 ⁇ 30 mm at present.
  • the VSI stacking of circuit layers allow the VSI components or ICs to have a circuit area that is more than the limit imposed by stepped lithographic methods through the stacking of thinned circuit layers of arbitrary size until the desired amount of circuit integration is achieved.
  • the stacking is done in combination with fine grain vertical interconnections between all circuit layers providing an interconnection density that is equivalent or higher than that possible in planar ICs.
  • Another aspect of die size reduction through the VSI invention is the reduction of interconnection lengths versus planar circuitry.
  • Interconnection resistance is inversely proportional to interconnection length, and therefore, the reduction of interconnection lengths resulting from the VSI invention increases the operational frequency of the VSI IC versus an equivalent planar IC.
  • interconnect delay accounts for more than 50% of the delay in large planar ICs fabricated with processes with geometry feature sizes of less than 120 nm
  • the smaller die size of a VSI IC versus an equivalent planar IC is a distinct and novel means of increased IC performance from the VSI invention.
  • the dissipated power of a planar IC is directly related to the resistance resulting from interconnection length.
  • the smaller die size of a VSI IC versus an equivalent planar IC is a distinct and novel means of reduction of IC power dissipation from the VSI invention.
  • FIG. 12 Another embodiment enabled by the VSI invention is shown in FIG. 12 and is a V-groove dual gate transistor with a non-optically formed gate channel.
  • the transistor is formed by the epitaxial deposition of a NPN or PNP sequence of semiconductor layers where the channel is lightly doped and the adjacent layers are heavily doped.
  • the FIG. 12 shows two anisotropically formed V-groove gates 1201 and 1202 that transect the gate channel layer 1205 . Source 1203 and drain 1204 are in contact with the heavily doped layers 1206 and 1207 respectively.
  • the V-groove gates are separated by oxide layers 1208 , 1209 .
  • the gate channel 1205 can be formed with an arbitrary thickness and can be formed with a thickness of over 250 nm and less than 10 nm and with a thickness of 1 nm; the channel is not formed from an optical process, and therefore, does not suffer from the current restrictions that are well known when using optical lithography equipment to form transistor features that are less than 100 nm.
  • the forming of the channel layer and its thickness is determined by the capability of epitaxial equipment, which is known to be able to deposit semiconductor layers of 1 nm.
  • the transistor or FIG. 12 functions when voltages are applied to one or both gates 1201 or 1202 which changes the conductive state of the low doped gate channel 1205 between the V-groove shaped gates 1201 and 1202 .
  • the operation of the transistor is similar to convention MOS transistors.
  • the transistor of FIG. 12 is fabricated by first forming a first V-groove in a first surface of the semiconductor substrate, preferably formed on a substrate with a barrier layer or buried etch stop layer such as an SOI substrate.
  • the V-groove formation by anisotropic etching is well known.
  • a thin layer of dielectric is then formed over the first V-groove and an electrode is deposited and patterned.
  • the first surface is then bonded to a surface of a VSI circuit stack or is held in such a manner that the underlying substrate [not shown] can be removed to expose the lower device layer 1206 where upon a dielectric layer is deposited and a second V-groove etched such that the tip of the second V-groove is adjacent the first V-groove and transects the channel layer 1205 .
  • a dielectric layer and electrode are then fabricated in the V-groove as with the first V-groove. It is preferable that the length of gate channel separating the two V-groove tips be made as short as possible.
  • FIG. 13 shows an example of a V-groove dual gate transistor with one or both V-groove gates 1301 and 1302 not intersecting the low doped gate channel 1305 . It should be noted that in this configuration of the V-groove gates 1301 , 1302 , the source 1308 and drain 1305 must be on opposite sides of the semiconductor layers to avoid a short circuit condition.
  • the V-groove transistors of FIG. 12 and FIG. 13 can be operated to reduce source to drain off condition leakage. This is done by operating both gates in parallel or by using one of the gates to affect the threshold switching voltage of the transistor.
  • Partial Depletion [PD] SOI MOS transistors suffer from an electrical phenomenon called the Floating-body effect.
  • the floating-body effect is a condition of excess charging in the transistor [gate] channel and at the interface of the channel drain regions. This excess charging results from the impact ionization of hot-carriers in the channel due to high source to drain electric fields across the channel region.
  • a further result of hot-carrier impact ionization is a rise in the temperature of the channel and this is due to the poor thermal conductivity of the buried oxide layer underlying the transistors of the SOI substrate.
  • FIG. 14 shows a typical SOI MOS transistor in a VSI circuit layer with source contact 1401 , gate 1403 , drain contact 1402 and channel region 1404 and drain region 1405 .
  • the transistor of FIG. 14 is shown in the preferred embodiment as integrated as part of a circuit layer in a VSI IC and with the substrate removed stopping at the buried oxide layer of the SOI substrate.
  • the SOI buried oxide layer may be removed or reduced during VSI backside processing from its original thickness to a desired thickness by conventional semiconductor processing means.
  • a dielectric 1406 with a higher thermal conductivity than oxide is deposited such as Al 2 O 3 [sapphire] or diamond to a desired thickness.
  • a metal layer 1407 may be deposited; in the preferred VSI embodiment, a metal layer such as aluminum or copper would be used to serve as a means to form horizontal interconnection structures such as a ground plan, or vertical interconnect and bonding means between VSI circuit layers, in addition to this aspect of the invention, as a means to conduct a significant portion of the thermal energy resulting from the floating-body effect away from the transistor. It is also an aspect of the invention that the metal layer 1407 have a capacitive coupling with a portion of the back side of the transistor body such as the channel or drain region so that bias voltages can be applied to actively offset the channel charging effects. The proximity of the metal layer 1407 to the backside of the transistor also acts to assist in the thermal dissipation of the transistor due the higher thermal conductivity of metal layer versus that of dielectric 1406 .
  • This invention for the elimination or reduction of the SOI floating-body effect is practiced through the VSI process because the VSI processing sequence provides, as one of its process sequence steps, for the thinning of the back side of an electronic circuit wafer to a buried etch stop layer.
  • the two novel features of this invention that enable the elimination or reduction of the SOI PD MOS transistor floating-body effect are enhanced thermal dissipation of transistors through immediate contact with the back side of the transistor body and the formation of an electrode in proximity to portions of the backside of the transistor body in order to apply a voltage bias to reduce the transistor channel charging.
  • This method of reducing or eliminating the floating-body effect of PD SOI MOS transistors can also be implemented by thinning the SOI substrate to the buried oxide layer independently or with the SOI substrate first bonded to a holding or carrier substrate.
  • the implementation of this method for controlling the floating-body effect of PD SOI MOS transistor is not limited in its use through the VSI invention, however, it does require the removing of the underlying substrate to the buried oxide layer 1406 .
  • Substrate leakage in MOS transistors results in increased power requirements, resulting in increased circuit thermal dissipation, and is a limiting factor in the design and the integration progression of large ICs and their operating performance.
  • the VSI invention enables the fabrication of a low substrate leakage MOS type transistor through fabrication of a Substrate Leakage Barrier [SLB] under the transistor gate and blocking the substrate leakage path between source and drain regions of the transistor.
  • SLB Substrate Leakage Barrier
  • FIGS. 15A and 15B show prior art transistor structure.
  • FIG. 15A represents a logic transistor fabricated in a bulk CMOS process and
  • FIG. 15B represents a logic transistor fabricated in a SOI CMOS process.
  • the transistor leakage path 1501 a is shown in FIG. 15A .
  • No such leakage path exists in FIG. 15B a Full Depletion [FD] SOI transistor, however, to achieve this result required that the source 1501 b and drain 1502 b of the transistor be raised out of the plane of the gate 1503 b adding to the complexity of fabricating the transistor.
  • the buried oxide layer 1504 b of the SOI substrate used in FIG. 15B is shown directly below the transistor source 1501 b , drain 1502 b and channel 1505 b.
  • the VSI SLB is formed from the backside of the transistor gate region after the substrate is thinned in accordance with the VSI processing sequence, wherein access to the underside of the gate region is had through conventional semiconductor processing. It is the preferred embodiment that the SLB be part of the VSI fabrication processing, however, the fabrication of the SLB is not limited to use with the VSI invention, but can be implemented on any appropriately thinned electronic circuit substrate.
  • the structure of the SLB 1503 c is shown in cross section in FIG. 15C . It is fabricated from a conventional bulk MOS transistor or a PD SOI transistor once the underlying substrate indicated by dashed line 1506 d has been removed to within close proximity of the back side of the transistor body drain 1504 d , channel 1501 d and source 1505 d as shown in FIG. 15D .
  • the controlled thinning of the backside of the substrate to a specific thickness can be accomplished by various means such as grind and polishing, RIE [Reactive Ion Etch] or spray etching with such silicon selective etch agents as KOH with spray processing.
  • the backside formation of the SLB is preferably formed with use of a barrier 1502 d layer under the device layer which acts as a buried etch stop layer during substrate removal processing.
  • barrier layers are present in a SOI [Silicon on Insulator] substrate; in the case of a SOI substrate, the buried oxide layer which is typically several thousand ⁇ s in thickness can be formed in a thickness range of 50 ⁇ to 500 ⁇ , since the intended function of the buried oxide layer of the VSI invention is not isolation but a barrier layer or etch stop to provide a means for well controlled termination of the removal process of the semiconductor substrate; a means to achieve wafer thinning as part of the VSI wafer circuit stacking method.
  • An alternative barrier layer is the formation of an epitaxial layer beneath a device layer.
  • a barrier layer such as GeB [Germanium Boron] with a Boron doping concentration greater than 10 20 boron atoms/cm 3 and a Germanium concentration sufficient to offset lattice dislocations due to the high concentration of Boron atoms and typically in a concentration in excess of 25%.
  • the GeB epitaxial layer has the property of etching 100 to 1,000 times slower than silicon in the presents of a selective silicon etch agent such as KOH, and enabling a well controlled means to achieving removal of the substrate.
  • the capability of uniformly terminating the removal [thinning] process of the substrate as part of the VSI method is necessary for the correct operation of the circuit devices formed in the device layer.
  • the SLB of the VSI invention is implemented from the backside of a conventional MOS transistor structure of a thinned VSI layer as shown in FIG. 15D , in the preferred embodiment this occurs after formation of the transistor and bonding of the substrate of the transistor face down such that the backside of the substrate of the transistor is a new top surface of the VSI stack and once thinned.
  • the VSI layer is thinned to a specific thickness either by a controlled etching process or by use of a barrier layer that acts as an etch stop.
  • barrier layers are the buried oxide layer of a SOI wafer, a buried nitride layer made in a similar method as the oxide layer in a SOI wafer or an epitaxially grown heavily Boron doped layer [1-2E20/cm 3 ] with Ge doping for crystal lattice stress relief.
  • a hard mask is deposited such as silicon dioxide which is patterned through conventional semiconductor lithographic and RIE means to form an opening directly over and overlapping the channel region 1501 d as shown in FIG. 15D .
  • the dimensions of this opening are such that the subsequent etch processing step of the silicon substrate through the opening of the hard mask stops on or slightly into the shoulders of the source 1508 d and the drain 1510 d doped regions.
  • the removal of silicon directly below the source and drain shoulders eliminates the substrate leakage path under the channel region 1501 c .
  • the SLB 1503 c of FIG. 15C is then formed by depositing dielectric material such as an oxide.
  • the etch of the substrate below the gate channel region is preferably an anisotropic etch, spray wet etch or an etch by RIE means.
  • the amount of the channel removed is determined by the design requirements of the transistor and will vary based on the design of the transistor.
  • the depth of the channel remaining after the etch processing step is typically 50% or less than the channel length 1509 d.
  • the VSI SLB transistor shown in FIG. 15C is a MOS transistor of either npn or pnp type structure.
  • the transistor shown has a source region 1504 c , source contact 1507 c , SLB 1503 c , gate structure 1508 c , gate channel region 1501 c , drain region 1505 c , drain contact 1509 c and buried oxide layer 1502 c of FIG. 15C .
  • the substrate leakage path between the source 1504 c and drain 1505 c through the substrate region under the channel region 1501 c is prevented by the formation of the dielectric comprising the SLB 1503 c.
  • the SLB structure can also be fabricated as one of the gates of a double gate transistor or provide the dual function as a block to substrate leakage and as the gate of the transistor.
  • a double-gate MOS transistor is shown in FIG. 15F as disposed on the upper layer of a VSI structure similar to the MOS transistor shown in FIG. 15D .
  • the construction of this transistor uses a very lightly doped channel 1505 f formed by MBE [Molecular Bean Epitaxy] or equivalent means as described in the prior art which achieves an extremely abrupt transition to the underlying heavily doped transistor body.
  • FIG. 15E The backside fabrication method of the SLB for the transistor of FIG. 15F is shown by FIG. 15E , wherein a source contact 1502 e and drain contact 1504 e are formed in contact with the underlying more heavily doped transistor body 1507 e gate 1503 e oxide mask 1506 e and opening 1501 e in the oxide mask 1506 e .
  • the SLB is formed by a RIE or preferably an anisotropic etch of transistor body under the lightly doped channel terminating in the lightly doped channel 1505 e as shown in FIG. 15E .
  • the SLB and back side gate are then formed by formation of an oxide layer 1510 f and conductive layer 1501 f which are shown in FIG. 15F .
  • the SLB 1511 f of FIG. 15F is shown to be in combination with conductive layer 1501 f and dielectric layer 1510 f allowing capacitive coupling to the conductive layer 1501 f to the transistor body 1507 f .
  • the transistor operates in a conventional manner by application of appropriate voltage levels to gates 1501 f and 1503 f in order to cause the channel 1505 f to conduct or not conduct.
  • Either gate of the transistor 1501 f or 1503 f can also be used as a voltage source for biasing the threshold voltage of the gate region 1505 f in order to improve the performance of the transistor.
  • the SLB of this transistor could also be formed as a dielectric without a gate function.
  • the operation of this transistor is novel due to lack of doping profile under the full length of the gate.
  • the channel region 1505 f is inverted by high fields created by the triangular shaped regions of the source 1508 f and drain 1509 f and their close proximity adjacent to the channel region 1505 f .
  • This use of the SLB reduces the fabrication complexity of the gate versus prior art due to the elimination of the need for self alignment and multiple diffusion implants, while providing high performance due to the short channel region 1505 f separation between the source region 1508 f and drain region 1509 f which can be less than 25 nm due to the shape of the SLB enabled by anisotropic etching from the backside of the transistor channel region.
  • FIG. 15G shows a similar transistor to that shown in FIG. 15F without the lower gate 1503 f of FIG. 15F .
  • FIG. 15G shows backside gate contact 1506 g , dual source contacts 1501 g , 1502 g and dual drain contacts 1503 g , 1504 g , wherein the additional source or drain contacts are enabling for the purpose of forming horizontal interconnections on either side of the VSI device layer; the source and drain contacts are formed with conventional semiconductor fabrication techniques.
  • the SLB structure 1507 g takes the form of a gate and is formed by conventional RIE or preferably anisotropic etching stopping in the lightly doped channel 1505 g.
  • FIG. 16A shows a conventionally formed PD SOI logic transistor with source contact 1601 a , drain contact 1603 a and gate 1602 a , again as the upper layer of a VSI device layer stack with the substrate removed to a first barrier layer 1605 a allowing access to the backside of the transistor.
  • a second barrier layer of oxide or nitride 1604 a has been implanted at a depth equal to the channel depth of the transistor.
  • the second barrier layer 1604 a is less than 4 nm thick and preferably 0.5 to 1 nm in thickness.
  • the purpose of the second barrier layer is to act as an etch stop for the etch processing necessary to form the SLB 1608 b as shown in FIG. 16B .
  • the second barrier layer is sufficiently thin to be disrupted as a barrier to conduction by dopant implant processing of the source and drain regions 1609 b and 1610 b to have little effect on the conduction of the source and drain regions. Additionally, the portions of the second barrier layer 1604 b in the source and drain regions could be removed through etch processing of the source 1609 b and drain 1610 b regions from either side of the second barrier layer 1604 b and then removing the barrier layer upon which source and drain regions could be replaced with epitaxy processing.
  • FIG. 17A shows the removed source region 1702 a and drain region 1703 a stopping on the second barrier layer 1704 a
  • FIG. 17B shows the source region 1702 b and drain region 1703 b replaced by epitaxy processing after the removal of the second barrier layer.
  • FIG. 16C is similar to the transistor of FIG. 16B but with the SLB formed in the transistor body 1607 c as a gate with conductive layer 1608 c and gate dielectric 1606 c resulting in a double gate transistor in combination with gate 1602 c . Additionally, the transistor of FIG. 16 C is shown with dual source contacts 1601 c , 1609 c and dual drain contacts 1603 c , 1610 c which can be formed with conventional semiconductor fabrication techniques.
  • Another aspect of the low leakage SLB transistor of FIG. 15C is its embodiment as a 1T low leakage DRAM memory cell in a VSI IC where charge is held in the transistor body by using appropriate biasing of the dual gates.
  • a significant limitation of all current DRAM memory cells is a high rate of charge leakage with increased operating temperature and the inability to reduce memory cell size in keeping with small fabrication geometries due to limitations in fabricating smaller capacitors.
  • the SLB SOI MOS transistors of FIG. 18A are shown in cross section with a data line [bit line] of an array of memory cells using the invention.
  • a conventional logic gate 1801 a has a shared data line connection 1802 a to transistor body 1804 a and SLB 1803 a formed as part of a capacitor structure consisting capacitor inner electrode contact 1810 a , capacitor body 1805 a , capacitor dielectric 1806 a , capacitor outer electrode 1807 a and outer capacitor electrode contact 1809 a .
  • the SLB is formed by anisotropic etch of the transistor body under the gate 1801 a and extending across to one adjoining memory cell where upon a several conventional capacitor fabrication sequences can be used, wherein the capacitor body 1805 a may be formed as part of a copper electroplating process.
  • the adjoining transistors 1812 a are separated by dielectric 1811 a which is shown as a portion of the blanket deposition for the capacitor dielectric. Since the capacitor is formed on the backside of the transistor, it can be fabricated without the need to accommodate layout of the gate line [not shown] and data line 1801 a interconnection which is a design limitation in stacked capacitor planar DRAM memory cells.
  • the backside access to either the transistor channel region 1810 a or drain region 1813 a does so without increasing the size of the memory cell beyond a minimum area represented by the crossing of only the gate line and the data line while also allowing the size of the capacitor to be as nearly as large the total layout area or foot print of the memory cell, and therefore, avoiding the area limitations present in the fabrication of current planar DRAM memory cells. Further, this memory cell design or layout enables a cell size typically of less than 5F 2 and as small as the practical limitation for all such 1T memory cells of 4F 2 , where F is the minimum feature size used by the lithography system in the fabrication memory cell.
  • This memory cell is also novel due to the presents of an outer electrode capacitor contact 1809 a which enables capacitors of a given gate line of memory cells to be selectively and independently biased versus the memory cells of other gate lines assisting in the performance of read and write operations of the memory cells.
  • the outer capacitor contact 1809 a also allows the capacitor of the memory cell to be calibrated to a predetermined level of charge prior to a write operation, this allows a common voltage base reference to be used for a gate line memory cells or portion thereof when multiple data bits of information are stored per memory cell.
  • FIG. 18B shows a VSI 1T DRAM cell made from a PD SOI transistor. It is known in the art that the floating body effect of a PD SOI transistor can be used as a memory cell.
  • the VSI invention allows this type of DRAM memory cell to be implemented with a backside capacitive coupled contact 1801 b preferably formed by anisotropic etching from the exposed backside of the transistor body and isolating the adjacent transistor drains.
  • the backside contact 1801 b can sever as a voltage bias to drive a calibrated charging of the transistor body, and as a means to sense the voltage of the charge on the transistor.
  • FIG. 18B shows a VSI 1T DRAM cell made from a PD SOI transistor.
  • the backside contact 1801 b is shown as common to adjacent transistors, but could also be formed as separate contacts to each transistor without significantly affecting the small size of the memory cell which is approximately equal to 4F 2 , where F is the minimum feature size of the elements of the transistor.
  • FIG. 19A and FIG. 19B are dual function devices with capability to operate as a logic transistor or as an EEPROM which is enabled through use of a VSI SLB in the form of an EEPROM floating gate.
  • the SLB 1910 a can be fabricated in the form of a floating gate to create the function of a low leakage EEPROM memory cell from a conventional MOS transistor.
  • the FIG. 19A shows a low leakage logic transistor with SLB 1910 a formed in a similar fashion as in the transistors shown in FIG. 15C and FIG. 16C except for the fabrication of a floating gate [FG] 1901 a and a programming gate [PG] 1902 a ; dielectric isolation of the FG from the transistor body is not shown.
  • the FG and PG of the SLB structure acts to prevent substrate leakage in the conventional operation of the MOS transistor.
  • the fabrication of the SLB 1903 a is enabled preferably as part of the VSI process wherein the backside of the transistor of FIG. 19A is accessed through conventional semiconductor processing.
  • the dual function transistor device of FIG. 19A consists of source contact 1907 a , gate 1906 a , drain contact 1908 a channel region 1905 a , FG 1901 a and PG 1902 a .
  • the MOS logic transistor operates in a conventional manner when there is no charge, relative to the transistor type being npn or pnp, on the FG 1901 a or when there is a biasing charge to correct for deficiencies of the operating threshold voltage of the gate 1906 a .
  • the MOS logic transistor operates like a EEPROM devices when the FG 1901 a is charged and appropriate voltages applied to the gate 1906 a , the gate 1906 a can also be used in the reading of the EEPROM cell by providing a partial voltage and therefore enabling the FG to carry a lower effective operating charge.
  • the charging of the FG 1901 a is accomplished by the PG 1902 a through capacitive coupling to the FG and is enhanced by the present of the electron emitting edges 1904 a of the PG on the side of the SLB which create electric fields between the PG and FG causing the injection of the electrons into the dielectric 1909 a between the PG and FG.
  • the FG is erased by reversing the polarity of the capacitive coupling of the PG 1902 a to the FG 1901 a , this process is enhanced with the electron emitting edges 1903 a on the FG which create electric fields causing the injection of electrons into the dielectric 1909 a between the FG and PG.
  • the transistor gate 1906 a can also be used as a second PG during the writing or erasing of the FG with application of an appropriate voltage.
  • the FG is programmed independently of the programming voltages applied at the source or drain regions of the transistor, which allows the value of the FG to be read continuously during programming operations improving the accuracy of the applied charge to the FG and lowers the time required for programming.
  • a further advantage of the FG and PG structure is that the FG is charged and discharged from the PG greatly reducing or eliminating the opportunity for charge trapping in the dielectric 1909 a which is known to shorten the useful life of current planar EEPROM devices, and reduces the leakage of the charge from the FG during operation of the channel due to the use of lower transistor operating voltages as a result of transistor geometry scaling.
  • the lower leakage condition of the transistor and the FG improves the reliability of the EEPROM for use in storing multiple data bits per memory cell.
  • the gate structure 1906 b could be fabricated as a SLB FG structure similar to the SLB FG structure 1903 a in FIG. 19A making a dual EEPROM gate structure or the FG structure 1910 b may be fabricated as conventional gate.
  • An additional aspect of the dual function devices shown in FIG. 19A and FIG. 19B is to use the gate 1906 a or 1906 b to enable the individual EEPROM by providing a partial reference voltage sufficient to cause the EEPROM channel to conduct if the FG is charged or encoded with a data value. This allows the FG to be encoded with data values at lower charge values, and it also provides a means for lowering the probability for cross gate line induced noise in EEPROM memory arrays.
  • a benefit of charging and discharging the a FG 1901 a from a PG 1902 a is to minimize or prevent the accumulation of charge in the dielectric separating the FG and PG, and avoiding the opportunity for charge accumulation in the gate dielectric in contact with the channel which can bring about an unwanted change in the conductance of the channel; this results in extended programming cycles of the FG and a longer useful life of the EEPROM device.
  • the electron emitter edges 1903 a , 1904 a formed on either side of the EEPROM gate 1910 a of the FG and PG create stronger electric fields when programming voltages are applied to the PG and since these stronger fields only exist when the PG has applied programming voltages and the voltage differences between the source and drain of an EEPROM embodiment with electron emitter edges on the FG and PG of FIG. 19A are not sufficient to effect the programmed charge of the FG during its operation at normal voltage potentials. Further, the gate dielectric over the channel of the EEPROM does not have to be made thin to support electron injection. This programming process of the FG reduces the probability that electron leakage between the gate and the source or drain is greatly reduced by the design of EEPROM gate 1910 a .
  • a calibrated or standard reference value can be used as a reliable initial memory cell value for writing or reading multiple storage values when more that one bit of data is encoded or store on a memory cell.
  • the benefits of the invention's EEPROM extended write/erase life cycles and low FG charge leakage also apply to the structure of the V-groove EEPROM gate discussed herein for the same reasons due to the electron emitter edges present in the V-groove gate structures such as the one shown in FIG. 19B and FIG. 19C .
  • FIG. 19B shows an alternative VSI SLB dual function logic transistor and EEPROM memory cell device with function and capability the same as the device of FIG. 19A .
  • This device uses a conventionally formed EEPROM FG 1901 b and PG 1902 b gate stack, however, a lightly doped MBE formed channel 1903 b over a heavily doped transistor body 1904 b is used rather than conventional source drain diffusion based doping profiles.
  • the PG has contact 1905 b .
  • the transistor function is enabled by anisotropically formed V-grooved shaped gate 1906 b , source contact 1907 b with transistor body 1904 b and drain contact 1908 b with transistor body 1904 b .
  • the gate 1906 b makes contact into the channel region 1903 b and creates a leakage barrier between source and drain regions of transistor body 1904 b .
  • the FG and PG surfaces of dielectric 1909 b are shaped in the manner presented in FIG. 20F to provide electron emitter edges 2001 f through 2004 f to enhance the ability of the PG to charge and erase the FG.
  • the design of the MOS device of FIG. 19A enables the EEPROM memory cell to be scaled to the same geometries of logic transistors and to achieve a layout area of approximately of 4F 2 , where F is the minimum fabrication feature size of the device; both of which are improvements over current planar EEPROM memory cell.
  • FIG. 19C and FIG. 19D show double gate EEPROM memory cell embodiments of the VSI SLB invention.
  • the double gate EEPROM provides a means for lowering the charge storage level per FG required to implement single and multiple bit storage capability.
  • the gate channel 1903 d corresponds to 1903 b of FIG. 19B .
  • FG 1901 c or 1902 c of FIG. 19C , or FG 1901 d or 1902 d of FIG. 19D is given a partial charge or reference charge and the other gate is encoded with the charge level representing the data stored, and therefore, the encoded gate requires less charge in order to represent a specific data value. This is useful because lower FG charges have lower probabilities of spontaneous charge loss.
  • This same function can also be achieved in the dual function devices shown in FIG. 19A and FIG. 19B where the gate 1906 a or 1906 b would provide a dynamic or enabling partial charge bias.
  • FIG. 19E and cross sections of FIG. 19E-AA and FIG. 19E-BB show a dual function logic transistor and EEPROM memory cell device with conventional logic gate 1902 e , 1902 e 1 and EERPOM gate 1911 e 1 , wherein the EEPROM gate has FG 1908 e 1 and PG 1909 e 1 .
  • the source contacts 1901 e , 1901 e 1 , 1905 e 1 and drain contacts 1903 e , 1903 e 1 , 1907 e 1 contacts can be positioned on either side of the transistor body as shown with all possible contacts present.
  • the distinction of this device is that the EEPROM can be continuously programmed while in operation.
  • FIG. 19E-BB This is accomplished through programming of the FG 1908 e 2 through PG contact 1912 e 2 or by optional PG contact 1904 e 2 which are out of the line of the transistor body as shown in FIG. 19E-BB .
  • the portion of the EEPROM gate of FIG. 19E-BB which is out of the conductive path of the device body shown in FIG. 19E-AA is enhanced with electron emitter edges 2001 f through 2004 f as shown in FIG. 20F but only over that portion of the FG and PG that are out of line of the body of the device.
  • the unique V-groove shape of the PG 1904 e 2 creates a strong electric field for injection of electrons into the oxide layer 1910 e 2 .
  • the programming of this device can be done without changing the conductance of the channel 1906 e 1 allowing the continuous monitoring of channel conductance or use of that conductance wherein it can provide a continuous feed back to an analog circuit network as an analog memory device.
  • the logic gate 1911 e 1 provides additional control of the operation of the EEPROM memory cell such as a lower range of voltages on the FG or a enabling switch.
  • FIG. 20F shows the cross section of a PG, FG and the thermal grown oxide dielectric separating a PG and FG of an EEPROM gate such as the gate 1910 b of FIG. 19B .
  • Electron emitter edges 2001 f , 2002 f , 2003 f and 2004 f are shown which create electric fields that assist in the injection of electrons from PG 2005 f in to the oxide 2007 f during a write or charging operation or from FG 2006 f into the oxide 2007 f during an erase or discharging operation of the FG.
  • the electron emitter edges enable lower voltages to be applied to the PG in order to charge or discharge the FG than would be necessary if the surfaces of the PG and FG were parallel surfaces.
  • FIG. 20A shows the cross section of a FG 2002 a and an overlying hard mask 2001 a such as a nitride film that has been partially patterned exposing a portion of the FG 2003 a .
  • a second lithography step completes the patterning of the hard mask 2001 b and exposes the other side of the FG 2004 b as shown in FIG. 20B .
  • Two lithography steps are required to create the hard mask pattern 2001 b because it is assumed that the width or length of the FG is of a dimension approaching the minimum feature size of the lithography process, and therefore, could not be imaged in one step.
  • the FG 2003 c is then oxidized creating the well known bird's beak oxide shape 2005 c and 2006 c on either side of the hard mask 2001 c as shown in FIG. 20C .
  • the hard mask 2001 c is then stripped as shown in FIG. 20D and a second thermal oxidation step creating bird's beak oxide shapes 2001 e and 2002 e , and resulting in the formation of electron edges 2003 e and 2004 e .
  • the thickness of the oxide at this point can be reduced or increased by etching or additional dielectric deposition to adjust what will subsequently be a vertical separation of the electron emitter edges.
  • the PG 2005 f is then formed over the oxide and in the same step forming the electron emitter edges 2001 f and 2002 f .
  • the vertical separation of the electron emitter edges is determined by the amount of thermal oxide grown. Thermal oxide growth is a well known and well controlled process, and therefore, the vertical separation of the electron emitter edges can be well controlled which in turn is directly related to the predictable and uniform operation of the EEPROM memory cells.
  • a benefit of charging and discharging the a FG 2006 f from a PG 2005 f is to minimize or prevent the accumulation of charge in the dielectric separating the FG and PG, and avoiding the opportunity for charge accumulation in the gate dielectric in contact with the channel which can bring about an unwanted change in the conductance of the channel; this results in extended programming cycles of the FG and a longer useful life of the EEPROM device.
  • the electron emitter edges 2001 f , 2002 f , 2003 f , 2004 f of the FG and PG create stronger electric fields when programming voltages are applied to the PG and since these stronger fields only exist when the PG has applied programming voltages and the voltage differences between the source and drain of an EEPROM embodiment with electron emitter edges on the FG and PG of FIG. 20F are not sufficient to effect the programmed charge of the FG during its operation at normal voltage potentials. Further, the gate dielectric over the channel of the EEPROM does not have to be made thin to support electron injection. This programming process of the FG reduces the probability that electron leakage between the gate and the source or drain is greatly reduced by the design of EEPROM gate.
  • a calibrated or standard reference value can be used as reliable initial memory cell value for writing or reading multiple storage values when more that one bit of data is encoded or store on a memory cell.
  • the benefits of the invention's EEPROM extended write/erase life cycles and low FG charge leakage also apply to the structure of the V-groove EEPROM gate discussed herein for the same reasons due to the electron emitter edges present in the V-groove gate structure of FIG. 19B and FIG. 19C .
  • transistor gate structures and that of an EEPROM gate structure on either side of a transistor body as shown in the various figures does not limit their use, and that these structures can be positioned opposite the order of that shown.
  • Transistor source drain substrate leakage can be reduced by configuring the geometry of the gate so that it has more than one surface adjoining the transistor gate region.
  • Dual-gate and triple-gate transistors are well known in the art. The dual-gate and triple-gate transistor structures have been fabricated with a planar process. The fabrication of a quad-gate transistor is a novel aspect of the VSI invention. It is not apparent that present planar processing methods can be extended to enable the fabrication of quad-gate transistors.
  • FIGS. 19 F 1 through 19 F 5 show a first preferred VSI method for the fabrication of a vertical transistor with quad gate structure.
  • the transistor shown in FIG. 19 F 5 is a quad-gate transistor implemented through VSI fabrication processing methods, which enable both sides of an integrated circuit to be processed, however, the fabrication of this quad-gate transistor is not limited to the VSI fabrication processing techniques.
  • FIG. 19 F 5 is a quad-gate transistor implemented through VSI fabrication processing methods, which enable both sides of an integrated circuit to be processed, however, the fabrication of this quad-gate transistor is not limited to the VSI fabrication processing techniques.
  • 19 F 5 shows a quad-gate transistor with two gate body regions 1905 f 5 , 1908 f 5 , quad-gate dielectric 1913 f 5 , gate electrodes 1906 f 5 , 1910 f 5 , gate contacts 1901 f 5 , source contact 1902 f 5 , source transistor body region 1904 f 5 , 1907 f 5 drain transistor body region 19012 f 5 , 1907 f 5 , drain contact 1903 f 5 and dielectric layers 1914 f 5 , 1909 f 5 , 1911 f 5 .
  • the gate electrodes 1906 f 5 , 1910 f 5 surround the transistor body enabling the complete inversion of the transistor gate region when a threshold voltage is applied.
  • FIG. 19 F 5 clearly shows that the transistor body is vertical passing through the VSI circuit layer 1909 f 5 , and therefore, can also provide the additional function of a vertical interconnection.
  • FIG. 19 F 1 shows the fabrication of the quad-gate electrodes 1901 f 1 in dielectric layer 1903 f 1 overlying dielectric layer 1904 f 1 and semiconductor substrate 1905 f 1 .
  • the quad-gate electrode is further overlaid by dielectric layer 1902 f 1 .
  • the circuit fabrication shown is accomplished with established IC fabrication processes.
  • FIG. 19 F 2 shows a via 1901 f 2 etched through the dielectric layers 1902 f 2 , 1904 f 2 and quad-gate electrodes 1903 f 2 terminating on the semiconductor substrate 1906 f 2 with substrate contact 1905 f 2 .
  • the circuit fabrication shown is accomplished with established IC fabrication processes.
  • FIG. 19 F 3 shows quad-gate electrodes 1907 f 3 , 1908 f 3 , dielectric layers 1902 f 3 , 1904 f 3 , 1905 f 3 and semiconductor substrate 1906 f 3 with the addition of quad-gate dielectric layer 19013 over the side walls of the via 1901 f 2 shown in FIG. 19 F 2 .
  • the growth or deposition of the quad-gate dielectric 1901 f 3 will cover the semiconductor substrate contact 1903 f 3 and is not shown, it is removed by use of RIE processing techniques enabling a directional or differential etch of the horizontal surface of the substrate contact 1903 f 3 versus the quad-gate dielectric 1901 f 3 on the via side wall. This etch processing removes that portion of the quad-gate dielectric from the substrate 1906 f 3 which is required to be open as a crystalline seed surface for subsequent epitaxial growth of the transistor body.
  • FIG. 19 F 4 shows the quad-gate electrodes 1907 f 4 , dielectric layers 1902 f 4 , 1913 f 4 , 1911 f 4 , semiconductor substrate 1912 f 4 and quad-gate dielectric 1901 f 4 with the addition of the transistor body source 1903 f 4 , 1906 f 4 , gate 1905 f 4 , 1908 f 4 , and drain 1909 f 4 , 1906 f 4 regions.
  • the transistor body regions were fabricated by convention semiconductor epitaxial controlled growth techniques.
  • the epitaxial grown transistor body is grown from the substrate seed contact area 1910 f 4 at the bottom of the transistor via.
  • the doping profiles of the source, gate and drain regions are formed during the epitaxial growth of the transistor body.
  • the distance from the semiconductor contact 1910 f 4 to the gate regions 1905 f 4 , 1908 f 4 are known distances along the transistor body from the semiconductor substrate 1912 f 4 and the epitaxial growth rate is used to determine the use of doping constitutes and concentrations required to form the various regions of the transistor body during epitaxial growth of the transistor body.
  • Additional quad-gate transistors could optionally be formed on the backside of the completed first quad-gate VSI circuit layer through the use of a semiconductor substrate with a dielectric barrier layer such as a SOI substrate. If this were desired, the removal or thinning of the SOI substrate would stop on the barrier layer, and the then underlying device layer of the SOI substrate could be used as a crystalline seed contact for the growth of the next layer of quad-gate transistors by repeating the fabrication sequence represented by FIGS. 19 F 1 through 19 F 4 .
  • Such backside fabrication of quad-gate transistors also assumes that a drain contact and interconnection to it was fabricated during top side first quad-gate transistor circuitry fabrication in anticipation of the backside quad-gate transistor fabrication.
  • FIG. 19 G 1 through FIG. 19 G 4 show a second VSI method for fabrication of a vertical transistor with quad gate structure.
  • the transistors shown in FIG. 19 G 4 and FIG. 19 G 5 are quad-gate transistors implemented through VSI processing which enables fabrication access to both sides of an integrated circuit, however, the fabrication of these quad-gate transistors are not limited to the VSI fabrication processing techniques.
  • FIG. 19 G 4 and FIG. 19 G 5 are quad-gate transistors implemented through VSI processing which enables fabrication access to both sides of an integrated circuit, however, the fabrication of these quad-gate transistors are not limited to the VSI fabrication processing techniques.
  • 19 G 5 shows a quad-gate transistor with two gate body regions 1907 g 5 , 1909 g 5 , quad-gate dielectric 1912 g 5 , gate electrodes 1905 g 5 , 1913 g 5 , gate contacts 1901 g 5 , 1903 g 5 , source contact 1902 g 5 , source transistor body region 1906 g 5 , 1908 g 5 , drain transistor body region 1908 g 5 , 1910 g 5 , drain contact 1911 g 5 and dielectric layers 19014 g 5 , 1904 g 5 , 1915 g 5 .
  • the gate electrodes 1905 g 5 , 1913 g 5 surround the transistor body enabling the complete inversion of the transistor gate region when a threshold voltage is applied.
  • FIG. 19 G 5 clearly shows that the quad-gate transistor body is vertical passing through the VSI circuit layer 1904 g 5 , and therefore, can also provide the additional function of a vertical interconnection.
  • FIG. 19 G 5 shows the fabrication sequence of the quad-gate transistor shown in FIG. 19 G 5 , or the fabrication sequence also as shown in FIG. 19 F 1 through FIG. 19 F 5 .
  • FIG. 19 G 1 shows the fabrication of the epitaxially grown layers 1901 g 1 , 1902 g 1 , 1903 g 1 , 1904 g 1 on the surface of substrate 1907 g 1 , dielectric barrier layer 1906 g 1 and semiconductor device layer 1905 g 1 .
  • the epitaxial layers correspond to the source 1901 g 1 , 1903 g 1 and gate 1902 g 1 , 1904 g 1 regions of the transistor body; the preexisting device layer 1905 g 1 corresponds to the drain region of the transistor body and is assumed to be doped appropriately or extended by epitaxial processing.
  • FIG. 19 G 2 shows the transistor body formed by etching away the surrounding semiconductor material to the dielectric layer 1907 g 2 overlying substrate 1908 g 2 and leaving the transistor body vertically oriented with hard dielectric etch mask 1901 g 2 overlying source 1902 g 2 , 1904 g 2 , gate 1903 g 2 , 1905 g 2 and drain 1904 g 2 , 1906 g 2 regions.
  • FIG. 19 G 3 shows the quad-gate transistor after fabrication of quad-gate dielectric 1910 g 3 , gate electrodes 1904 g 3 , 1914 g 3 , gate contacts 1901 g 3 , 1903 g 3 and dielectric layers 1911 g 3 , 1915 g 3 .
  • the gate electrodes are deposited at a distance from the dielectric layer 1912 g 3 that corresponds to gate body regions 1906 f 3 , 1908 f 3 of the quad-gate transistor body.
  • the circuit fabrication shown is accomplished with established IC fabrication processes.
  • FIG. 19 G 4 shows a completed VSI quad-gate transistor with quad-gate electrodes 1903 g 4 , 1912 g 4 , gate contacts 1902 g 4 , transistor source region 1905 g 4 , 1907 g 4 , gate regions 1906 g 4 , 1908 g 4 , drain region 1907 g 4 , 1909 g 4 , quad gate dielectric 1904 g 4 and the substrate removed with drain contact 1910 g 4 and optionally formed over dielectric layer 1912 g 3 shown in FIG. 19 G 3 .
  • the quad-gate electrodes are connected in common, but also can be fabricated to operate independently as shown in FIG. 19 G 5 or in numerous other single or multiple quad-gate design configurations.
  • FIG. 19H shows a VSI quad-gate 1T non-destructive read memory cell.
  • This single transistor memory cell is composed of a quad-gate electrode 1905 h 1 surrounding the transistor body of source 1903 h 1 , gate 1904 h 1 and drain 1909 h 1 regions, gate dielectric 1902 h 1 , drain charging electrode 1911 h , source contact 1901 h 1 , drain charging electrode contact 1912 h 1 and dielectric layers 1910 h 1 , 1906 h 1 , 1907 h 1 , 1908 h 1 , 1913 h 1 .
  • the VSI quad-gate 1T memory cell operates by storing charge in the drain region.
  • the amount of charge in the drain region of the quad-gate transistor is determined by the voltage applied to the drain charging electrode 1911 h - i .
  • the charge stored in the drain region 1909 h 1 can be sensed through the drain charging electrode contact 1912 h 1 enabling the memory cell to be non-destructively read.
  • the memory cell may require periodic refresh of its stored charge depending on the rate of charge leakage that may occur from the drain, however, the quad-gate structure will act to reduce or eliminate charge leakage depending on the geometry of the transistor body and quality of its fabrication.
  • the memory cell can be integrated with additional logic functions in the same vertical transistor body of the memory cell through the fabrication of additional gate regions and quad-gate electrodes, and additional source/drain electrodes can be added along the transistor body. It is a further aspect of the 1T quad-gate memory cell described herein, that the memory cell can be integrated as the memory element in PLD logic such as FPGA logic or programmable combinatorial logic.
  • the a feed back circuit can be used to accurately monitor the charge or voltage of the memory cell to adjust the charge without a complete refresh of the charge. It is a further aspect of the 1T quad-gate memory cell described herein, that the memory cell can be used to store multiple levels of charge so that more than one bit of information can be stored in the memory cell.
  • the VSI fine grain vertical interconnect enables novel methods of IC yield enhancement after the fabrication of an IC is completed, for ICs with large surface areas, and for ICs where the yield of even one IC per wafer would be acceptable if such yield were obtainable.
  • the capability for post circuit wafer fabrication integration of a large number of VSI circuit layers has the advantages of simplified IC integration, improved performance, and lower overall IC costs. The yield of such highly integrated ICs is not possible without a method for configuration of the IC to avoid various expected physical defects in the circuitry of the IC.
  • An example of yield enhancement with this aspect of the VSI invention is a circuit layer with an expected planar IC yield of 80% if made fully redundant in a VSI component would have an effective yield of approximately 96%, similarly, an IC with an expected planar IC yield of 60% if made triply redundant in a VSI component would have an effective yield of approximately 93%. It is important to point out that the cost of packaging often is greater than the cost of a planar die being packaged, and in certain circuit applications packaging costs are several times greater than planar die cost, and therefore, VSI circuit layer redundancy can be cost effective through reduction of packaging costs. Circuit test costs are reduced in a similar manner, wherein the cost of testing one VSI IC is less than the cost of testing a number of planar ICs that are equivalent to the VSI IC.
  • Circuit configuration or reconfiguration control circuitry is located on one or more VSI circuit layers separate from the circuit layers to be configured through VSI vertical interconnections.
  • the redundant VSI circuit layers are connected enabling or disabling configuration circuitry by as many fine grain vertical VSI interconnections as may be required to achieve the desired control over the use of these circuit layers, and may range from 100s to 10,000s or more interconnects.
  • the configuration of a VSI IC or component can be implemented with programmable circuitry such as PLD or FPGA circuitry using dynamic memory means, for example SRAM or Flash devices or static means such as fuse or anti-fuse devices, all presently used programming means in planar PLD circuits. An example of this type of VSI IC is shown in FIG. 5 .
  • This aspect of the VSI invention dramatically impacts computer architecture by enabling the integration of heretofore more circuitry than is presently possible with existing IC technologies and without regard to the incompatible characteristics of the disparate semiconductor processes and technologies that may be used or the yield of any particular circuit layer.
  • the primary objectives of this aspect of the VSI invention are higher IC performance with lower cost through reduction of conventional package and testing costs, and performance delays due to packaging interconnections.
  • the VSI integration and yield enhancement methods when combined with standardized die sizes, and circuit interfaces, communication protocols, layout and placement of fine grain vertical interconnections enable the fabrication of circuit layers that have a varying range of utilization for a broad range of end-user or OEM [Original Equipment Manufacturer] applications.
  • This combination of the VSI IC manufacturing integration and design methods enables the novel method for integrating completed VSI circuit wafers from an inventory of various circuit wafer designs. This offers two heretofore unavailable IC manufacturing capabilities:
  • Another aspect of the VSI invention includes methods for achieving high precision wafer to wafer bonding alignment with tolerances below current available capabilities of ⁇ 1 ⁇ m physical alignment [4 ⁇ m vertical contact pitch] and as low as approximately ⁇ 5 nm physical alignment.
  • the objective of achieving greater alignment precision of wafers prior to bonding is to be able to provide a vertical interconnection pitch that scales with or is compatible with the pitch of the last horizontal interconnections of a circuit device layer. This is enabled by the use of specific alignment wafer processing steps in combination with the use of established micro-lithographic alignment means conventionally used in currently available stepper scanner lithography equipment to align exposure masks to a wafer or substrate.
  • the alignment accuracy for of the invention is similar to the alignment accuracy achieved with established lithography alignment equipment and has the capability to scale with ever decreasing circuit geometries.
  • This degree of wafer alignment precision also requires that the individual lithographic images that are printed across the surface of a VSI circuit wafer be placed at placement tolerance relative to each other that is typically less than 50% of the minimum feature size of the vertical interconnect patterns on the bond surface layer and preferably less than 25% of such minimum feature sizes.
  • State of the art micro-lithography equipment are capable of layer to layer alignment of less than 20 nm., however, such equipment when first stepping across a wafer [so called blind step] exhibit center to center placement of adjacent stepped circuit images of nominally 100 nm or greater.
  • the wafers or circuit layers or MEMS device layers used in making a VSI IC are composed of rows and columns of circuits or MEMS and each of said circuit or MEMS must be fabricated with a circuit placement accuracy relative to each other such that all vertical interconnections from one VSI IC layer to the next VSI circuit layer can be aligned properly.
  • the cumulative placement variance from first to last stepped image in either row or column can currently be as much as 2 ⁇ m. It is the objective of the VSI substrate alignment method that the placement of die images relative to each other [in x, y & theta] across a wafer not vary by more than 50% of the minimum feature size of the last imaged layer or bonding layer.
  • the true placement of all first images on a wafer or substrate are primarily affected by mechanical wafer or substrate stage motion error and relative expansion or contraction of the wafer of substrate due to it temperature; there are other placement error factors but there are existing methods for minimizing these placement error factors.
  • the VSI first on wafer or substrate imaging alignment budget for all first printed images is composed of two components: an image placement adjustment by the image exposure means of the lithography tool of the mechanical substrate stage position based on the true stage position measurement from the stage interferometer; and, an image placement adjustment by the image exposure means of the lithography tool based on immediate or in situ temperature measurements of the wafer or substrate surface wherein additional image placement adjustment is calculated from these temperature measurements using the CTE for the wafer or substrate material.
  • This VSI first on wafer or substrate lithographic imaging method enables all printed images to have a relative placement alignment budget to all other printed images of less than 10 nm and or 5 nm.
  • This image placement alignment budget is a result of the capability of current lithographic imaging means to make image placement adjustments to an accuracy of less than 1 nm from stage interferometer position measurements and substrate surface temperature measurements.
  • the VSI first on wafer or substrate image alignment budget objective is an image to image alignment of all images printed on the substrate relative to each other all such placements being relative to or initialized from the placement of the first image on the wafer or substrate; it is understood that the initial printed image on the wafer or substrate is printed by those means presently available and that the placement of all other first on wafer or substrate images are relative to the initial printed image.
  • the VSI invention stacked wafer to wafer alignment process is a combination of the alignment accuracy of the image placement of the last or top printed image on the wafer of substrate and the VSI means for aligning the wafer or substrate images on the bonding surfaces on wafers or substrates to be bonded.
  • the on wafer or substrate image placements using the VSI image placement method described herein enables an image placement alignment budget of less than 50 nm and or less than 10 nm.
  • the VSI wafer to wafer or substrate to substrate alignment means described herein enables a alignment budget of less than 50 nm and or less than 10 nm.
  • the combination of these two alignment budgets enables a final alignment budget for all images on a wafer or substrate corresponding to images on a second wafer or substrate to be less than 100 nm and or less than 20 nm.
  • This final alignment budget enables wafer or substrates that are to be bonded to be aligned with an accuracy that is compatible with the pitch of the last metallization horizontal interconnection layer of a circuit layer presently in the nominal range of 0.5 ⁇ m to 2 ⁇ m.
  • FIG. 21A and FIG. 21B show an embodiment of the VSI invention stacked wafer to wafer alignment process. This embodiment is accomplished by either pre-thinning the semiconductor substrate portion 2103 a of a circuit wafer to be bonded to a thickness of less than 25 ⁇ m and preferably less than 10 ⁇ m as shown in FIG. 21A , or by etching a minimum of two openings, only one shown as 2100 b , in the backside of the semiconductor portion of a circuit wafer to be bonded such that at the bottom of the etched openings less than 10 ⁇ m of the semiconductor portion of the circuit wafer remains, as shown in FIG. 21B , or a combination of both subsequent substrate thinning and etch of openings.
  • FIG. 21D shows an example of a split field optics alignment method used to align a VSI circuit wafer to a VSI circuit wafer stack.
  • the upper alignment marks 2101 a , 2101 b are suspended on or in a layer of transparent dielectric which allows the clear and unobstructed viewing of all upper and lower alignment marks.
  • a thin layer of semiconductor substrate remains before the dielectric layer, it is thin enough to be transparent.
  • a wafer silicon semiconductor material when thinned to 10 ⁇ m or less becomes transparent.
  • the alignment of VSI substrates is similar in method to contact printing methods.
  • the VSI substrates are brought in contact and alignment verification is performed. However, wherein contact printing the contact the mask and substrate must be separated again if additional alignment adjustments are required, this is not the case with VSI alignment.
  • the VSI substrates during alignment adjustment can remain in contact due to low surface to surface frictional forces because their surfaces are smooth and free of adhesives agents such as photo-resist as in the case of contact printing, and under little or no pressure load for bring the surfaces into contact. This enables the alignment of the substrates to be held in place since no additional motion of the substrates is necessary once their alignment is completed.
  • the pressure load on the substrates is increased holding their placement to prevent subsequent loss of alignment due to mechanical vibration due to the substrate bond processing.
  • the preferred embodiment of this wafer to wafer alignment invention for aligning a circuit wafer to a circuit wafer stack is by opening two or more openings in the back side of the wafer stack to expose the alignment marks formed on the backside of the of the last bonded circuit wafer as shown in FIG. 21C .
  • the backside alignment openings 2105 c of the wafer stack are extended through VSI circuit layers 2106 c by conventional etching methods down to the alignment marks 2102 c printed on a dielectric layer deposited on the backside of the last thinned wafer bonded to the wafer stack 2107 c .
  • the extension of the backside opening 2105 c of the wafer stack removes the previous alignment mark used to align the most recent bonded circuit layer to the circuit layer stack 2106 c (this most recent aligned and bonded circuit wafer is now the last circuit wafer on the wafer stack 2107 , its has an alignment mark which will be used for alignment of the next circuit wafer to be bonded), the dielectric layers supporting the previous alignment mark 2102 c and any remaining semiconductor substrate, then stopping on the deposited dielectric layers on the bonded side of the circuit wafer most recently bonded to the wafer stack.
  • An alternative alignment method to the alignment embodiments of FIG. 21A through 21D is to use a mechanical atomic force microscope [AFM] or such similar measurement tool as are presently in common use for imaging surfaces on an Angstrom or nanometer scale. This type of alignment could optionally follow an initial gross optical alignment of the two circuit layers to be aligned.
  • the type of alignment marks are not limited to cross alignment marks, the use of cross alignment marks is only for the purpose of illustration.
  • the AFM probe simultaneously images the physical positions of the alignment marks 2202 b on the wafer or substrate 2206 b to be bonded and the alignment cross marks 2201 b of the top circuit layer of a VSI circuit stack 2205 b onto which the wafer or substrate 2206 b is to be bonded with respect to each other.
  • a calculation is made from this measurement by which the relative position of the two wafers or substrates 2205 b , 2206 b , is changed, if needed, to bring the alignment marks into alignment, and therefore, wafers or substrates to be bonded.
  • the alignment measurement procedure may subsequently be repeated, as needed, until the desired alignment accuracy is achieved.
  • This alignment procedure requires additional process steps to allow access to the bottom wafer alignment marks 2201 b so that they can be imaged by the atomic level probe 2203 b .
  • This step is the selective etching by conventional means such as RIE of the dielectric on which the metal pattern that is the alignment mark was formed. It is assumed that the layout of the alignment mark is so designed that once the dielectric around the alignment mark is removed it has sufficient support to remain suspended in the alignment opening 2208 b which is part of the of the top circuit layer 2210 b on the wafer or substrate 2205 b , as shown for example by the suspended alignment mark 2201 b in FIG. 22B .
  • the process of simultaneously imaging both alignment marks with the atomic level probe provides measurement data on the relative position of one alignment mark to the other; this imaging of alignment marks is preferably done at two locations of the wafers or substrates to be bonded in order to measure angular displacement, and is a process similar to that used in split filed optical alignment methods. Typically only one of the wafers or substrates is then moved in x, y and angular directions in accordance with the measured differences between the respective alignment marks. This alignment procedure takes only a few seconds or less when automated and can be repeated to verify that the desired alignment has been achieved.
  • An another alternative alignment method to the above preferred alignment embodiments is to use electro-magnetic proximity sensing through a wire coil pattern.
  • This method of alignment is consistent with the invention provided in applicant's U.S. Pat. No. 5,354,695 42:39-44:9 with FIG. 28A and FIG. 28B, and is included by reference.
  • One coil is a closed loop pattern in the position of the alignment mark on the wafer to be bonded and a second and a third open loop coil is in the opening of the bottom wafer again in the position of the alignment mark.
  • Two probes are used to make contact with one of the open loop coils for propagating a signal through the coil which induces a signal in the closed loop coil of the wafer to be bonded.
  • Two probes are used to make contact to the other open loop coil as a means to sense the signal induced in the closed loop coil.
  • the strength of the sense signal is maximized by adjusting the position of either the closed loop coil or the open loop coils. As part of the fabrication of these coils they are approximately 2,500 ⁇ below the surface of the dielectric film they are part of or embedded; this is done to prevent shorting of the coils during the alignment process and provides an approximate measure of the separation distance between the closed and open loop coils.
  • one open loop coil may be used, in this case there is an electrical load induced on the signal of the open loop coil by the closed loop coil; this method requires a greater degree of signal processing to determine when the desired alignment is achieved.
  • the VSI component vertical circuit structure enables a novel design method for the reduction of the distributed circuitry of a planar circuit design or layout.
  • An example of this are sense amps in a memory array.
  • Sense amps are distributed through out a planar circuit layout as a result of the electrical necessity to be physically close to a memory bit [data] line in order to determine its state.
  • This distribution of sense amps is not necessary for the memory array circuit layers of a VSI IC because the sense amps can be placed on a separate circuit layer and shared among multiple memory bit lines on separate circuit layers in direct close coupling [through fine grain vertical interconnections] without addition of significant wiring length between a sense amp and associated memory bit lines.
  • a second example are the signal repeaters necessary to propagate a signal over a long distance across a circuit, such as is the case in PLD circuits.
  • the VSI IC fine grain vertical interconnection lengths of nominally less than 200 ⁇ m eliminate the need for all or most of such repeater circuits over the planar circuit layer when used with a smaller die size.
  • VSI ATE Automatic Test Equipment
  • the objective of the VSI ATE system is to provide the capability to test all circuits on a wafer or substrate at their maximum possible speed, to test such circuits during a burn-in procedure, to program such circuits based on test data for the purpose of configuration of the circuit due to circuit defects and to program such circuits with data for their subsequent application use.
  • FIG. 23A shows in cross section a VSI ATE system 2301 a .
  • the VSI ATE system is a wafer or substrate stack 2302 a composed of circuit layers or groups of circuit layers that provide the pin electronics 2305 a , programmable tester logic and external communication circuitry 2304 a , yield enhancement testing and reconfiguration logic 2306 a and tester memory 2303 a for circuit test vectors.
  • Optional support substrate 2309 a may be used as means for handling and or connecting to the VSI ATE system; such optional support substrate being made from such flexible materials as polysilicon or graphite with a thickness of less than 4 mm and preferably less than 500 ⁇ m.
  • DUT [Device Under Test] contact means 2307 a as part of the bottom circuit layer 2308 a of the VSI ATE system 2302 a .
  • These contact means are fabricated in accordance with inventor's U.S. Pat. Nos. 5,323,035 and 5,4543,404 and included herein by reference.
  • the various circuit layers or groups of circuit layers are intended to be modular in design such that depending on the type of DUT pin or programmable tester logic layers of generic or specific design required for the DUT circuit type, such logic layers can be inserted into the VSI ATE system wafer stack, or further, the amount of memory required for the test of a specific DUT type can be varied to meet the testing requirements.
  • the VSI ATE system incorporates yield enhancement circuitry 2306 a which allows the defective pin or tester circuitry to be replaced by spare circuitry or not to be used.
  • the VSI ATE system yield enhancement circuitry is implemented from circuitry such as FPGA or fuse circuitry which allows dynamic or static implementation of the interconnection routing of various circuit blocks of the VSI ATE system electronics.
  • the majority of the circuitry of a VSI ATE system is devoted to circuitry referred to in the ATE industry as pin electronics 2305 a . With each DUT IC on the surface of a circuit wafer or substrate there is some number of I/O contact pads or pins on that IC to be tested and pin electronics of the VSI ATE system are provided for all or some number of an IC contact pads.
  • the pin electronics do not take significant circuit area and since the pin electronics are in immediate physical location to the pads of the DUT there is little or no requirement for additional drive and timing circuitry to be associated with the pin electronics further reducing the power required for the pin electronics.
  • the VSI ATE system in its preferred embodiment simultaneously tests a plurality of ICs or DUTs on a wafer or substrate while in contact with all or the majority of ICs to be tested.
  • the method and rate of in which the VSI ATE system performs DUT testing is a manner of how it is programmed to operate.
  • a VSI ATE system can also be designed to test by contacting only a limited portion of ICs on a wafer or substrate at one time whereupon such a VSI ATE system would be stepped over the surface of the DUT wafer to make contact with all the ICs to be tested.
  • the benefit of the VSI ATE system is at-speed IC testing and burn-in of ICs, while the ICs are in substrate form or prior to their separation from the wafer or substrate upon which they where fabricated, and early IC process yield knowledge.
  • Current ATE systems are large programmable electronic assemblies of PCBs and because of their size do not presently have the capability to propagate test signals at a level of performance to test most ICs at their full rated speed while on wafer or substrate.
  • present ATE systems are expensive and have the ability to test one or a limited number of IC on a wafer simultaneously.
  • the VSI ATE system may be fabricated with as few as 4 circuit layers or more than 32 circuit layers with a cost that is approximately less than 25% of the current IC testing costs.
  • a further benefit of the VSI ATE system is that it enables testing ICs with large numbers of I/Os with contact pitch of 10 ⁇ m or less without an increase in cost, since the probe point contact means 2307 a of the VSI ATE system are lithographically formed and programming of interconnections of the pin electronics can be routed dynamically from one IC to another should the ICs of a substrate require more pin electronics than are available to meet the requirement for testing all ICs simultaneously.
  • FIG. 23B shows in cross section a VSI ATE system 2301 b bonded to a flexible supporting substrate 2302 b preferably made from polysilicon or graphite material and with a thickness of less than 4 mm and preferably less than 500 ⁇ m [0.5 mm].
  • the support substrate 2302 b may optionally make external electrical contact to the VSI ATE system 2301 b bring those contacts to the edge of the support substrate 2303 b .
  • the support substrate 2302 b may optionally be used to mount fiber optical connections 2304 b , 2305 b , 2306 b allowing optical signals to pass through the support substrate either to optical wave guides or directly to optical transmission transceivers on one or more of the circuit layers of the VSI ATE system 2301 b .
  • Programming and data for the VSI ATE system 2301 b may be also be transmitted or received by optical fiber connections, wired or wireless means.
  • FIG. 23C shows in cross section a flexible support substrate made from materials like those of FIG. 23B with an open internal area 2304 c wherein the VSI ATE system 2301 c is exposed and is bonded only at its edges to the supporting substrate 2302 c .
  • Electrical contacts 2303 c may be fabricated on the support substrate that brings contacts from the VSI ATE system 2301 c to the edge of the support substrate 2302 c .
  • the support substrate 2302 c may optionally be used to mount optical fiber connections 2305 c , 2306 c near the inner edge of the support substrate allowing optical signals to pass through the support substrate to either to optical wave guides or directly to optical transmission transceivers on one or more of the circuit layers of the VSI ATE system 2301 c.
  • the VSI ATE system is intended for use by equipment means in accordance with inventor's U.S. Pat. No. 6,288,561 and included herein by reference, but is not limited in use to such equipment means.
  • Programming and test data for the VSI ATE system 2301 a , 2301 b , 2301 c may be transmitted or received by electronic, optical fiber connections or wireless means.
  • Another embodiment or aspect of the VSI invention is its use as a stacked memory that has the capability of self test and reconfiguration as a means of recovering from logic and memory circuit defects, and further, the integration as desired in combination with the other embodiments or aspects of the VSI invention presented herein.
  • the yield and utility of a VSI IC memory is enhanced by the capability to internally self test and reconfigure the internal physical access to various portions of its memory cells and thereby maintain the external appearance of a continuous memory address space and the continued utility or availability of the remaining correctly working memory cells of the VSI IC.
  • This embodiment of the VSI invention is in accordance with inventor's U.S. Pat. No. 6,551,857 and application Ser. Nos. 10/222,816 and 10/143,200, and are herein included by reference.
  • the primary objectives of this invention versus the current state of the art electronic memories is the reduction of the cost of electronic memory on a per bit basis through increased yield, increased serial and parallel memory access performance, increased operational utility, and increased reliability enabled by internal failure detection and failure recovery reconfiguration circuitry.
  • the objective of dynamic or transparent failure tolerant operation can also be further enhanced by the invention through use of its internal failure detection circuitry and programming of the invention's controller circuitry to operate with multiple copies of stored data. Failure recovery may be also assisted by external controlling means upon a request for intervention by the invention's controller circuitry.
  • a further objective is the making of high density memory systems with a net successful operating circuit yield probability in excess of 95% and in excess of 99%, therefore, enabling the option for vertical integration with other technologies of a near arbitrary range of electronic and optical circuits and MEMS as shown in FIG. 3 without significant reduction in the overall yield of such circuitry.
  • This type of memory IC accepts a logical address from a data processing IC such as a microprocessor, a graphics processor, the memory controller of another memory circuit, or a database processor as examples.
  • the address is called a logical address because it is subsequently translated or mapped into a physical address by the VSI IC memory invention in the process of accessing the memory location represented by the logical address.
  • the logical address is an external address used by a data processing IC to access a memory location within the invention over some known available range of logical addresses recognized by a specific incarnation of the invention.
  • the use of logical addressing by external circuitry enables the addresses used by the external circuitry to appear contiguous over some range when the internal storage over the logical address range may not be contiguous, and the ability to simultaneously operate multiple addressing ranges and overlapping addressing ranges on a as needed or on demand basis through an external controlling means.
  • Additional primary objectives of the invention are to determine what quantity of memory storage it has that correctly operates and can make available for external use even in the presents of some number of memory cell failures, and accept an arbitrary logical address range assignment for that quantity of memory storage from an external IC or by internal pre-assignment.
  • This external address operating range is also referred to as an address window and there may be more than one address window that the invention can be programmed to recognize.
  • the use of multiple windows may be used to provide simultaneous access in a multiple port memory circuit or as a means to carry on parallel operations interior to the memory circuit.
  • the individual storage capacity of each of the various IC incarnations of the invention may vary and over the useful life of such ICs the storage capacity may change.
  • FIG. 24A An embodiment of the invention as a planar circuit is shown in FIG. 24A and for the purpose of this discussion is presented as a DRAM type memory consisting of a memory array 2401 a , and the remaining circuitry logic consisting of a controller, Error Correcting Circuitry [ECC], Sense Amplifiers, programmable logic router, Cache, address select circuitry and memory cell fault descriptor storage.
  • ECC Error Correcting Circuitry
  • FIG. 24B An example of a block layout of the VSI IC is shown in FIG. 24B , wherein each block such as 2402 b , 2403 b , 2404 b are able to perform memory operations independently of each other.
  • a memory array block is not limited to DRAM type cells, but could include any type of memory that could be integrated onto planar IC such as ROM, EEPROM, magnetic or SRAM.
  • the memory array is composed of gate lines and data lines which are placed in an orthogonal orientation with respect to each other and at the respective crossings of the gate lines and data line are located memory cells of the array as shown by the memory array 2410 a of FIG. 24A .
  • the failure recovery capability of the invention enables the yield for its circuitry to be defined as a net successful operating circuit yield as opposed to the conventional definition used for circuit yield of defect free.
  • the invention enables the amount of physical storage of the circuit to be several times greater than conventional memory circuitry for equivalent fabrication technology by use of a plurality of memory layers and such storage cell capacity enhancements as multi-level storage. It is due to this large storage capacity capability of the invention and its novel controller circuitry that circuit yield is redefined in terms of net successful operation per available memory storage capacity.
  • the circuitry of the VSI configurable memory invention is shown in FIG. 24A in a planar circuit layout with only one memory array 2401 a and associated control and processing logic.
  • the preferred embodiment of the VSI configurable memory system or subsystem is shown in FIG. 24B as a VSI IC circuit layer stack, diced from a wafer stack, consisting of one or more logic or controller layers 2401 b used in implementing the memory circuit and one or more memory layers such as 2402 b , 2403 b , 2404 b .
  • One or more other data processing layers may also be present as represented as 2405 b and may appear at either end of the memory circuit stack as required by a given overall circuit design.
  • 2405 a could also be a layer of additional memory controller circuitry such as redundant circuitry of the controller.
  • the preferred VSI embodiment of the invention is to organize the memory circuit layers into a plurality of memory blocks 2401 c , 2402 c as shown in FIG. 24C , which become multiple stacks internal to the VSI configurable memory IC and preferably each memory stack would have control circuitry on one or more circuit layers that would allow each such memory stack to have the capability of independent operation with respect to other memory stacks or blocks, and thereby, its own effective memory circuit yield.
  • This structure of independently operating memory blocks 2401 c , 2402 c increases the expected total circuit yield by localizing the effect of major circuit defects where the loss of a complete memory stack associated with memory block 2401 c does not cause the loss of the complete VSI IC and would allow the option for use the VSI IC with a diminished capacity.
  • the invention consists of one or more and preferably a plurality of memory circuit layers 2402 b , 2403 b , 2404 b as shown in FIG. 24B stacked vertically with respect to one or more layers of non-memory circuitry 2401 b , 2405 b except where such non-memory circuitry is expressly placed on a memory circuit layer; examples of non-memory include more than logic circuitry such as optical transmission circuitry, sensor devices or MEMS devices.
  • the invention can be implemented as a planar IC, the preferred embodiment is as a stacked IC and preferably consistent with the VSI stacking processes.
  • There are several advantages to implementing the invention as a stacked memory IC which relate to higher performance and lower cost. The principal advantages are segregation of logic and memory fabrication processes to separate layers, shared utilization of several memory layers to one or two logic layers, design control over die size to achieve a smaller die size, and at the same time, a nearly arbitrary IC memory density.
  • the operation of the invention consists of accessing memory layers as memory read or write operations.
  • the controller logic performs an internal test of the memory storage cells to determine which are defective if any.
  • the programmable logic is used to configure the memory so that defective memory storage cells are either not used or their use is avoided during memory accessing operations.
  • Memory defects consist of single defective memory cells, up to one or more defective gate lines or data lines.
  • a portion of the test circuitry such as the Error Correcting Code [ECC] circuitry, is used to verify that a failure does not occur, and if it does, an attempt is made to dynamically correct for the failure if possible.
  • the invention may be configured from a range of operating capabilities, from reporting the occurrence of an error, to correcting certain classes of error as with ECC circuitry, to the use of completely redundant multiple memory windows whereby memory operations are duplicated in all windows using a combination of error detection and data comparison mechanisms to guarantee a state of error free operation which is referred to as Fault Tolerant.
  • the testing process of the invention consists of at a minimum of writing and reading bit patterns to memory storage locations and verifying that the written pattern is the same as the read pattern over some extended period of operation.
  • a memory IC When a memory IC is first fabricated, it goes through a circuit verification step generally referred to as burn-in.
  • Burn-in is a lengthy process during which an effort is made to determine the maximum operating speed of the IC and if there are memory cell failures that are intermittent or a result of extended operation.
  • the result of the burn-in process is information, which is used to determine the maximum operating speed of the memory IC by using various clocking rates that may be generated either within or externally to the IC and the maximum available useable memory of the IC.
  • a circuit of the invention will be scrapped should the number of internal memory cell defects exceed a predetermined number. It is a unique attribute of the invention that a memory IC can have a range of memory capacity whereas presently memory ICs are scrapped should there be a capacity variance from a fixed capacity requirement of present memory ICs.
  • the preferred embodiment for reconfiguration processing of the invention uses a programmable gate line router on each memory layer, one programmable data line router located on a controller or logic layer, test and error correcting circuitry, spare programmable gate line selector circuits, spare gate lines and spare data lines.
  • Associative address circuits may also be part of the reconfiguration circuitry as a means to add capacity to the gate line or data line reconfiguration circuitry, this circuitry will preferably use a write once type programmable logic means.
  • gate line select circuitry used in common for all gate lines on all memory layers which is located on a logic layer and is used for the selection of gate lines on the various memory layers.
  • the gate line selector may be made from circuitry that is programmable multiple times, one time programmable or have a fixed address recognition value, since the value of a gate line selector is not likely to change from an initial assignment.
  • the gate line selector circuit couples to vertical interconnections to the various memory layers.
  • a programmable gate line router on each memory layer 2404 d provides the necessary reconfiguration capacity to interface a common set of gate line selectors 2402 d and reconfigurable interconnections 2401 d to a plurality of sets of gate lines 2403 d one each on a memory layer.
  • the gate line router is programmable logic such as that commonly used in a PLD [Programmable Logic Device] and preferably uses a program once technology such as a fuse technology in order to route new connections or reconfigure connections permanently preventing the use defective gate lines or defective gate line selection circuitry. This programmable router is programmed by the controller as a defect is found either during manufacturing [burn-in testing] or during period test verifications over its useful life.
  • the reconfiguration capacity of this gate line router or the number of gate lines that it can separately route is also limited based on the expectation of the number of defects, and therefore, is physically small in area. If the capacity of a given gate line router is exhausted by the number of defects, then a reduced memory storage capacity may result such as eliminating the use of the memory layer, or the use of an associative address circuit routes address requests for only defective gate lines of the memory layer to a spare gate line of the memory layer or an alternative memory layer.
  • the associative address circuit is not shown, but would be located as part of the controller logic.
  • the data line programmable router 2404 e shown in FIG. 24E is part of the controller logic circuitry, also uses PLD type circuitry, configures the routing of data from data lines when coupled to the memory cells of a selected gate line.
  • the data line router is reconfigured during every memory cycle by reconfiguration directives that cause data lines coupled to defective memory cells to be rerouted to spare or defect free data lines; this is done by setting dynamic switches 2405 e or coupling circuits at various crossing points of the defective data line 2406 e , router line 2403 e , and spare data line 2407 e as shown in FIG. 24E .
  • the data line router is configured during each memory cycle because the defects are defined on the basis of a memory access cycle, which is per selected gate line and per selected memory layer.
  • the data line reconfiguration directives are created during the burn-in process, maintenance of the memory such as part of the system startup sequence or as part of a system directed maintenance, or part of a operational memory access cycle. These reconfiguration directives are stored in associative address circuits that are typically write once programmable logic.
  • all reconfiguration directives for that gate line are read causing the data line router to be configured specific to the defects of the selected gate line and data lines of the selected memory layer.
  • the data line reconfiguration directives at least are specific for the replacement routing of a data line when coupled to single defective memory cell of a specific gate line, or in the case of a defective data line where one directive causes all memory cells that would couple to a specific data line to be replaced by routing to a spare data line.
  • the cache is part of a logic layer and acts as an associative memory buffer and referenced by logical addresses.
  • the cache has the capacity to retain the data from one or more selected gate lines from each memory layer.
  • the cache is organized for some number or depth of selected gate lines by the number data lines of the array, and by a second organization by depth and some word length, which is required to move data over the I/O interface to the memory block 2401 a of the cache.
  • the second organization may be programmable to provide various methods of assembling and de-assembling words for transfer to and from an individual memory block.
  • the preferred cache structure has at least two ports in order to support simultaneous transfers of data between memory layers and the cache, and between the cache and one or more data requesters.
  • the preferred embodiment of the invention performs a memory access upon receiving a logical address at the controller, the controller determines if the storage locations of the requesting address is in the memory layers with which it is associated. The control then translates the logical address into a gate line select address, a layer enable address and the portion of the data lines that are to be used in the access cycle with respect to writing or reading data.
  • a selected gate line of a memory layer is coupled with the data lines of that layer passing to the Sense Amplifiers and then through a programmable data line router which is configured as part of the read access cycle by data line directives read from configuration memory.
  • the resulting data from the data line router pass through the test and error correction circuitry and then to a cache from which the read data is passed on to the requesting source through a programmable I/O interface. If a failure is detected and not corrected, the read cycle is stopped and the status of the failure is reported to the controller, which in turn makes the existence of the failure known to the requesting source. In a write access performed to the cache, the data is passed from the cache to error coding circuitry and then through the data line router to the data lines where the data is stored in memory cells coupled to the data lines and the selected gate line.
  • the specific cell failure is passed on to the controller which in turn saves this information for subsequent determination of reconfiguration of the IC or passes it onto a second control logic which makes this determination and directs the controller to make such changes during the normal operation or during a startup or maintenance period.
  • the stacked structure of the invention through vertical interconnections enables shorter high density interconnect access to and simplified organization of the various portions of circuitry from several aspects such as common access to a central controller or for a plurality of circuit elements with common shared interconnections.
  • Vertical interconnections are also an enabling aspect for the VSI implementation of reconfiguration router circuitry to achieve fail safe error recovery from memory failures during operation in either a dynamic or delayed method. This is the case because in planar memory circuitry the physical layout implementation of reconfiguration circuitry would increase in the number of horizontal interconnection layers such that doing so would go beyond present capabilities of semiconductor fabrication or the operational performance of the circuit would be unacceptable due to delays resulting from the length of interconnections.
  • the added reconfiguration circuitry adds cost to the fabrication of a circuit, this added cost is offset by a high density of fine grain vertical interconnections therein enabling the reconfiguration circuitry to be cost effectively utilized or shared among the memory circuit layers of an IC due to a near equal physical proximity to all circuitry segments.
  • Another example of shared circuit utilization in the invention is the shared use of control logic functions such as sense amplifiers among the memory arrays of each memory layer wherein a planar memory IC a separate set of sense amplifiers would be required for each memory array on the IC which adds significantly to the cost of planar memory ICs.
  • the invention enables a low cost high density high performance multiple port memory VSI IC that can be vertically integrated with multiple layers of logic for data processing such as microprocessors, graphic processors, database processors, FPGA logic, ASIC logic and other generic or application specific logic or optical or MEMS device layers.
  • logic for data processing such as microprocessors, graphic processors, database processors, FPGA logic, ASIC logic and other generic or application specific logic or optical or MEMS device layers.
  • the use of fine grain vertical interconnections to a plurality of memory layers allows for the efficient shared use and near equal distance location of control and reconfiguration logic to the memory array of each memory layer.
  • the shared use of logic enables approximate 50% cost reduction in manufacturing costs on a per memory cell basis versus conventional planar memory fabrication methods due to the inventions ability to increase the density of memory cells per IC, therefore, lower the ratio of control circuitry per memory cell; a 50% cost reduction is achieved typically when the number of memory layers is four or greater, and thereby, the ratio of control logic circuit area per memory cell of the invention is 20% versus a nominal 50% in planar DRAM or flash circuits.
  • the performance of the invention is nominally 2 to 5 times faster than conventional planar memory circuits due to capability of the invention to use higher performance logic circuit fabrication processes than those known to be presently used in planar memory circuits because the logic layers physical separate from the memory layers, and therefore, do not require the use of merged fabrication processes which is known to compromise the performance of present DRAM and flash planar memory circuits.
  • the performance of the invention is further enhanced versus planar circuit fabrication for example through use of parallel memory operations in blocks, multi port blocks, gate line segmentation, low leakage memory cell process implementation through SOI [Silicon on Insulator] and differential data line sense amplifier circuits; the use of such performance enhancement techniques when applied to planar memory ICs have been shown not provide the desired level of performance or have to come at too high a cost.
  • the controller circuit when implemented for a DRAM memory provides background or internal refresh. DRAM circuits require that their memory cells be refreshed periodically. Refresh is accomplished by concurrently performing refresh operations in memory arrays stacks that are not in use in an ongoing memory access or through multiple ports of a memory array stack. Refresh may also be accomplished by increasing the size of the memory stack cache allowing refresh cycles to be performed while memory operations are deferred to the cache.
  • the benefits of the invention's internal self testing and programmable reconfiguration capability are lower testing costs, higher circuit yields and lower failures during the operating life time of the IC.
  • the internal test and reconfiguration capability of the invention lowers test cost by eliminating the current requirement of memory circuits such as DRAM that several separate tests of the circuit be performed by expensive external equipment called Automatic Test Equipment. Higher circuit yields result from the use of novel reconfiguration and error correction circuitry that allow a greater number of defective memory cells to be present than would be the case with present memory ICs.
  • MRAM Magnetic Random Access Memory
  • MRAM circuits are read by sensing the resistance of a memory cell versus charge or potential of the more common memory types, MRAM memory cells still have need of test, error detection, error recovery and reconfiguration circuitry, and high precision circuitry for operation as single or multi-level storage cells.
  • VSI Integrated Circuit
  • SmartCards a PC [Personal Computer] will eventual be reduced to this scale and referred to as SmartCard PC or Pocket PC, however, no such reduction of a general purpose computing system such as a PC to a PC SmartCard like form factor has been demonstrated.
  • the VSI invention enables the electronic data processing and storage circuitry of what is today referred to as a workstation, desktop computer or a lap top computer to be reduced in physical volume so that it can be packaged in the form factor of a credit card or similarly sized cards that are commonly used today for commercial transactions.
  • This form factor will herein be referred to simply as the VSI Card or Card packaging form factor.
  • This VSI Card method of packaging the electronics of a computer system enables novel utility in terms of the portability of the information stored and processing capability therein that is not presently possible.
  • the VSI Card form factor of the invention uses one or more VSI ICs wherein the stacked VSI IC or ICs contain at least a microprocessor or logic circuitry for data processing or computing, memory of volatile and or non-volatile types, and preferably, high speed wireless communication.
  • the VSI Card is a complete computing environment sufficient to replace the electronics of what is now a set of planar ICs assembled on PCBs.
  • the VSI Card reduces the I/O count, power dissipation, packaging costs in comparison to current PCB equivalents, but most importantly, the VSI Card form factor enables the computing hardware and software environment of the user to be independent of and detachable from peripheral equipment so the VSI Card may be used with numerous and varying sets of peripherals.
  • the VSI Card can integrate optical and MEMS functions not only as part of the VSI IC integration but as part of the physical card packaging such as optical input or output through the surface of the package.
  • the VSI Card is plugged and unplugged into peripherals as needed enhancing the utility and security of the information on the VSI Card.
  • the transmission of signals to and from the Card, or I/O, is through a physical contact or wireless in the form of radio frequency or optical wavelengths.
  • the Card packaging of such a significant amount of computing electronics is enabled by the high circuit densities of the VSI invention.
  • PCs Personal Computers
  • workstations has risen in a directly proportional manner to the rise of planar integrated circuit density and is expected to continue to do so.
  • the amount of circuitry necessary to provide the computing performance and capability of these computing systems currently comprise so many planar ICs that the insertion into a card package cannot be enabled from a physical or operational perspective.
  • the VSI Card reduces the I/O interconnections versus planar ICs through vertical interconnections between circuit layers.
  • the Card reduces power also through the reduction in the number of I/Os, since I/O drives constitute a significant percentage of the power dissipation of a planar IC.
  • the Card reduces cost by eliminating or reducing the packaging, testing and handling of planar ICs.
  • Card portability is a capability that enables the computing electronics to be plugged into various types of peripheral equipment which presently require that the computing electronics be embedded with such peripheral equipment. Separating what is currently the control electronics of a computing system such as a PC, work station or other such computing electronics from the peripherals of that computing system allows the sharing of both the processing capability and the memory among as many such computing systems that are designed to receive this card. Examples of such peripheral equipment are personal computer or workstation display monitors, keyboards, storage devices, etc., cellular phones, Personal Digital Assistances [PDAs], TVs, audio and video equipment, consumer appliances.
  • PDAs Personal Digital Assistances
  • Such portability enables the personal data that is stored on the card to be used or modified at multiple physical locations and enables a level of physical security of the information stored on the card that does not exist presently.
  • Card power reduction is derived from the reduction or elimination of signal drivers from the ICs of the card.
  • Signal drivers on present day microprocessors, graphics processors, encryption, voice analysis and memory circuitry can number in the thousands and contribute a majority of the power that must be dissipated from planar ICs.
  • power reduction is widely recognized as an IC operating benefit presently, the ability to increase the amount of circuitry per unit volume while reducing power, due to I/O power reductions, is unique to the VSI invention and specifically to the application of high performance card computing form factors.
  • Card computing equipment utilization results from the physical separation of the computing electronics from the peripheral equipment normally associated with what is presently a computer system or more broadly equipment that performs a function be it a desktop computer, a car, an airplane, manufacturing equipment or sensing and analysis equipment to name a few examples.
  • Presently computing electronics is embedded or integrally assembled as part of a wide range of equipment.
  • the cost of computing electronics without including the cost of the power supply and box enclosure presently used, is less than the peripheral equipment with which it is associated. Therefore, in the case where equipment is used by multiple individuals or users and these users have unique electronically stored information necessary to operate the equipment which would normally make the equipment captive or nearly so to one single user, this would be no longer the case.
  • the equipment now has a higher potential utilization by virtue of its separation from any one user, and therefore, a lower cost of utilization per user because it can be shared or it has a shared utilization.
  • obsolescence of peripheral equipment is often the result of the obsolescence of the computing electronic assembled with them, therefore, the separation of the computing electronics into the VSI Card packaging form factor enables longer utilization of the peripheral equipment.
  • the VSI Card and peripheral equipment separation benefit also includes equipment relating to manufacturing and industrial equipment where computing equipment is used to control the equipment as in the examples of robot assembly, inspection, semiconductor processing equipment, chemical processing equipment, etc.
  • This separation benefit also includes equipment for monitoring and control of a facility such as an office building, industrial plant or home; here computing equipment is used to monitor and control peripheral equipment such as video cameras, door access security, elevators, furnaces, air conditioners, air quality sensors, etc.
  • the separation benefit enables the computing electronics to be exchanged or up graded for such reasons as performance, maintenance, security or improved capabilities without affecting the physical cabling or fixtures used presently with computing equipment that uses the well know box or electronic stack form factor.
  • the VSI Card separation benefit enables multiple Card computing electronics to use the same peripherals in either in a serial or cluster manner. This allows the cost of peripheral equipment to be shared over several Card computing users serially or from time to time as needed or concurrently where some number of Cards on a given instance are connected to the same peripheral equipment.
  • the concurrent use aspect of the Card computing electronics enables a form of what is now commonly referred to as cluster or multiprocessor computing where multiple Cards use the peripheral equipment they are inserted into as a means to communicate between themselves to perform specific tasks.
  • the VSI Card can be used as a packaging means for the selling of software to prevent its fraudulent use on other than the intended computing system or as means to guarantee to the customer certain performance and or operating capabilities. Software is presently sold on passive media or over the internet and its use thereafter is beyond the control of the software manufacturer.
  • the use of the VSI Card as a packaging means of the software allows the software manufacturer to control the hardware environment wherein the product software is used. This means that the use of software manufacturers product software cannot be done except through use of the VSI Card and that it can only be updated through a VSI Card that packages the software, this is a degree of security for the software manufacturers that does not presently exist.
  • the VSI invention enables the making of high speed, low noise and lithographically limited high resolution image or EM [Electro-Magnetic] radiation sensors. This is done by separating the imaging array [CCD, photodiode or wavelength sensitive element array] as a top circuit layer or layers of a VSI Component and using fine grain vertical interconnections to connect image or EM sensing elements individually or larger organizations such as a line of imaging sensor elements to analog and digital circuitry on circuit layers directly below the imaging or EM sensor layer.
  • photodiode or wavelength sensitive sensor elements or devices can be closely coupled to processing circuitry such as A/Ds, analog circuitry, and digital logic which can be made from IC processes independent of the processes used to make the image or EM sensor layer, and therefore, enabling design of such VSI components as photo imaging electronic components or communication Optical-Electronic converters that couple image or EM sensor elements with processing circuitry for any number of possible design objectives such as cost, performance or image or wavelength resolution without the manufacturing limitations that presently exist regarding the integration of the sensor elements with the type of processing electronics selected.
  • the amount or density of processing circuitry for processing the output from a single or group of image or EM sensors can be increased over the present art with the addition beneath the image or EM sensor layer of more processing circuit layers.
  • large amounts of memory circuitry can also be integrated into a VSI image processing component, the ability to buffer the image data on the VSI image component allows the image data to be internally processed or down loaded in a non real time rate or a rate other than the rate of EM sensor data generation.
  • the separation of the image or EM sensor layer[s], and the EM sensor interface and processing circuitry on independent circuit layers provides a unique level of noise isolation versus planar image sensors where noise generated by the processing circuitry directly couples through the common substrate of a planar IC to the image sensor elements. Further, additional noise isolation enhancement can be employed through the choice of semiconductor process and technology used to fabricate the EM sensor processing circuitry such as BiCMOS process or GaAs technology versus again the common substrate and fabrication technology used to make a planar image or EM sensor IC.
  • the resolution of the image or EM sensor is a direct result of the size of the individual sensor elements and the number of sensors in the EM sensing array.
  • the scaling of the size of the EM sensing elements of the VSI invention is uniquely limited only by fabrication process capability and not proximity to processing circuitry as in planar EM sensor circuits.
  • the number of image or EM sensing elements is a design choice since sensor processing circuitry for any number of sensor elements used is immediately below the sensor elements, further the distance of separation of each image or EM sensor element or organization of elements in constant no matter how large the image array.
  • the distance from the a single EM sensor element or organization of elements to processing circuitry is no longer a result of the row and column size of the image array, but is determined by design choice, since all image processing circuitry can be positioned immediately below and as close as a few microns in vertical interconnection distance through one or a few circuit layers.
  • FIG. 25A shows a cross-section of a VSI EM sensor component with image or EM sensors 2501 a , 2502 a separated by a dielectric and or EM isolation trench 2503 a and sensor signal output contact 2504 a formed preferably during bonding of the sensor layer as a vertical interconnection to processing electronic and or memory circuit layers 2505 a .
  • the EM sensors of FIG. 25A and FIG. 25B have applications not only for imaging applications but also communications. Wherein in the components shown in these figures can be used in communication systems for single wavelength Optical to Electronic conversion or DWDM [Dense Wavelength Division Multiplexing] where a number of wavelength signals are simultaneously converted from optical to electronic form.
  • a further advantage of the VSI invention is the ability to use multiple EM radiation sensor circuit layers of varying materials that are sensitive to different radiation wavelengths such as Infrared, visible, Ultraviolet and X-ray wherein such wavelength absorbing materials are stacked to form an imaging sensor and where the order of the stacking of these materials is done in a manner to optimize the preference to their relative radiation wavelength absorption and transmission rates to an incoming radiation source. This is to say that the material with the higher transmission rates relative to the other wavelengths of interest will be positioned to be exposed first to the radiation source.
  • Wavelength or wave band specific EM radiation sensor elements on separate and adjacent layers is shown in cross-section in FIG. 25 b .
  • Radiation sensor layers 2501 b , 2502 b , 2503 b are bonded in the same manner as other circuit layers and through which separate vertical interconnections 2504 b , 2505 b and 2506 b from the image sensor elements in an image array layer to processing circuitry 2507 b that enables the output of the image devices to be processed simultaneously or serially as preferred by the design of the circuitry.
  • Electrical and EM isolation trench 2511 b separate each sensor stack.
  • the bonding of the sensor element layers is preferably done by metal diffusion bonding; which would also during the bond process form the vertical interconnections 2508 b , 2509 b , 2510 b junctions between each image sensor layer.
  • An example of a VSI component using an array of multiple visible image sensor layers is a video imaging component; and, an example of a VSI component using multiple waveband specific EM sensor array, is an optical fiber communications where an information signals are transmitted at multiple wavelengths and referred to as WDM or DWDM.
  • the VSI invention enables the making of high density FPGA [Field Programmable Gate Arrays] by the structural separation of its common planar circuit constituents of configurable logic, IP [Intellectual Property] logic, configurable routing logic and or programming memory into two or more VSI circuit layers.
  • Present planar FPGA technology is restricted in gate density per IC due to lithographic die image fabrication process restrictions, the density of configuration routing circuitry, the incorporation of IP and the amount of programming context memory.
  • the rapidly increasing cost of semiconductor fabrication masks is presently limiting the number or variety of planar FPGA designs that are fabricated or brought to market; the high fabrication mask costs cannot be recovered from most low volume FPGA designs.
  • This aspect of the VSI invention is not limited to the FPGAs but applies to the broader class of programmable logic referred to as PLDs [Programmable Logic Devices], and including CPLDs [Complex Programmable Devices].
  • Planar FPGA circuits can be thought to consist of configurable logic, configurable routing interconnections and programming context memory, programming context memory will be hereafter referred to as context memory.
  • Context memory circuitry holds the programming or configuration state information for configuring the logic functions and routing interconnections and is also referred to as context information or data.
  • the context memory circuitry may be implemented in a ROM, a write once technology or a rewriteable memory technology.
  • Planar FPGA circuits are complex circuits. A primary value of planar FPGA circuits is that because they are programmable they have broad application range or utilization, and therefore, have a lower unit cost resulting from higher production volumes due to their broader application range or utilization.
  • planar FPGA circuits A well known restriction of planar FPGA circuits is that all FPGA circuit designs have a limited range in the amounts of programmable logic, routing and memory resources; and if the FPGA circuit is a physically large die, the performance of the FPGA is reduced due to signal transmission delay across the die. Due to high development costs, there are few application specific planar FPGA circuits [so called low volume FPGA circuits] or FPGA circuits that include application specific hardwired IP functions. The inclusion of hardwired IP functions reduces the configurable logic resources, breath of application utilization and results in significant increased costs per circuit due lower production volumes.
  • VSI FPGA components enable the programmable gate density, configurable routing interconnections and context memory to be separated as circuit layers, and therefore, the design and capacity of gate density, configurable routing interconnect and context memory can be implemented independently per circuit layer. Further, the addition of IP per layer can be added.
  • the circuit layers to be used to fabricate a VSI FPGA IC for a specific application have completed physical designs or already have been fabricated or exist as inventory wafers of library as part of a FPGA development platform, then the VSI FPGA can be fabricated without requiring circuit design, mask tooling [NRE None Recurring Engineering] charges or a semiconductor fabrication cycle.
  • the VSI FPGA eliminates and or reduces four primary problems facing FPGA planar IC which are development time, gate and routing density, custom design NRE and low volume fabrication costs.
  • the VSI approach to FPGA or ASIC IC development and fabrication is significantly at variance to conventional planar engineering and fabrication methods with the important benefits of significant reductions in costs and time to market [wherein time to market time savings result from elimination of conventional IC development delays and reduction of fabrication delay].
  • the VSI invention achieves these results through its capability to separate or partition circuitry types to separate circuit layers, the VSI fabrication process simplicity which does not require planar circuit fabrication processing and the VSI fabrication process capability to use existing or inventory circuit wafers.
  • the VSI FPGA IC may take the form of a standalone IC or component, or it may be incorporated into a larger VSI component with other electronic, optical circuit layers or MEMS device layers.
  • the primary objective of the VSI FPGA invention is to decouple the hardware IC design and fabrication process from the design of an application specific FPGA IC.
  • the preferred embodiment is that all of the circuit layers of a VSI FPGA be available for use from an inventory source. It is also an embodiment of the VSI FPGA that one or more circuit layers may require design and fabrication, however, this still results in significant application IC development time and fabrication cost reductions.
  • VSI FPGA variable programmable gate density per VSI component through the use of multiple programmable gate circuit layers, higher density programmable routing per VSI component through vertical interconnection gate routing and backside horizontal interconnections, option to change or vary without affecting hardware design per VSI the use of IP such as microprocessor, DSPs, CAM, or SERDES, and the capability to increase the available context memory per VSI component to enable in circuit programming changes of the programmable gates or routing interconnections.
  • IP such as microprocessor, DSPs, CAM, or SERDES
  • the benefit of the VSI fabrication process for the VSI FPGA component is an integration density that is greater than a planar circuit equivalent, and therefore, higher performance due to shorter interconnection lengths, and lower power due to shorter interconnection lengths and the need for fewer I/O off-chip circuit drivers.
  • the preferred embodiment of the VSI FPGA uses thermal diffusion high precision alignment circuit substrate bonding and sub 2 ⁇ m pitch fine grain vertical interconnections enable the VSI FPGA integration.
  • the VSI FPGA routing interconnect density can provide an increase of 2 ⁇ to 4 ⁇ more than planar routing due to the inventions ability to fabricate horizontal interconnect on the backside of each circuit layer equal to the same density as on the front side, and the ability to fabricate fine grain vertical interconnections several times the density of horizontal interconnection layers, and therefore, allowing the implementation of such vertical interconnect structures as datapaths or buses with more than 512 transmission lines and in excess of 4,000 transmission lines without increasing the number of horizontal interconnection layers. This is done by using the VSI fabrication process to form fine grain vertical interconnections to form local and global busing between programmable logic blocks of an arbitrary number circuit layers of programmable logic blocks.
  • local vertical interconnections are used to couple circuitry on two or more circuit layers to form a logic block spanning vertically two or more circuit layers or for busing between vertically adjacent logic blocks.
  • FPGA programming information can be held on separate memory register circuit layers with the capability through global fine grain vertical bussing to provide access to a range of memory register locations which can be addressed and read selectively into specific FPGA logic blocks and thereby change their functions.
  • the VSI FPGA invention also enables the inclusion of self test or ATE circuit layers and yield enhancement error reconfiguration circuit layers. These circuit layers in the preferred embodiment can be added to the VSI FPGA component without affecting the design of the existing VSI FPGA circuit layers. These circuit layers allow for a greater level of circuit testing at a fixed cost and the ability to reconfigure programmable gate and routing interconnection circuit layers when circuit defects are detected in a manner that is transparent or nearly so to the subsequent use of the IC.
  • the error reconfiguration circuit layers provide redundant routing interconnection circuitry that can be substituted for defective portions of a programmable gate or routing interconnection circuit layer.
  • the capability of the VSI FPGA to add self test and reconfiguration circuit layers becomes most important as the number of circuit layers used in the VSI FPGA increase and thereby increase the IC test duration and adversely affect the IC yield.
  • the error reconfiguration circuit layers are programmed to replace various defective portions of programmable gate or routing interconnection circuit layers as a result of the test data derived from the self test or ATE circuit layer.
  • the programming of the error reconfiguration circuit layer or layers is performed by control circuitry on the error reconfiguration circuit layer, but this control circuitry may alternately be part of the self test or ATE circuit layers.
  • the number of programmable gate circuit layers, IP circuit layers, programmable routing circuit layers and context memory circuit layers can be increased or decreased as required to meet application specific requirements without affecting the design of these circuit layers. Since only the VSI fabrication process steps are required in order to fabricate a VSI FPGA component or circuit layer group for subsequent insertion into a layer VSI component, there is not the existing requirement for circuit design changes, NRE tooling or circuit fabrication. Further, the insertion of self test and error reconfiguration circuit layers can be done in a similar manner with the benefit realized through the short VSI fabrication cycle and additional IC capability.
  • the circuit layers needed for a VSI FPGA may not all be available from the library of a VSI development platform or an inventory of VSI FPGA circuit layers, and therefore, the design and fabrication of one or more VSI FPGA circuit layers may be necessary. When this is the case, the design of such a circuit layer is clearly less complex and less costly than the complexity of integrating such additional circuitry into a planar design which affects the physical layout design of the exist circuitry of the planar IC.
  • the VSI FPGA capability to vary its circuit resource capacities with little or no requirement for circuit layer design and fabrication has the following novel results: it uniquely reduces IC fabrication cycle time and cost of the VSI IC fabrication process which are significantly less; it enables lower volume application specific FPGA circuits to be designed and fabricated that for the present cost structure of FPGA manufacturing is development time and cost prohibitive; and, it enables low cost IC development experimentation or “what if,” engineering exercises which are so very important in lowering the risk to the development of new product ideas and new business growth.
  • FIG. 26A shows in cross-section a VSI FPGA component IC 2603 a wherein programmable logic, IP and programmable routing interconnections consist of two or more circuit layers 2601 a and context memory consists of one or more volatile and or non-volatile memory circuit layers 2602 a .
  • context memory consists of one or more volatile and or non-volatile memory circuit layers 2602 a .
  • the FIG. 26A circuit may also incorporate ATE and reconfiguration circuit layers for yield enhancement of the total VSI component.
  • 26A can be used as a sub-system and incorporated into a larger VSI component IC or be a member of a VSI platform library as post fabricated VSI circuit wafer inventory for integration into a future VSI IC or VSI ASIC.
  • FIG. 26B shows in cross-section a VSI FPGA component IC 2604 b wherein programmable logic circuit layer types and IP consist of several circuit layers 2601 b , programmable routing interconnections consist of several circuit layers 2602 b and context memory consists of several circuit layers or memory circuit group incorporating yield enhancement circuitry 2603 b .
  • the FIG. 26B circuit may also incorporate ATE and reconfiguration circuit layers for yield enhancement of the total VSI component.
  • the VSI invention further enables the use of fuses and, anti-fuses or other type of write once technology for the programming the functions of interconnections between logic blocks implemented in the logic layers or the routing interconnection layers or both; the use of one time programmable technology may replace the use of all or part of the memory circuit layers or to be used in combination.
  • This is accomplished by using fuse programming circuit layers and fine grain vertical interconnections to enable the blowing specific fuses on at specific locations on one or more logic block circuit layers. This uniquely enables the interconnection and circuit logic structures of the fuse blowing circuit layers to be used to program an arbitrary number of logic block circuit layers.
  • IP Internet Protocol
  • routers or network processors analyze the pack messages in coming from one high speed connection [typically a fiber optic transmission line] and as a result of the analysis collect information about the message and determine an out going communication line to forward the message. This message is then transferred to the appropriate out going transmission line or lines by means of a switch which may be implemented based on a multiple port memory or as a n ⁇ n non-blocking cross bar switch.
  • the VSI IP communication processor component or IC shown in FIG. 27 combines in the preferred embodiment as one die, one or more IP network processors 2701 , multiple circuit layers of FPGA logic 2702 , multiple circuit layers of CAM [Content Addressable Memory] 2703 , conventional random access memory layers 2704 , serial I/O serializer deserializer logic layer or layers 2705 , and two or more very wide fine grain vertical buses 2706 connecting the IP VSI component circuit layers where each vertical bus may consist of several hundred to several thousand bus lines.
  • the VSI IP communication processor incorporates optical serial line input and output, incorporating the traditionally separate switching logic.
  • the use of both network processors and FPGA circuit layers is an implementation decision and is not a limitation on the IP VSI component illustrated herein.
  • the VSI IP communication processor can incorporate varying amounts of CAM and conventional memory to process an IP message depending on the design capacity objective of the VSI IP component and beyond any such capacity available from a planar IC for any future point in the integration progression for ICs.
  • the preferred embodiment of the VSI IP communication processor incorporates on a separate layer multiple serial input and output logic for internal circuit serializing and deserializing IP messages from communication lines; by doing so, this greatly reduces the total pin count and power dissipation of the VSI component versus such a system implemented as some number of planar ICs.
  • the preferred embodiment of the VSI IP communication processor achieves its most significant advantage through the use of multiple arbitrary wide high speed vertical buses 2706 that allow for a large number of paths from IP message processors to memory and to serial I/O, and VSI test and yield enhancement logic 2707 .
  • the VSI IP communication processor also may have conventional busing interfaces for wired or wireless communications to additional VSI IP communication processors and conventional subsystem components.
  • the benefits of the VSI IP communication processor are nominally a cost reduction of 10 ⁇ versus equivalent circuit implementations by multiple planar IC IP communication electronic assemblies, a 5 ⁇ performance enhancement, and a 10 ⁇ reduction in power dissipation. These primary benefits are a direct result of the multiple wide high bandwidth fine grain internal vertical buses, fewer off-chip I/O circuit drivers, net shorter interconnection lengths between and yield enhancement reconfiguration circuit logic.
  • Integration of multiple processors with a n ⁇ n crossbar bus system is an integration challenge for planar IC technology even without consideration of the requirements for embedded processor memory.
  • This type of data path interconnect problem also is a characteristic of internet switches & routers, and the VSI invention enables the fabrication of a single chip high-end router.
  • a VSI n ⁇ n non-blocking crossbar switch is implemented as a vertically interconnecting set of buses where processing logic of each circuit layer that is a port to the crossbar switch has interconnect access to any of the n-ports of the switch.
  • data processing circuitry has access to only one or two ports of the n ⁇ n switch.
  • the VSI n ⁇ n switch simplifies access to the switch by providing access to all or most of the ports of the switch.
  • the VSI n ⁇ n switch increases throughput performance by enabling access to more than one switch port at one time to achieve a higher bandwidth per transmission.
  • the VSI invention uniquely enables a method of making programmable passive and analog stacked circuits. This is done by fabricating one or more circuit layers of passive devices [resistors, capacitors and inductors] and vertically interconnecting these devices to one or more circuit layers of programmable routing interconnections designed for making and or remaking interconnections between the passive devices and the circuitry on other circuit layers.
  • This aspect of the VSI invention is herein called a programmable passive array or PPA.
  • Passive circuit elements may be fabricated from MEMS processes such as in the fabrication of inductors.
  • the passive circuit elements are preferably fabricated on a semiconductor substrate which would allow fabrication of active circuit elements if desired such as SOI, GaAs, InP or GaN.
  • This same approach can be used to make programmable analog circuit arrays. This is done by fabricating one or more circuit layers of analog elements and vertically interconnecting these elements to one or more circuit layers of programmable routing interconnections designed for making and or remaking interconnections between the analog devices and the circuitry on other circuit layers. This herein is called a programmable analog array or PAA.
  • PPA and PAA circuit layers be combined in a common VSI component or circuit stack, wherein the same programmable routing interconnections circuit layers can be used to form vertical interconnections to both the passive and analog circuit elements.
  • the various passive and analog circuit elements that may be integrated into an IC are often fabricated with processes that cannot be combined in the fabrication of a planar IC, this is often the primary limitation for the fabrication of a class of planar ICs that are broadly referred to as a SoC or System on Chip.
  • the VSI process allows the various fabrication processes to be used to their full extent but segregated to separate circuit layers. In this manner the benefit of a fabrication process can be realized as needed without comprise or added fabrication complexity that would result if these processes were merged on one substrate.
  • a redundant or spare passive, analog and routing interconnections be used to either increase the yield of the PPA and PAA circuit layers or to provide a means to tune the final circuit by changing the selection of PPA or PAA elements with the expectation that the various individual passive or analog elements will have operational or performance variations.
  • the yield of the PPA or PAA circuit layers would be improved through use of a test means such as an internal self test or ATE circuit layer which would test PPA, PAA and routing interconnections to determine if circuit defects are present.
  • the use of defective PPA or PAA elements is avoided by changing the programmable routing interconnections from a defective element to its spare.
  • the use of defective routing interconnections is avoided by changing the programmable routing interconnections to an alternate interconnection path or by use of spare programmable routing interconnections corresponding to the defective one.
  • the passive elements be fabricated with conventional IC fabrication means on the backside of either an analog circuit layer or the programmable routing interconnection circuit layers.
  • Backside fabrication may not be possible for certain passive element types due to an incompatibility of certain process steps with respect to an existing set of circuit layers of a VSI substrate stack, and therefore, may require the fabrication of the passive electronic circuitry before the fabrication of the active circuitry on the same substrate and before its addition to a VSI IC stack.
  • planar circuit An example in a planar circuit is the fabrication of an IC wherein approximately one half of the IC area uses a lower cost process and the other half of area uses a premium cost process, since the cost of a fabrication process is based on unit area, the cost of the planar IC could be approximately twice the cost of two separate fabricated circuit layers each of area approximately one half of the planar IC.
  • VSI programmable routing interconnection
  • the VSI fabricated vertical interconnections are dedicated from passive and or analog circuit layers to other circuit layers of a VSI component.
  • the use of a switching circuit layer with passive and analog arrays is not required, if there is no need that the vertical interconnections to various passive or analog elements of one circuit layer from other circuit layers to be alterable.

Abstract

The Vertical System Integration (VSI) invention herein is a method for integration of disparate electronic, optical and MEMS technologies into a single integrated circuit die or component and wherein the individual device layers used in the VSI fabrication processes are preferably previously fabricated components intended for generic multiple application use and not necessarily limited in its use to a specific application. The VSI method of integration lowers the cost difference between lower volume custom electronic products and high volume generic use electronic products by eliminating or reducing circuit design, layout, tooling and fabrication costs.

Description

  • The diversity of circuit function and operational requirements that underlay the implementation of a broad range of integrated circuit applications including what is commonly referred to as a SoC [System on a Chip] demand widely varying semiconductor fabrication processes and/or technologies without further consideration being given to the integration of optical and MEMS technologies with those semiconductor technologies. Limitations on the electronic industry's capability to meet these ever greater demands has made the implementation of numerous integrated circuit and SoC products impossible or beyond acceptable manufacturing costs.
  • The Vertical System Integration (VSI) invention herein is a method for integration of disparate electronic, optical and MEMS technologies into a single integrated circuit die or component and wherein the individual device layers used in the VSI fabrication processes are preferably previously fabricated components intended for generic multiple application use and not necessarily limited in its use to a specific application. The VSI method of integration lowers the cost difference between lower volume custom electronic products and high volume generic use electronic products by eliminating or reducing circuit design, layout, tooling and fabrication costs.
  • The VSI invention achieves its novel methods of integration through high precision alignment and stacking of component layers, fine grain vertical interconnections, thin flexible circuit substrates fabricated using stress-controlled dielectrics and low temperature component layer bonding. The VSI integration methods are fabrication methods that are independent of the fabrication process methods used in electronic or optical circuit fabrication or MEMS fabrication.
  • The VSI invention enables the integration of systems or subsystems as a single die or VSI IC which would otherwise be collections of multiple planar ICs, optical ICs, passive circuit devices and or MEMS. A VSI IC is a stack of closely coupled device or component layers the majority of which are less than 50 μm thick and typically less than 25 μm thick. The VSI invention for vertical integration fabrication of planar electronic [passive and active], optical or MEMS device layers enables on demand fast turn circuit fabrication through the use of an inventory of previously fabricated generic VSI IC or device layers in combination of various proprietary IP generic device layers to achieve custom circuitry which, heretofore, would require at a minimum a new circuit design, layout and masking before consideration of the planar circuit process integration incompatibility of various device elements.
  • The VSI fabrication methods enable significant cost and power reduction and performance enhancement through higher levels of integration with higher circuit yields than are presently possible with planar circuit fabrication processes. VSI IC device layers are interconnected by high density vertical interconnections which are scalable so that they can be compatible with the on going decreases of circuit fabrication geometries used in horizontal interconnections of the planar device layers. The VSI method for high density vertical interconnection is enabled through wafer to wafer bonding alignment methods capable of precisions of less than 25 nm.
  • The VSI fabrication methods enable the integration as one IC or die that are currently system assemblies of discreet circuits with the benefit that performance limiting circuit interconnect structures such as long on-IC interconnections, IC carriers and sockets, PCBs and PCB edge connectors are eliminated. The VSI invention enables the reuse of post fabrication or inventory circuit device layers for multiple IC applications. The primary benefits of the VSI technology is a reduction in complexity of IC manufacturing, testing, packaging and an increased in circuit yield resulting in a nominal reduction in manufacturing costs of approximately 10× and commensurate with an approximate nominal 5× increase in net circuit operating performance.
  • The VSI invention enables the implementation of SoC circuits which presently cannot be manufactured for the commercial or consumer markets due to technological or manufacturing costs limitations. This is before consideration of the present high costs associated with custom circuit tooling, large die size or the low production quantities.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to methods for making closely coupled closely aligned stacked integrated electronic circuits, optical circuits and MEMS. In particular, the present invention relates to methods specific to fabrication integration, yield enhancement, performance enhancement, power dissipation reduction and cost reduction.
  • 2. State of the Art
  • Manufacturing Integrated Circuit [IC] methods are most notable for an exponential rate in the integration progression of electronic devices per unit area, consistently doubling approximately every 18 months over a short forty year history. These manufacturing methods are remarkable for their abilities of increasing circuit performance while simultaneously reducing circuit cost, power and size, and as a result ICs have contributed in no small measure to today's modern way of life.
  • The integration progression has repeatedly enabled the making of ICs that were not possible or practical only a few years earlier. What before prevented the practical implementation of circuits with 100,000 transistors due to excessive power dissipation or low fabrication yields, the integration progression has now enabled practical yields of circuits with 100,000,000 transistors and at much lower power dissipations despite the dramatic increase in transistor count. The integration progression has made possible the expectation that ICs with more than 1 billion transistors will be in wide spread common use within the next three to four years.
  • The ultimate and widely understood objective of the IC integration progression is to reduce all electronic systems or subsystems composed of multiple ICs to one IC. This ultimate IC is often commonly referred to as a SoC [System on Chip]. The result of this objective is ever lower cost of manufacturing, higher performance, and hopefully therefore, a greater end user utility and social benefit. FIG. 1 shows in cross section a conventional planar IC composed of number of IP [Intellectual Property] circuit blocks 1 a which are interconnected by numerous layers of horizontal metal interconnect or wiring 1 b.
  • Electronic systems and subsystems made from assemblies of separate planar ICs are performance or cost reduction limited foremost by the implementation means for off-circuit or off-chip interconnections or I/Os. The performance and cost reduction limitations due to IC I/O result from manufacturing restrictions in the number of I/Os an IC may have, the cost of packaging, the significantly lower transmission performance of off-circuit connections versus on-circuit connections and the higher power dissipation required for off-chip signal transmission. Further, there is not presently planar IC fabrication technology that will allow the integration onto one planar IC for all of the significantly different IC fabrication processes used to make the electronic components of widely used products such as PCs, PDAs or cell phones. This is likely to remain so for the foreseeable future, because past demand for greater capabilities from such electronic products has resulted in greater divergence of the IC fabrication processes used to implement the various types of ICs from which they are made.
  • The usefulness of the integration progression is now strongly challenged by the growing complexity in the design, and logical and physical verification development and test efforts required to bring ICs to market. The wide spread incorporation of previously designed or off the shelf logic functions referred to as IP [Intellectual Property] is an example of efforts being taken to address IC design and development complexity. However, the usage of ever greater numbers of IP placements across an IC has resulted in greater logical, physical and manufacturing interconnection complexity.
  • The integration progression rate has changed the relationship of the primary cost structure components for making ICs. The cost of testing ICs is now approaching and in a number of cases exceeding IC fabrication cost and the cost of IC packaging ranging from 25% to several times IC fabrication cost. The cost dominance of test and packaging over IC fabrication increases with each generation of IC fabrication technology. It is becoming clear that IC manufacturing methods that reduce through IC integration techniques the cost of test and packaging are of most importance.
  • The integration progression is presently challenged by the need for methods to integrate as a single die not only active electronics, but also passive electronic devices, optical devices and MEMS [Micro-Electro-Mechanical Systems]. This need is particularly evident in networking and telecommunication equipment where the switching of optical signals through the conversion of optical signals to electronic and back, to optical or electronically controlled MEMS of optical mirrors are used. But also in consumer products such as video devices that use imaging arrays which need higher integration of processing electronics and memory or wireless communication devices which need greater integration of analog and passive circuitry.
  • The primary drivers of the integration progression of planar IC manufacturing have been circuit feature size reduction through fabrication process methods and increased wafer or substrate diameter. Volume production process fabrication methods for the dominate CMOS semiconductor technology has presently reached feature sizes of 0.12 μm [120 nm], and wafer sizes of 300 mm [12 inches]. Methods for forming stacked ICs or stacked IC structures have been demonstrated and are expected to become one more of the primary drivers of the IC integration progression.
  • IC stacking methods can be broadly classified as:
  • 1. Package driven stacked ICs.
  • 2. Process driven [design and fabrication] stacked closely coupled ICs.
  • The stacking of ICs through various packaging methods or package driven stacking has a long and varied application history that goes back at least twenty years. A recent article published in the IEEE Spectrum entitled “Packages Go Vertical” by Harry Goldstein, August 2001, pages 46-51, is one representative summary of the more recent methods of 3D packaging of Integrated Circuits. The primary benefit of package driven stacking of ICs is reduced physical volume, implemented through the use of conventional ICs with various methods of forming peripheral connections from the I/O contacts of each IC to a common set of termination contacts of the package envelop enclosing the ICs.
  • The stacking of ICs through process drive methods, typically requires custom designed ICs and wafer level processing steps. The primary benefits of process driven IC stacking are increased performance with simultaneous reductions of cost, size and power. Process driven stacked ICs can be generally characterized by the following process steps:
      • 1. Wafer level bonding with a bonding material thickness of a few microns or less.
      • 2. Thinning of wafer circuit layers to less than 50 μm and typically less than 25 μm and less than 15 μm.
      • 3. Vertical through the circuit layer substrate interconnections or interconnections that are internal to the IC stack.
  • Process driven wafer stacking fabrication in the above manner will herein also be referred to as Closely Coupled stacked integrated circuits. The Closely Coupled stacked integrated circuit layers of the invention herein are thinned to facilitate the fabrication of fine grain vertical interconnections passing through the circuit layers and substantially flexible, and wherein these layers are preferably fabricated using low stress or stress controlled dielectric materials. The primary objective of closely coupled wafer stacking is to enhance the integration progression of IC fabrication beyond that possible with existing planar wafer process fabrication methods and wafer diameter. Closely coupled stacked IC prior art by the inventor and referred to as 3DS [Three Dimensional Structures] are U.S. Pat. Nos. 5,915,167, 6,208,545, 6,133,640, 6,551,857, 6,563,224, 5,985,693 and 5,654,220.
  • Closely coupled wafer bonding requires wafer to wafer alignment prior to bonding. Equipment presently available has the capability for ±1 μm wafer to wafer alignment. By comparison horizontal interconnection minimum pitch is 0.15 μm [150 nm] with current state of the art semiconductor processes. The horizontal routing efficiency through vertical interconnections is determined by wafer to wafer alignment, and is fundamentally important to the scaling of fine grain vertical interconnections to maintain compatibly with reducing horizontal interconnection geometries.
  • The state of the art for completed or fabricated planar ICs has and presently results in the expectation that the utility of a fabricated IC does not allow its reuse for subsequent IC integration in a single die or single IC. This is to say that subsequent integration of post-fabricated planar semiconductor circuitry with other fabricated ICs that would result in device and interconnection integration densities that are the same or similar to any of the planar ICs being integrated, and therefore, providing the well known attendant benefits of single IC integration, is no longer possible. Therefore, any and all subsequent circuit design changes or additions [placement of circuitry or horizontal interconnect routing layers] to a completed planar IC requires the IC be remade, requiring at a minimum revalidation of electrical and functional operation of the circuit, the remaking of mask tooling, circuit fabrication and in most cases the obsolescence of previous circuit inventory. This is a clear and significant restriction on the control of cost in the development, manufacturing and inventory management of planar ICs. Conversely, having the ability to inventory fabricated or complete circuitry which can subsequently be integrated at the IC or die level presents a opportunity for cost savings that affects all aspects of IC development and manufacturing, and extends the range of intended end use applications beyond that presently possible.
  • Planar Circuit Integration Progression Limitations
  • There presently exists numerous limitations to the integration progression of planar ICs, some of these limitations which are:
  • [1] Die Size in Fabrication, Complexity and Performance
  • The IC Integration Progression is limited by die size. The die size of planar circuits is limited by current semiconductor fabrication lithographic technology. Die size fabrication lithographic limitations stem from the maximum imaging field size of present semiconductor lithographic processing equipment. The often sought end objective for most electronic products or applications composed of multiple ICs is to integrate the ICs into a single chip solution referred to generically as a SoC [System on Chip]. The limits of circuit integration manufacturing are feature size and lithographic stepper reticle size [maximum lithographic image size] and yield. At this point in time production IC feature size is approaching 0.12 μm and stepper reticle demagnification size is approximately 25 mm by 30 mm which enables presently a die size limit of approximately one square inch.
  • Larger planar ICs, those greater than 100 mm2, that are fabricated with lithographic processes less than 0.15 μm are limited in performance by the distances across the surface of a die or chip of such size resulting in the use of additional circuitry to amplify signals that must travel these greater distances. Adding further to the complexity of long signal line propagation is the use of lower voltage levels [such as 1.5v when using 0.15 μm fabrication technology] which result in lower signal strength, and stronger parasitic electronic effects due to the use of smaller lithographic geometries. And further as a result of large ICs and smaller lithographic geometries, skewed timing of signals which results from the varying distances of circuit sources makes an ever present demand for more precise circuit timing analysis and attendant circuit design compensations necessary to reduce planar circuit signal skew sufficiently to bring the circuit into acceptable operating ranges without too great a reduction in net circuit performance.
  • [2] Levels of Interconnections and Substrate Leakage Limit Device Fabrication Density and the Use of Complex IP Blocks
  • The IC Integration Progression has now reached a minimum circuit device feature size of 0.15 μm [150 nm] in volume production. The end of the IC integration progression is now anticipated to be something approaching a feature size of approximately 20 nm. An increase in circuit density of approximately 36 times. However, such circuit density gains will be difficult to achieve or to implement their effective use without additional horizontal interconnect layers and new methods of power reduction or utilization necessary to drive a greater number of low voltage signals long distances over the surface of planar circuits. In order to implement greater circuit densities resulting from smaller circuit devices, a comparable reduction in the geometries of interconnections and an increase in the number of interconnection levels must be achieved. Reduction in power dissipation is necessary to prevent power dissipation from becoming a limitation on IC Integration Progression. Reduction in transistor substrate leakage could reduce current power dissipation by approximately 50%.
  • The IC Integration Progression is limited by the number of interconnection levels that can be used in a circuit design. The number of horizontal wiring levels of a planar IC is limited by manufacturing processes, presently nine [9] layers, which in turn limit the integration density of an IC design. Smaller active device circuit geometries and the frequent incorporation of hardwired Intellectual Property [IP] in the design of a circuit increases the wiring or interconnection complexity between those IP circuit elements to each other and the rest of the circuitry of an IC. The design of most ICs and certainly most large complex ICs incorporate IP circuitry into their circuit designs in order to save the time and human resources that would otherwise be required in duplicative development of such circuit IPs. Increased die size and greater use of IPs results most often in an increase in the planar [horizontal] routing interconnection complexity. This interconnect complexity results in more layers of interconnections necessary to complete a circuit's local and global wiring networks. This interconnect complexity is proportional circuit size, resulting in higher manufacturing costs.
  • [3] Interconnection Design Complexity
  • The integration progression is physically accomplished by the making of ever smaller circuit devices and through the fabrication of denser and denser interconnections or wiring. Design of most planar circuits posses the challenge of routing interconnections from one circuit block or functional group of transistors to another circuit block and then typically to the portion of the die of the circuit where I/O pads or contacts are formed for off circuit or external connections. These horizontal interconnections take the form of successive layers first interconnecting adjacent circuit devices, then progressing to the interconnection of ever more distant circuit blocks of the circuit. These interconnection layers are themselves connected by structures called vias, or wiring connections typically of less than 1 μm in length. These horizontal circuit interconnections have proved to be the greatest challenge in the design of large circuits resulting in a non-stop evolution of more sophisticated automatic interconnect routing software tools for completing what is called the physical IC design, and the addition of more and more interconnect layers presently at nine [9] and anticipated to exceed twelve [12] by 2005.
  • [4] Limits of SoCs, ASICs and FPGAs
  • The IC Integration Progression has lead to efforts to incorporate all the ICs of a system onto one chip, resulting in the reference to such ICs as SoCs [System on Chip]. However, achieving the SoC goal is greatly restricted by the limited ability of the semiconductor industry to fabricate single ICs consisting of multiple semiconductor processes [such as 0.5 μm analog and 0.18 μm logic or DRAM processes] or multiple semiconductor technologies [such as SiGe and GaAs, InP, GaN, etc.].
  • Similar problems face the more traditional and familiar ASIC and FPGA [Field Programmable Gate Arrays] or CPLD [Complex Programmable Logic Devices] products. The limitations of ASICs are design complexity due to their relentless growth in size, and a long and costly of product development process. The well established benefit of ASIC integration has now also become a limitation that requires re-verification and retooling of the entire circuit irregardless of the size of a design change and followed by prototype fabrication delays measured in months.
  • The alternative to ASICs are FPGAs or CPLDs with the advantage of a very short product development process, but with the distinct disadvantages versus ASICs of higher unit circuit cost, lower performance and lower gate density. The lower performance and lower gate density of FPGAs follows from the interconnect complexity required to support programmable function blocks and the on chip programmable routing interconnections for programming of the function blocks.
  • The development of SoCs, ASICs and FPGAs circuits has become increasing capital intensive in terms of facility support and large numbers of highly trained personnel. The IC integration progression can only guarantee that this trend will continue, making the development of these circuits the exclusive domain of a few large established companies with the result of lessening product diversity, competition and the well established economic vitality that flows from the innovation of small enterprise. The result of the current trends of the IC integration process is the loss of greater diversity due to the growing capital barrier to market entry, this cannot be in the long term public best interest.
  • All planar circuits are made from a custom mask set, where a mask set consists of typically 16 to 32 lithography masks. A single change to one circuit device or the its wiring connections in a circuit design of 10s of millions of circuit devices will result in the remaking of several or all masks for a planar IC. This in turn results in a requirement for timing simulation analysis of the circuit to determine anew it operating characteristics and if a failure condition has been created by the change. The operational simulation process or IC physical validation process presently requires the majority of development effort in the design of most ICs. This effort is growing with the rate of integration progression.
  • [5] Intellectual Property
  • IP [Intellectual Property] in the semiconductor circuit design industry typically refers to previously designed integrated circuit blocks that can be incorporated into a circuit design with only modest levels of additional engineering design effort. IPs are often an item of trade and are leased for incorporation into a circuit design. Some examples of IPs are microprocessors, DSPs, PCI bus interfaces and arithmetic functions. The value of IP is its ability to reduce IC development costs by its use, however, the reuse of IP only offers circuit design cost savings and does not extend savings to IC fabrication.
  • [6] IC Inventory Management and Reuse
  • Circuit design changes to an ASIC or any IC results in the need to make new masks and potentially the creation of devalued or obsolete [valueless] inventory of those circuits made from the current and now outdated mask set. A design change requires the remaking of one or more masks. New masks are expensive, and in large planar circuit designs made with complex processes they also can result in the introduction of new circuit defects, or due to large mask size reduced IC yield. ASIC inventory is a serious problem which comes in three forms: wafers, bare die and packaged circuits. Circuit inventory is most often held in wafer form for cost reasons, it represents unfinished goods at a lower value but can be quickly turned into the finished goods of bare die or packaged die, however, circuit wafer inventories often take several months to replenish. This is a difficult unfinished goods management challenge. Once circuit wafers are fabricated, a change in IC demand or a circuit design change can render these circuit wafers of little or no value. The ability to reuse such obsolete circuit wafers is often not an option even though the majority of the area of each die on the wafer is often unaffected by a circuit design change; this being even more the case the larger the planar circuit design. The challenge for IC integration is to reduce mask complexity for large circuit designs and to find methods for reuse of unaffected circuit area due to design changes.
  • [7] Power Limitations Due to Substrate Leakage and I/Os
  • Power dissipation of large ICs have increased significantly with the Integration Progression such that high performance circuits exceed 100 watts of power. Such high thermal heating of ICs limits the performance and useful life of the IC. The primary sources of IC power dissipation for most high performance ICs is from substrate leakage and high I/O counts. Substrate leakage is the passage of current between source and drain while the transistor is in the off state. Substrate leakage is increasing with decreasing circuit feature size and is expected to be more than 30% of IC power dissipation for fabrication processes below 130 nm. The power dissipation from I/O drivers are well known, but the increase in the number of I/Os for advanced ICs is expected to exceed 1,000 by 2004 and is a limit on the use of the IC technology.
  • [8] Circuit Yield Enhancement
  • ICs of greater than 100 mm2 often resort to redundant or spare circuit yield enhancement methods. Such methods have proven successful in only a few higher volume production circuit types such as DRAMs and PLDs. These methods have been tried with little success in planar custom logic circuits by such companies as TRW in the 1980s and Trilogy Corporation founded by Dr. Gene Amdahl in the 1970s. These attempts failed due primarily to the limitation of circuit design automation software tools and available capacity of horizontal interconnections. The integration progression beyond 0.15 μm [150 nm] circuit device feature size will reduce the size or foot print of large and complex IP circuit functions such as microprocessors, DSPs or graphics processors to enable sparing as the simple solution to planar circuit defect resolution, however, the design complexity of interconnection layout and the capacity of horizontal interconnection is still a challenge for generalized implementation methods for these types of circuits.
  • The testing of ICs under normal and stressed conditions is challenging problem. External testing of ICs by ATE [Automatic Test Equipment] is presently the primary means of determining if a circuit is defective. This testing procedure is complex and lengthy and can result in failure to detect a defective operating condition.
  • Further, most circuits under go a procedure called burn-in. Various failure conditions of an IC only occur under temperature or voltage stressing, or after some lengthy period of operation. The burn-in procedure is the means used to provide this type of testing, however, the burn-in procedure lacks the full speed functional testing procedures of ATE testing, and therefore, can result in failure to detect a defective operating condition.
  • [9] Lithographic Limitations
  • Production semiconductor lithographic tools use masks in the form of imaging reticles which image or print one or more die onto a wafer per lithographic exposure. Lithographic exposures are repeated until the wafer or substrate is completed. The reticle is limited in its ability to image circuitry on a wafer in one exposure to an approximate area of 25×30 mm, and therefore, the largest planar IC that can be made is limited to the maximum imaging area of the reticle of the lithographic system. The production tooling for making an IC consists of a set of masks. The number of masks per mask set is dependent on the complexity of the process being used and nominally vary from 16 to 32 masks. Any design change to a circuit results in the remaking of one or masks of the ICs mask set. Changing the placement of an IP circuit block or interconnection busing structure will result in the remaking of all of the masks of the ICs mask set. The cost of making an IC mask set has increased sharply with mask geometries below 150 nm, and is limiting the development of ICs with smaller market volumes.
  • [10] MEMS [Micro-Electro Mechanical Systems]
  • MEMS devices are a rapidly developing manufacturing technology which use semiconductor fabrication processes but has only limited compatibility for integration with IC fabrication processes and technologies. MEMS take the form of such devices as accelerometers, DMD [Digital Mirror Devices], video imaging sensors, micro-switches and micro-gyros. The capability to integrate microprocessors, memories and wireless circuitry to name a limited few IC types would greatly expand the application, performance and capability of MEMS devices.
  • [11] IC Test Complexity and Cost
  • The complexity of circuit test has risen steadily with the increase of circuit integration and higher circuit performance. The common use of generic programmable external test ATE systems has proven to be limited in their ability to do exhaustive IC testing due to access to internal circuitry blocks and the economic constraints of their use due to their high cost. It is presently the case that for many complex circuits, ATE system testing is the largest cost component in the manufacture of such circuits. The methods that incorporate self test circuitry have met with limited success because these methods add to the already complex effort of planar circuit design and layout. Additionally, neither of these two test method approaches provides the ability to validate the full operational integrity of a circuit during its useful life in either a stand-by means or a dynamic means.
  • [12] Information Transmission
  • The transmission of information between ICs is restricted by the frequency of transmission between ICs and the data path width between ICs. The use of ever higher internal IC clock rates now exceeding 1 GHz makes this problem more pronounced. A single IC to IC connection [wire] using a transmission frequency of 500 MHz requires complex and customized transceiver logic with disadvantages in power and space. Secondly, these disadvantages coupled with packaging I/O restrictions impose a practical limitation on data path widths of 256 connections and on the length of the data path.
  • [13] Custom ICs Versus Generic Application ICs
  • The IC integration progression has resulted in reducing the per transistor cost of manufacturing to near zero cost, however, the cost of design and fabrication tooling have risen to unanticipated high levels and are expected to continue to do so. Low volume custom circuit demand is being strongly challenged by higher volume generic application circuits. There is still demand or a need for custom designed circuits made with state of the art IC fabrication process, but their low production volumes are making them prohibitively expensive. This is a problem for the semiconductor industry as a whole, because innovation almost always comes from small or startup businesses with new ways of using established technologies, such increasing demonstration costs for new higher risk IC designs will certainly have a negative effect on innovation.
  • Summary of Primary Improvement Objectives of the VSI IC Invention Versus Planar ICs
  • The primary improvement objectives of the VSI invention disclosed herein over current planar IC design and manufacturing processes are higher performance and lower cost.
  • Higher Performance:
      • 1. Smaller die size that is independent of circuit size.
      • 2. Integration of disparate fabrication technologies by circuit layer.
      • 3. Single die level integration that is independent of circuit size and process technologies used.
      • 4. Shorter horizontal interconnection lengths through the use of vertical interconnections.
      • 5. Shorter horizontal lengths through the use of smaller die size.
      • 6. Greater of planar circuit layer to circuit layer interconnection density through fine grain vertical interconnection.
  • Lower Cost:
      • 1. Smaller die size.
      • 2. Fewer IC packages.
      • 3. Fabrication process of self packaging.
      • 4. Lower I/O pin count per package.
      • 5. Internal self test logic.
      • 6. Enhanced Yield.
      • 7. Lower design complexity through smaller die size.
      • 8. Design and fabricated circuit reuse through standardized fine grain vertical interconnection physical foot print sizes and placement.
      • 9. Automated design of large scale and fine grain circuit reconfiguration and failure replacement by circuit redundancy.
    SUMMARY OF THE INVENTION
  • The invention is a general method for custom integration fabrication of a broad range of electronic, optical and MEMS fabrication processes and technologies including the sensing and processing of information. This invention is a general method for vertical integration into a single die or component of incompatible and disparate electronic, optical and MEMS manufacturing processes. This invention is herein referred to as Vertical System Integration [VSI] or the VSI invention. Various additional inventions are also disclosed that are enabled through use of the VSI invention, provide novel means for enabling the VSI invention or are in novel combination with the VSI invention as a preferred embodiment.
  • The VSI invention provides novel means for achieving through vertical integration the multiple primary objectives of lower manufacturing cost, higher performance and smaller size for electronic, optical and MEMS devices. The VSI invention enables the integration into a single IC or component electronic circuit, optical circuits and MEMS devices as circuit or device layers, which are presently individually packaged and assembled onto a supporting interconnection structure such a PCB [Printed Circuit Board]. The circuit or device layers of the VSI invention are preferably thin and flexible wherein these layers are fabricated using low stress or stress controlled dielectric materials. The VSI method of device integration is markedly different from planar IC methods which have demonstrated success only where device implementation does not require multiple dissimilar circuit fabrication processes, more than one semiconductor technology or a circuit device die size that is beyond available IC fabrication manufacturing capabilities. Since the circuit layers of the VSI invention not only consist of electronic active device circuitry, but also electronic passive device circuitry [such as inductors, resistors, capacitors, associated interconnections or wireless transmission antennas], active and passive optical circuitry with associated wave guide interconnections and mechanical devices generically referred to as MEMS [Micro Electro-Mechanical Systems], the broader terms VSI Layer or Device Layer will be used to inclusively refer to layers of the VSI invention as being anyone of an electronic, optical or mechanical layer. A completed stack of VSI layers will be referred to as a VSI component or a VSI circuit or a VSI IC. Other terminology used to refer to a VSI layer are circuit layer, EO [Electro-Optical] layer, MEMS device layer, IC layer or VSI component layer, wherein the term circuit layer will be used to reference both electronic or optical VSI layers, and VSI component layer will be used to reference all VSI layer types. The individual active or passive circuitry used in a die or circuit layer are referred to as circuit devices or circuit elements, examples of which are electronic transistors, magnetic memory cells, optical amplifiers, resistors or inductors. The unit of VSI fabrication in general is a substrate consisting of an array of ICs, passive circuit interconnection patterns or MEMS devices fabricated on it, it is these wafers or substrates that become the circuit layers of a VSI wafer or substrate stack, and from which after a dicing process step, individual VSI components or ICs are realized.
  • The VSI invention integrates electronic circuits, optical circuits and or MEMS devices through a stacking fabrication process where circuit or device layer wafers or substrates, made with similarly dimensioned arrays of electronic, optical or MEMS components, are precisely aligned and bonded together, the substrate is thinned as needed to enable vertical access to circuit layer elements, electronic conductors or optical wave guides for subsequent circuit element or interconnect structure fabrication where upon the subsequent device layers are added until the substrate stacking is completed. The individual VSI components are then cut or sawed from their wafer or substrate stack in a manner similar to the way today's conventional planar ICs are cut from wafer substrates on which they are fabricated.
  • The VSI invention is a means for fabrication of customized or application specific single die IC components with the requirement for little or no custom circuit design and fabrication tooling. Today, all planar ICs require circuit design, layout and mask tooling before they can be fabricated. The VSI invention achieves this novel result in its preferred embodiment by making integrated VSI components of electronic, optical circuit layers and or MEMS device layers from generic libraries of VSI inventory circuit and or MEMS substrates with completed physical designs, wherein an application specific IC results from the selection and or order or number of the VSI library layers, or in combination with the specific use of one or more types of programmable VSI circuit layers. This aspect or embodiment of the VSI invention enables application specific customization to be the result of only the VSI fabrication process and absent the specific custom design of a circuit layer. Another embodiment the VSI invention is the fabrication of a VSI component or IC from a inventory circuit substrates and circuit substrates of completed physical application specific design intended only for use in one or limited number of VSI component part types. This is in clear difference to present ASIC or custom circuit integration methods wherein all device components from an IC library require individual placement and layout to be performed to complete the integration of the planar IC, and therefore, any change or addition to a planar IC requires design changes and fabrication of mask tooling for the whole or all of the planar IC.
  • Although such terms as ASIC and ASSP [Application Specific Standard Product] are used to refer to planar ICs of application specific designs and such terms as DSP, μP and DRAM are not used to refer to custom circuits, this distinction relates to the production volumes of such circuits where volumes for ASICs are low and volumes for DRAMs are high; however, there is no distinction between these various circuit types with respect to circuit layout and fabrication tooling, these items are customized to the circuit no matter its volume, whereas VSI components or ICs with customized or application specific capabilities can be fabricated without requiring circuit layout and fabrication tooling specific to the capacities or capabilities of a VSI component. It is also a distinction of VSI components or ICs versus conventional planar ICs that a portion of a VSI circuit can be customized and without requiring the custom layout and tooling for the whole or all of the VSI component; this is the case wherein certain VSI circuit layers, but not all circuit layers, with application specific functions are fabricated as custom design layouts and requiring fabrication tooling of the layers of the VSI IC; such VSI circuit layers could be referred to as VSI ASIC circuit layers. It is a distinct advantage of the VSI invention that the incorporation of hardwired customized or application specific capabilities or functions or design changes of a VSI circuit layer or layers affect only a portion of the VSI circuit and do not result in circuit layout related design considerations and fabrication tooling of the whole circuit as is the case with all planar circuit fabrication technologies.
  • Further, as a result of the aspect of the VSI invention enabling fabrication of circuitry with a minimum of or no design layout and fabrication tooling efforts, the well known cost distinction that exists presently to the advantage of ICs of high volume production versus ICs of low volume is significantly reduced due to the VSI invention capability to fabricate many low volume VSI ICs in an additive or comingled manner with high volume VSI ICs achieving similar cost savings, which is now only a benefit of the high volume fabrication of single planar circuit. This distinction of the VSI invention enables the design and fabrication of electronic and optical integrated circuits from a library of previously completed physical IC designs and fabricated circuit substrates without placing a predetermined constraint on the size, circuit functions, capacities and technologies of the integrated circuit; this is a benefit that reduces the fabrication complexity and cost of an integrated circuit in an inverse relationship to circuit capacity and capability.
  • The VSI IC fabrication process of the VSI invention produces Closely Coupled circuit layers. The term Closely Coupled means that the circuit layers are sufficiently thin to enable fine grain vertical interconnections between each circuit layer and that the interconnection lengths of electronic or optical interconnections connecting the circuitry on the various VSI circuit layers of a VSI component are less than or equal to the total thickness of the VSI layers making up the stack of the VSI circuit layers of a VSI component. These interconnections are routed vertically between VSI layers and interior to each VSI circuit layer passing through any number of circuit layers with or without making a signal contact to circuitry on them. Further, Closely Coupled means that the interconnection density between VSI layers is fine grain and significantly greater than what is possible with present off circuit interconnection densities between coplanar circuits, wherein the vertical interconnection pitch of Closely Coupled VSI layers is preferably less than 4 μm and preferably less than 2 μm and less than 1 μm. Interconnect lengths between two physically adjoining VSI circuit layers can be less than the combined thickness of these adjoining VSI circuit layers, since the typical thickness of a VSI layer is less than 50 μm and often less than 15 μm, VSI layer to layer interconnection lengths can be less than 30 μm and less than 15 μm. The thickness of a VSI component is typically less than 4,000 μm and in most embodiments is less than 200 μm and can be thinner than 110 μm. The two primary characteristics of Closely Coupled VSI circuit layers are electronic or optical vertical interconnections which are [1] of similar pitch density to that of the horizontal interconnections of a circuit layer, and [2] provide the shortest interconnection length between any two active or passive circuit device elements that are not both located on the same VSI circuit layer.
  • Closely Coupled VSI circuit layers enable improved IC performance due to the shorter circuit layer to circuit layer vertical connection lengths and also due to the VSI enabled capability to hold constant or reduce VSI layer die size [circuit layer area] while increasing the total circuitry surface area by increasing the number of VSI circuit layers of a VSI IC or component. The performance and power dissipation of planar ICs is inversely proportional to the lengths of horizontal interconnections. The VSI invention improves circuit performance by enabling VSI circuit layer die size or areal dimensions to be held constant or reduced in a manner that is independent of the total amount of circuitry for most embodiments of a VSI component, and thereby, improving circuit performance while increasing the amount of circuitry per VSI component or die independent of the size or areal dimensions of the die. This aspect of the VSI invention to hold constant or reduce horizontal interconnection lengths results in a reduction in total VSI circuit power consumption through reduced parasitic RC effects and or a reduction in the use of long interconnection signal buffers or amplifiers commonly used in planar ICs to prevent signal propagation losses due to long interconnection lengths.
  • The Closely Coupled circuit layers of the VSI invention enables performance improvement through multiple VSI circuit layer stacking. Each VSI circuit layer corresponds with the use of current planar IC technology as a separately packaged planar IC mounted on a PCB [Printed Circuit Board] or electronic circuit assembly, wherein the signal propagation delay between these planar electronic circuits is now typically greater than the internal clocking rates of today's production CMOS circuits of 1 GHz and greater. The IC signal propagation delay between packaged planar ICs is the result of the unavoidable lengths of the interconnections between these ICs, and therefore, the accompanying parasitic RCL electronic effects which delay signal propagation. The Closely Coupled VSI layer interconnection lengths are several hundred [100] to several thousand [1,000] times shorter than those of planar ICs arrayed on a PCB or stacked planar ICs using edge or peripheral connection methods.
  • Another aspect of the VSI invention is a doubling of available horizontal interconnection metallization layers for each VSI circuit layer. This is enabled through the VSI invention with the use of materials that are compatible with established semiconductor fabrication means, and therefore, are able to withstand semiconductor metal and dielectric deposition processing techniques and temperatures. This increase in horizontal interconnection routing density of the VSI invention is enabled by thickness reduction or thinning as required of the backside substrate of a VSI device or circuit layer to less than 50 μm and as required to less than 1 μm. The substrate may be thinned or partially thinned before it is bonded to a VSI substrate stack, however, it is the preferred embodiment of the VSI fabrication methods that the thinning of the substrate is completed after wafer or substrate bonding. The thinning of the substrate of a VSI layer is preferably accomplished with use of a barrier layer in the substrate or dissimilar stacked semiconductor materials that provide a means to precisely determine the end point for removal of the substrate. The use of a barrier layer such as silicon dioxide of less than 500 Å and as thin as 50 Å will enable a precision thinning of the substrate of a VSI device or circuit layer to less than 50 Å. The fabrication of horizontal interconnection on the backside of a conventional planar circuit substrate is realized after the circuit wafer or device substrate is bonded to a VSI component stack or carrier substrate by one of various permanent or temporary bonding means, and whereupon, the backside of the device or circuit substrate is made available for fabrication by conventional fabrication means of electronic or optical interconnections and completion of interconnections originating on the front side of the immediately adjacent circuit or device substrate or other circuit layers of the VSI component stack.
  • The preferred embodiment of VSI Closely Coupled circuit layers is the thinning of one or more substrates to a thickness of less than 50 μm. Thinning of the substrate can be assisted by embedding a barrier layer, a dielectric layer or an etch stop layer beneath the active devices. In the case of SOI [Silicon On Insulator] substrates the buried oxide layer can be used as an etch stop for substrate thinning. Nominally the barrier layer or buried oxide layer is in a thickness range of 2,000 Å to 6,000 Å which presently adds greatly to the cost of the substrate. Closely coupled IC layers enable the buried oxide substrate layer to be less than 1,000 Å in thickness and preferably less than 100 Å or less than 200 Å or in the range of 50 Å to 500 Å, since the buried oxide layer need only act as an etch stop and not as in its original intended purpose of electrical isolation of the semiconductor device layer overlying the oxide [dielectric] layer from the remaining semiconductor substrate below the dielectric layer. Once this substrate is thinned additional oxide or dielectric deposition can be performed to provide the circuit isolation layer that would normally be present in a standard SOI substrate. The thinner buried etch stop layer reduces device layer crystalline defects by a factor proportional to the reduced thickness of the buried oxide layer, crystalline defects are a common problem with current SOI substrates. Further, since the purpose of the buried oxide layer in a Closely Coupled VSI circuit layer is now to function as a barrier layer or an etch stop, it opens the opportunity to form a layer other than oxide, since the layer can be completely removed after thinning such as an epitaxial layer. Further, the thickness of the dielectric layer can be enhanced to a thickness beyond that presently possible with SOI substrates to provide greater circuit isolation for better circuit performance.
  • Another aspect of the VSI invention that in addition to the fabrication of horizontal interconnections on the backside of the substrate of a VSI circuit layer, the fabrication of circuit devices such as transistors, memory cells, resistors, capacitors, inductors is enabled. More specifically, the completion of circuit devices such as transistors or memory cells partially fabricated on the front surface of the substrate can be completed from the backside of the VSI circuit layer; this is enabled by VSI bonding process and VSI precision wafer or substrate thinning. This specific VSI capability of completing the fabrication of a circuit device requires the thickness of the semiconductor substrate wherein active circuit elements or devices are fabricated to be controlled preferably to within a tolerance of less than 5% of the thickness of the retained substrate thickness. This is enabled by use of a barrier layer in the VSI circuit layer beneath the top substrate surface at a depth equal to the desired thickness that the substrate is to be thinned or approximately so, compensating for additional processing steps. The preferred barrier layer embodiments are silicon dioxide or silicon nitride with thickness of less than 500 Å. An alternate preferred embodiment for enabling precision thinning from the backside of a circuit layer is the use of a semiconductor substrate composed of dissimilar materials such as SOS [Silicon on Sapphire], Si on glass or quartz, GaAs on Si or InP on Si wherein the underlying substrate material such as glass or silicon can be removed by established semiconductor processing methods after circuit substrate bonding to a VSI component circuit layer stack. The objective in the above methods is to achieve a very well controlled thickness of the remaining portion of semiconductor substrate of the circuit layer, these methods allow a semiconductor layer thickness tolerance of less than 50 Å to be achieved. The backside processing of the substrate of a VSI circuit layer can also include the fabrication of a plurality of memory layers such as those made from MRAM, PRAM, ferroelectric or dendritic memory cells. The benefit of fabrication of memory layers on the backside of the VSI circuit layer, in addition to the top or first side of the VSI circuit layer, enables the opportunity for design of the memory cell density to be increased with respect to the semiconductor logic layer associated with a less complex vertical interconnection structure to the memory layers, or enables double the total number of memory layers that can be associated with a logic circuit layer.
  • The Closely Coupled aspect of layers of the VSI invention enables high density vertical interconnections that are local to adjoining Closely Coupled VSI circuit layers or global wherein interconnecting some number of other VSI circuit layers of any order in the VSI component, or by forming dedicated or bused interconnections through all or group of the VSI circuit layers of a VSI component. A global bused VSI component interconnection structure is similar or is analogous to the well known PCB back plane bus structure used to interconnect at right angles daughter PCB cards. This comparison helps to make clear the interconnection efficiencies of the VSI vertical interconnection method enables a connection density in excess of 1000 times greater than the state of the art of PCB to PCB interconnection means, further, there are none of the interconnection performance delays intrinsic to the long interconnections of the PCBs. The VSI vertical interconnection of electronic substrates also includes substrates with deposited layers of active devices such as polycrystalline or amorphous semiconductor devices. Here the vertical interconnections fabricated between these deposited device layers can be extended to form interconnections to other Closely Coupled layers in a VSI component with established circuit fabrication means.
  • Another aspect of the VSI invention regarding backside substrate processing is that it permits process separation from the fabrication process used on the front or top side of the VSI substrate. This is enabled once a wafer or substrate is bonded on to a VSI substrate stack. The bonding process seals the top side of the substrate from effects of most processing steps that may be performed on the backside. If an inorganic sealing method is used such as metal thermal diffusion bonding, then the top substrate surface is hermetically sealed. This ability to employ disparate fabrication processes on the backside of a VSI layer applies to MEMS VSI layers in addition to electronic and optical VSI circuit layers. Fabrication processes that are not presently compatible or with limited compatibility such as CMOS and MRAM [Magnetic RAM], chalcogenide phase change memories or dendritic memories can be fabricated on the same but opposite sides of a substrate. Processes and materials that have unique or limited product production use would never be considered for integration with a state of the art high volume CMOS fabrication process line, can with the VSI invention be integrated on the backside of the CMOS circuit with no change to the CMOS fabrication sequence or risk to its fabrication cost efficiency. Further, thin film active devices such as TFTs can be fabricated on the back side of a conventional CMOS circuit wherein multiple layers of thin film devices can be fabricated with vertical interconnections not only between these layers but also directly to the active devices on the front side of the CMOS layer.
  • Another aspect of the VSI invention is inclusion of optical circuitry as Closely Coupled VSI circuit or device layers. Optical circuits that are fabricated with semiconductor processing techniques on substrates such as silicon, GaAs or quartz with dimension similar to those that would be used in the VSI fabrication process can be integrated into a VSI component or IC. The same bonding means used for bonding electronic Closely Coupled layers can be used to bond optical layers to form Closely Coupled VSI layers including one or more optical circuit layers. An array of optical circuits fabricated on a substrate such as silicon arranged in much the same manner as an electronic circuit is bonded face down [or initially face to face] onto a VSI circuit stack, the backside of the optical circuit substrate is thinned as need and through established semiconductor fabrication techniques various types of interconnections to the optical device and other VSI circuit layers to which it may be bonded are fabricated on the backside and optionally a bonding layer is fabricated for subsequent additions of more VSI circuit layers. Optical circuit interconnections between VSI layers are achieved through such means as the coupling of adjoining wave guides on the surfaces of VSI layers as a result of the bonding process, through the means of reflectors fabricated onto top or backside surfaces, or through coupling of photo diodes and photo sensors as shown in FIG. 28 and FIG. 29.
  • Another aspect of the VSI invention is inclusion of MEMS as Closely Coupled VSI device layers. MEMS that are fabricated with semiconductor processing techniques on substrates such as silicon or quartz with dimension similar to those that would be used in the VSI fabrication process can be integrated into a VSI circuit or VSI component. The bonding means used for bonding electronic or optical Closely Coupled layers can be used to bond MEMS layers to form Closely Coupled VSI layers including one or more MEMS device layers. An array of MEMS devices fabricated on a substrate such as silicon arranged in much the same manner as an electronic or optical circuit is bonded face down [or initially face to face] onto a VSI circuit stack, the backside of the MEMS substrate is thinned as need and through established semiconductor fabrication techniques various vertical interconnections between the MEMS device and VSI circuit layers to which it may be bonded are fabricated on the backside and optionally a bonding layer is fabricated for subsequent addition of more VSI circuit layers. MEMS devices such as interferometers, micro mirrors or mass storage devices benefit from immediate or Close Coupling to circuitry that can more accurately sense the output of these devices or control their operations improving their performance as well as reducing their cost.
  • FIG. 28 shows a portion in cross section with VSI circuit layers 2801, 2802, 2803, 2805, 2811 with optical interconnections over a MEMS layer 2803 of a VSI component or IC wherein active optical laser diode 2804 fabricated on the front side of single crystalline semiconductor VSI layer 2805 emits an optical signal into a dielectric wave guide 2806 incorporating optical reflectors 2807 and 2808 which is received by photodiode sensor 2809. Also shown are TFT deposited layer and horizontal interconnect layers 2810, digital logic circuit layer 2811 and VSI layer thermal diffusion bond 2812. The optical wave guide of FIG. 28 may also incorporate an optical wave guide coupling shown in FIG. 29 formed by the bonding of two VSI circuit layers wherein optical wave guide 2901 on circuit layer 2903 is coupled to optical wave guide 2902 fabricated on circuit layer 2904 with the formation of bond 2905 and cavity 2906. The optical coupling is accomplished by an off angle end terminations 2907 off optical wave guide 2901 and 2908 of optical wave guide 2902 wherein optical signals leaving the end of wave guide 2901 are reflected off reflective metal surfaces 2909, 2910, 2911 and 2912 and into the end of waver guide 2902. The cavity 2906 is vacuum or filed with a fluid with minimum absorption characteristics for the wavelength of the optical signal.
  • Over the past thirty-five years the cost trend for transistors of an integrated circuit has seen an average annual rate of reduction of approximately 50% resulting today in a cost of approximately 3×10−6ç per transistor of a memory circuit and approximately 2.5×10−4ç per transistor of a logic circuit. If this trend continues, it can be anticipated that the cost of memory circuit transistor, will further decrease based on the integration progression of current manufacturing methods to 4×10−8ç and a logic transistor to 4×10−5ç over the next five years. Even though these numbers are impressive they may be at least an order of magnitude higher than what can be achieved with the present VSI invention.
  • This near “zero cost” trend of the IC transistor has resulted in greater IC design complexity now approaching hundreds of millions of transistors per planar IC. The advantage of this cost trend, however, is not now being fully realized. IC yield, test and IC packaging are now preventing the full realization of the transistor's cost reduction benefit. Further frustrating the full realization of the transistors cost reduction is the high cost associated with merging different IC fabrication processes on a single planar IC or the complete inability to do so.
  • It is an objective of the VSI invention to provide methods for fuller realization of the present and future cost reduction trend of the IC transistor. The VSI invention takes into account that the rapidly declining fabrication cost of IC transistors can offset the cost of circuit designs and their fabrication costs where circuit self repair or reconfigurability implemented though vertical IC integration methods are used as means to achieve increased IC yields, lower packaging costs including reduction of net system assembly costs and the merger of disparate incompatible semiconductor fabrication processes and technologies. The VSI invention achieves this result by enabling a greater density of IC integration than is possible by the foreseeable means available to planar IC fabrication through the implementation of vertical integration and fine-grain vertical interconnections aligned to less than 2 μm pitch and the thinning of the semiconductor substrate to less than 1,000 Å or to any dimension as required. VSI wafer or substrate thinning enables fabrication processes to be performed on the backside or underside of circuit layers providing the opportunity for novel transistor design improvements and fabrication of additional conventional [horizontal] interconnections with equivalent circuit complexity and density presently only afforded with the topside of the integrated circuit.
  • The VSI invention enables the cost effective trade-off of the use of dynamic or static field programmable circuitry to implement circuit self repair with the use of spare or redundant circuitry. The implementation of these methods through the VSI invention improves IC yield, reduces the circuit design complexity and the merging of technologies and processes through modular IC structures with higher degrees of assured operation.
  • The VSI fine grain vertical interconnections preferably are formed at the time two circuit wafers or substrates are bonded [preferably by thermal diffusion bonding] and during the backside interconnection fabrication of one subsequently thinned wafer or substrate so that the thinned substrate is less than 50 μm and preferably less than 5 μm. In the case where the substrate being thinned is an SOI substrate, all of the silicon is removed up to the buried insulation layer [the buried insulation layer is typically oxide but alternate insulation materials can be used such as nitrogen to form a nitride layer] underlying the semiconductor device layer.
  • The VSI invention is a set of circuit and MEMS integration methods enabling the fabrication of electronic systems or subsystems as one IC die or VSI component instead of what are now and for the foreseeable future assemblies of large numbers of individually packaged planar ICs. The integration methods of the VSI invention result in greater IC integration than that possible with conventional planar IC integration and with IC benefits of higher performance and net lower manufacturing cost and power dissipation than an equivalent non-integrated set of planar ICs. VSI closely coupled IC circuits enable the performance limiting off-circuit or off-chip interconnections or I/Os of conventional planar ICs to be replaced by their integration into the VSI IC as vertical interconnections between VSI circuit layers or more specifically the interconnection density between the ICs of VSI IC layers.
  • The VSI invention enables novel methods addressing present IC fabrication limitations of yield enhancement, performance enhancement, cost reduction, lower power dissipation, NRE cost reduction, and design and fabrication complexity. The VSI invention reduces the requirement for use of second-tier IC interconnect means such as IC carriers or packages, IC carrier sockets, PCBs and PCB edge connectors. The integration of second-tier interconnect means results in reduced cost and increased circuit performance, but also total circuit power, size and I/O reductions in a range of 100× to 10,000×. Further the VSI invention is a means that simplifies the design, development, mask making, final test and burn-in of ICs. The IC integration progression has also provided the infrastructure and means for implementing the VSI invention.
  • The solid solubility or diffusion of one metal into another metal of a higher melting temperature is a means for forming a VSI circuit layer bond at a lower temperature and resulting in an alloyed metal at the bond interface of the bonding layers with a higher working and melting temperature. Metal films or layers deposited on the VSI circuit wafers or substrates for the purpose of forming bonds of VSI circuit layers are deposited with semiconductor techniques such as sputtering, evaporation or CVD [Chemical Vapor Deposition]. Such films made from In, Sn, Zn, Cd or Ag or alloys of such metals when deposited on top of another metal film with a higher working or melting temperature such as Cu, Al, Ni or Pd will with appropriate annealing temperatures diffuse into each other forming an alloy of high working temperature. If the lower working temperature film is thinner relative to the higher working temperature film, the resulting alloy film will have a higher working temperature than if they were in equal proportions.
  • The VSI invention uses this physical relationship of metal films to use a first wafer or substrate bonding temperature that is lower than the final working temperature of the VSI circuit layer bond. This is done by using a lower melting temperature metal such as Sn over a film of Cu on the two bond contact surfaces of VSI circuit substrates to be bonded. For example, with a first Sn film of 500 Å and a second underlying film of Cu film of 5,000 Å to 7,500 Å, applying temperature of 180° C. and pressure of 2 atmospheres will bond the Sn first films, and with subsequent temperature annealing steps of 180° C. or higher, the Sn will diffuse into the Cu layer creating a SnCu diffused or alloyed film at the bond interface with a higher working temperature than the melting point of Sn. Bonding films made of two or more deposited metal films will be herein referred to as Diffusion Alloy bond layers. This type of metal bond layer is novel in its use in integrated circuits and MEMS and can be used in VSI fabrication to lower the bonding temperatures of VSI substrates.
  • Another example of dual metal thin film diffusion bonding is the sputter deposition of a 50 Å to 500 Å film of Sn [tin] over Al [aluminum]. The deposition of the Sn should be done after the removal of the approximate 35 Å thick Al oxide on the surface of the Al film by a method such as sputter etching or the Sn film should be deposited following the deposition of the Al film without exposure of the Al film to atmosphere or oxygen, and therefore, avoiding the formation of the Al oxide film on the Al film; the Sn film can be deposited over the Al film to prevent the formation of Al oxide on the Al film. When two substrates or wafers with the SnAl metal film stack depositions are diffusion bonded, the Sn films enable a bond between the substrates to be rapidly formed. Subsequent thermal annealing of the bonded substrates will cause the thin Sn film to diffuse in to the thicker Al film. The benefit of this bond processing sequence is to simplify and to accelerate the bonding of Al films and to create an alloy of SnAl at the diffusion bond interface that has a higher melting temperature than Sn such that the working temperature of the IC stack is higher than the melting temperature of Sn. Another example of such a metal sequence is Sn/Cu/Al. In this example the Sn layer may serve as an etch mask for the Cu/Al films and the Cu film will act to prevent the formation of an SnAl diffusion intermetallic alloy. The characteristics of the diffusion formed intermetallic alloys are determined by the thickness of the various metal films of the film stack and their exposure sequences to temperature in terms of duration and temperature range. The thinner the primary bonding metal film is, the greater the control available in reducing the emergence of an undesirable intermetallic diffusion alloy.
  • The VSI invention is a Closely Coupled stacked integrated circuit fabrication technology. The primary benefit of Closely Coupled circuit stacking integration is its ability to achieve electronic circuit characteristics equivalent to that of planar circuit integration from the stacking of a plurality of individual planar ICs. Closely coupled integrated IC layers have the same electronic and electrical operation as a planar integrated ICs. However, there are clear benefits that Closely Coupled integration provides that planar integration does not. These are shorter wire lengths from fine grain vertical interconnections and smaller die size, the capability to arbitrarily integrate widely dissimilar semiconductor device fabrication processes [digital CMOS, DRAM, Flash, etc.] or technologies [SiGe, SOI, GaAs, SiC, GaN, etc.] as separate circuit layers, and the capability to increase wiring density through the application of very dense vertical interconnections, thereby replacing equivalent horizontal cross die interconnects or local interconnections among devices of immediate proximity on separate circuit layers.
  • The VSI invention through Closely Coupled circuit fabrication enables one or more of the following:
      • 1. Fine grain vertical system bus or buses, ranging in data width from a typical 16 or 32 wide data width to several thousand data bus lines.
      • 2. Reduced I/O [off chip] contact count versus the total count of I/O contacts of a planar circuit equivalent chip set.
      • 3. Fine grain vertical interconnections for enabling or disabling whole circuit layers or portions of circuit layers.
      • 4. Fine grain vertically interconnected redundant or spare circuit layers or portions of circuit layers.
      • 5. Inventory or generic multiple application use IP circuit layers.
      • 6. Fine grain vertically interconnected FPGA, CPLD or PLD circuit layers
      • 7. No tooling IC integration.
      • 8. Fine grain vertically interconnected yield enhancement logic comprising a whole circuit layer or portion of a circuit layer.
      • 9. Fine grain vertically interconnected MEMS layer or layers such as antennas, SAWs [Surface Acoustic Wave], circuit probe, magnetic disk head or video image sensors.
      • 10. Fine grain vertically interconnected memory subsystems of such memory technologies as DRAM, Flash, EEPROM, SRAM, CAM, PRAM, dendritic, Ferroelectric or Ferromagnetic.
      • 11. Circuit layers of different semiconductor technologies such as GaAs, CMOS, SOI, InP, GaN or GeSi, or passive circuit layers made of such passive circuit devices as resistors, capacitors or inductors.
      • 12. Fine grain vertical interconnections used to implement circuit defect repair with fuses and or anti-fuses during burn-in processing.
      • 13. Horizontal interconnect fabrication on the backside of thinned circuit layers allowing the horizontal interconnect density to approximately double.
  • The primary benefit sought from the use of planar ASICs is to achieve high performance of an application by fabricating selected portions of the application as hardware functions. This narrow focus to achieve performance utilization of an application is also the most serious limitation to the use of ASICs for the reason that once hardware functions or IP [Intellectual Property] is committed to a hardware design in a planar IC its reuse in another IC will require at least partial redesign of the circuitry to place it into the circuitry of a second IC, the cost of fabrication tooling masks and physical testing verification. Perhaps the most important limitation facing present ASICs being made with CMOS technologies of 150 nm and smaller fabrication processes is their increasing costs due to mask tooling and achieving cost economies for these circuit manufacturing processes demands large production volumes. The great majority of ASICs designs are intended only for low production volumes which significantly increases their costs.
  • It is an objective of the VSI invention to reduce the cost of ASICs or small volume production circuits by increasing their utilization as a VSI circuit layer or portion thereof which allows the reuse of the IP of the ASIC in a second application without design changes, additional fabrication tooling, production or separate physical testing. Large production lots of an IP as a separate VSI circuit layer could be made with the expectation of higher application utilization. Unlike completed or fabricated planar ICs, the VSI circuit wafers or substrates can be thought of as reusable circuitry from the stand point that their subsequent integration is possible on an as is basis into alternate VSI circuit applications, and without losing the well known attendant benefits of planar IC integration. Subsequent VSI IC or component design changes by changes to one circuit layer or the addition of circuit layers does not unavoidably result in making the existing VSI layers obsolete or require the remaking of mask tooling for the existing VSI circuit layers as is required when changes are made to planar ICs. Furthermore, VSI component density can be increased or decreased without circuit redesign; the addition or removal of VSI circuit layers is similar in principle to the addition or removal of daughter PCBs from a mother board or back plane. Furthermore, any and all subsequent circuit design changes or additions to an existing circuit layer only requires the remaking of that specific VSI circuit layer. This is a clear and significant novel advantage over planar ICs in terms of IC development, manufacturing and management of fabricated IC inventory.
  • The IC integration progression to ever smaller circuit feature sizes [lithographic] has enabled the manufacture of 32-bit and 64-bit microprocessors on a single semiconductor chip, and most recently two 64-bit microprocessors on a single semiconductor chip. Integrated with these microprocessors also is some amount of volatile memory such as SRAM or DRAM, this on chip memory is extremely important to the performance of the microprocessor. This integration progression is also true for other circuit types such as PLDs [Programmable Logic Devices], also referred to as FPGA or CPLD circuits, network processors, graphic processors, ASICs [Application Specific Integrated Circuits] and ASSPs [Application Specific Standard Products], to name some broad class of circuit types. The integration progression is valid for MEMS [MicroElectro-Mechanical Systems], a new circuit type with need to integrate sensor and mechanical functions with electronic or optical circuitry, whereby it can be expected that MEMS will eventually integrate circuitry such as microprocessor, memory and wireless communications.
  • The IC integration progression is expected to continue with the integration of microprocessor circuits with ever more memory and processor related control circuitry such as graphic processing logic and DSPs. This progression is driven by the demands for more performance and lower cost, and with portable electronic devices lower power and smaller physical form factor or finished circuit size. The result of reducing circuit feature sizes is increased circuit operating performance, lower cost, lower power and smaller form factor or size per some unit of circuit area. This is well know to those skilled in the art.
  • The terminology used to describe ICs is diverse. More often than not the names of existing IC terminology owe their origins to efforts to give these IC products a meaningful description for the times of the available market and technology, but the integration progression relentlessly continues to render less useful such original meaningful description. IC names such as microprocessor, DRAM, DSP and PLD are becoming less useful because the integration progression has enabled them to be combined into one planar IC, and new names like MEMS will most certainly experience similar declining usefulness. It is because of this history that the new and very general term SoC [System on Chip] has come to be used. There are two other very familiar terms, ASIC [Application Specific IC] and ASSP [Application Specific Standard Product] which were coined to describe a class of custom designed ICs or ICs designed for a specific application and used depending upon whether an IC was intended for a narrowly defined use [ASIC] or a broader and more general but specific market use [ASSP]. It can be argued that all ICs have always been and always will be custom ASICs, since all ICs are made for some narrow or broad set of applications. The use of the term ASIC in this description when in reference to the VSI invention herein, is meant to apply broadly and to refer to all semiconductor fabricated circuitry and devices of which only a partial list includes microprocessors, graphic processors, network processors, PLDs, FPGAs, CPLDs, DRAM, Flash, MEMS, sensors, photosensor arrays, CCDs, baseband processors, CAMs, configurable and reconfigureable circuits, passive circuit arrays, analog circuits, hybrid circuits and mixed signal circuits.
  • The clear end objective for most electronic products or applications composed of multiple ICs is to integrate the ICs into a single chip solution referred to by the all encompassing term of SoC [System on Chip]. The limits of circuit integration are feature size, lithographic stepper reticle size [maximum lithographic image size] and yield. At this point in time feature size is approaching 0.12 μm, stepper reticle size is approximately 25 mm by 30 mm and circuit yield is inversely proportional to the size of the semiconductor circuit die with best circuit yields obtained with chip sizes of less than 1 cm2. And ICs are also made from different semiconductor manufacturing processes and technologies, such CMOS, flash, DRAM, BiCMOS, GaAs, GaN, InP, etc. Processes like CMOS and DRAM are difficult and expensive to integrate, semiconductor technologies such as GaAs, GaN or InP have proven to be extremely difficult or impossible to date to commercially integrate with silicon as a single SoC planar circuit. Larger electronic systems such as multi-processors computer systems, Storage Area Networks and internet routers are performance limit by the methods used to interconnect the various highly integrated ASICs, μPs and memory circuits from which they are made. In such systems the on-chip IC clock speed can be as much or more than several times higher than the clocking speed used to communicated between ICs. The inter-IC wiring interconnect consisting of IC package, IC socket, PCB, PCB connectors and back plane are the contributors of the single greatest delay in electronic system performance. Greater integration of the components of such systems is expected, however, single chip integration of large electronic systems is not soon expected, and therefore, electronic systems as presently assembled will continue to have performance limitations due to the interconnections between IC components. It is an objective of the VSI invention to provide fabrication means to enable the integration of mixed IC semiconductor processes and technologies, and to reduce the interconnection delays attributed to ICs in electronic and electro-optical system and subsystems.
  • The VSI vertical interconnections enable a circuit to be reconfigureable by function with similar facilities as it uses in configuring a circuit to delete or add circuitry as a result of a circuit defect. The benefit of VSI vertical interconnections for the implementation of reconfigureable circuits such as PLDs, FPGAs or CPLDs is reduced interconnect routing complexity and or a reduction in the number of horizontal interconnection layers per VSI circuit layer, these benefits result from the use of smaller die dimensions and the segregation to separate VSI circuit layers of various logic circuitry and memory circuitry. An example is global routing for FPGA type circuits where die size can be as large as 600 mm2 with global horizontal interconnections as long as 20-30 mm. The use of VSI vertical interconnections can reduce maximum global horizontal interconnect lengths to less than 2 mm with a majority of the FPGA logic blocks globally interconnected by lengths of less than 100-200 μm. This is done by segregating or partitioning the FPGA or CPLD circuit into a set of circuit layers with a die area of 25-30 mm2. The VSI partitioning of a planar FPGA circuit into a set of VSI FPGA circuit layers allows the majority of FPGA circuit blocks to be interconnected vertically and reduces the average interconnection length to a function of the die thickness, a length of nominally less than 200 μm, and the maximum interconnection length to a function of the die size, a length of nominally less than 10 mm.
  • Planar PLD, FPGA or CPLD circuits provide an unrivaled flexibility to achieve hardware development and performance efficiencies through an implementation of a logic function by the programming means of the FPGA circuit. This benefit, however, is significantly offset by a low density of programmable interconnections of and between logic functions, low gate density and low memory density relative to custom logic circuits [ASICs] which results in higher FPGA circuit cost. FPGA circuits are presently limited in the number of gates per circuit by the field size of the lithography tool or reticle and the global routing resources necessary for transmission of data signals across the circuit. PLD routing resources typically require 60 to 70% of the area of a PLD circuit. Dynamically reconfigurable PLD circuits or PLD circuits that can be reprogrammed during operation are limited by the availability routing resources. The VSI invention significantly reduces the cost of planar FPGA circuits by increasing the interconnect, gate and memory density. However, the VSI invention further uniquely improves on the capability and capacity of present FPGA circuits by enabling an increase gate and memory density beyond current planar circuit limitation due to lithography, and to incorporate dedicated circuit functions or IP on an as needed basis without requiring design change to a VSI FPGA circuit layout by implementing hardwired or dedicated circuit functions or IP on VSI circuit layers that can be added to a VSI FPGA component.
  • The lithography die size limitation is a physical constraint on the fabrication of all planar ICs, and therefore, limiting the amount of circuitry that can be integrated on any single planar IC regardless of what planar fabrication process used. The amount of circuitry that can be integrated into a VSI IC is not limited by the lithography fabrication limitation on die size, but by the integration of a plurality of circuit layers providing the integration efficiencies of at least that of planar integration.
  • A unique advantage of the VSI fabrication method of making stacked circuits with SOI substrates fabricated with the SIMOX process is that the buried insulation layer or barrier layer of the SOI substrate need only be as thick as that required to complete the removal of the underlying substrate. The thickness of the buried insulation layer can be as thin as 50 Å to 150 Å versus typical SOI buried insulator layer of 4,000 Å. The direct advantage of such a thin buried insulation layer is that there is less crystal lattice damage of the overlying semiconductor layer during the implant of the insulating atomic species. Once the underlying substrate is completely removed the insulation layer is exposed and the thickness of the insulation layer can be enhanced by deposition of insulation films.
  • The VSI invention integration method uses the fabrication of vertical interconnects on less than 4 μm pitch and with the wafer to wafer alignment and bonding methods provided herein, the fabrication of vertical interconnects of less than 250 nm [0.25 μm] pitch and less than 100 nm [0.1 μm] pitch. A 4 μm pitch enables a vertical interconnect density of 62,500/mm2, and a 100 nm [0.1 μm] pitch enables a vertical interconnect density 100,000,000/mm2. Vertical interconnect pitch with geometries of less than 1,000 nm [1 μm] pitch are necessary in order to match the integration scale of a majority of current circuit devices. This density of vertical interconnections between VSI circuit layers eliminates a majority of interconnection restrictions that presently exist between planar circuits and result in performance limitations on inter IC bandwidth often referred to as I/O bottlenecks. Present planar ICs have external [off-chip or off-die] I/Os of less than 500 connections and are limited to a maximum I/O count of approximately 4,000; the maximum I/O count limitation results from packaging technology constraints in addition to cost constraints. The VSI high density fine grain vertical interconnections can be organized into standardized placements in the layouts of a family or library of circuit layers with the objective of enabling the subsequent direct coupling of these interconnections to overlying [underlying] circuit layers of a VSI IC. Further, with a large number of vertical interconnections per placement, some number of these interconnections can be spare or unused interconnections and available for use in a future VSI IC design. FIG. 2 c shows a standardized placement of several fine grain vertical interconnection blocks 201 c, 202 c, 203 c and within such blocks the vertical interconnection density may vary independently by pitch in addition to the total number of interconnections per block; for example, block 201 c may have 1,000 vertical interconnections arrayed with a 1,000 nm [1 μm] pitch for providing power between circuit layers, block 202 c may have 15,000 vertical interconnection arrayed with a mix on two or more pitches from 2,000 nm to 1,000 nm, and block 203 c may have 80,000 vertical interconnections arrayed with a 200 nm pitch to implement a high bandwidth bus connections.
  • A primary objective of the VSI invention is the reduction of the interconnect length of and circuitry associated with off die or external IC circuit interconnections commonly referred to as IC I/O bond pads or I/O connections 11 as shown in FIG. 3. External IC interconnections typically account for more than 50% of the power dissipation of an IC. In the case of a 64 bit DRAM IC, the I/O circuitry necessary to send signals on and off the DRAM circuit account for approximately 90% of the power dissipation. The direct benefits of reducing the number of external I/Os of an interconnected set of ICs in addition to lower power dissipation are smaller die size and an increase in the combined performance of the set or network of interconnected ICs. The benefit of reduced power dissipation of the VSI invention follows from the reduction of inter IC or circuit layer connection wire lengths to typically less than 200 μm implemented with fine grain vertical interconnections, therefore, reducing or eliminating the I/O circuitry and associated power needed to drive circuit signals lengths of several inches to tens of inches. The benefit of reduced die size in VSI invention follows from the reduced foot print of a fine grain vertical connection that can be 2,500 to 10,000 times smaller than an I/O bond pad 11, therefore freeing the surface area that would be required of a planar IC. This VSI benefit is most significant with ICs that require additional surface area beyond that necessary for the implementation of circuitry in order to provide area for bond pads are referred to as bond pad limited. The benefits of higher performance in VSI circuit layers follows from the shorter inter IC connection lengths. The VSI vertical integration method enables the elimination of external I/O circuit drivers and pads between circuit layers by routing these interconnections to one or more of fine grain vertical interconnections or vertical buses. The fine grain vertical interconnections can be designed to implement any order of connections between each circuit layer of a VSI IC through fabrication of interconnection routing on the backside of a circuit layer, therefore, at a minimum any routing connection network between a set of planar ICs can be reproduced when such ICs are stacked in a VSI IC or component.
  • The VSI integration enables the design of a circuit to be the determiner of VSI circuit layer foot print or die size and not the total amount of circuitry comprising the IC as in planar ICs. The performance and yield of a planar circuit is directly related to its die size. The performance of planar circuits made with fabrication geometries of 0.15 μm and less are dominated by the propagation delay of the wire length used to interconnect the transistors and not the switching propagation delay of the transistor. The design of a VSI circuit enables the arbitrary partitioning or placement of circuit functions per circuit layer and combining horizontal interconnections with fine grain vertical interconnections between circuit layers, therefore, the VSI circuit layer foot print or die size is a circuit design decision.
  • The VSI integration reduces circuit power dissipation and increases circuit performance through smaller die size and the elimination of stacked IC to IC I/O drivers with fine grain vertical interconnection. Circuit integration with geometries below 0.15 μm dramatically increases the density of active devices per unit area of semiconductor substrate. However, as geometries are reduced, circuit operating voltages are also reduced, reducing the transistor signal drive capability and necessitating greater numbers of repeater circuits in order to send a signal across the surface of the IC of lengths of several thousand microns [4-20 mm] or more. This in turn increases the power dissipation of the circuit. The fine grain vertical interconnections of a VSI circuit layer nominally vary in length from a few microns to 10 μm per circuit layer with total vertical wire lengths through the complete VSI IC or component of nominally less than 150 μm, although physical implementation of vertical wire lengths of greater than 1,000 μm [1 mm] are possible, a practical design driven maximum length of less than 600 μm [0.6 mm] is anticipated. The shorter VSI vertical wire lengths would replace all global or long wire lengths of an equivalent planar circuit reducing the wire propagation delay nominally by more than a factor of 10 and power dissipation by a design dependent amount.
  • Secondly, IC to IC I/O drivers often account for the majority of power dissipation of a planar circuit and the number of I/Os of a planar circuit design may be restricted in order to reduce total power dissipation. The power dissipation of off chip I/O drivers results from the resistance and capacitance loading of the interconnections between planar circuits consisting of at least circuit packages and PCBs [Printed Circuit Boards], but may further include package sockets, PCB edge connectors and back planes. These same interconnections are the primary determiners of net electronic system performance [exclusive of delays attributed to electro-mechanical sub-systems such as disk drives] for most electronic systems such as PCs, servers, network processors or supercomputers. The use of fine grain vertical interconnect in VSI components eliminates the need for conventional I/O drivers between circuit layers due to the shorter vertical path length between all VSI circuit layers, and this same shorter vertical path length between circuit layers eliminates the performance delay normally resulting from interconnections between planar ICs.
  • The VSI invention enables simplified methods for high speed electronic and or very wide data path transfer of information between two or more VSI circuit layers. High speed transfers of information between planar ICs at rates of greater than 500 Mbps per single interconnection require custom transceiver logic specific to this function. The Rambus transmission technology is a very well known example of such transceiver logic. The short connection [wire] lengths provided by fine grain vertical interconnections of the VSI invention enable information to be transferred from one circuit [IC] layer to any other circuit layer of the same circuit stack with no more circuitry than would be required for transmission over the surface of a planar circuit. It also follows from the use of fine grain vertical interconnections that its capability for interconnection densities of less than 2 μm pitch enables making of arbitrarily wide data paths between circuit [IC] layers within a VSI component. Such data paths can be of any practical width such as 1,000 to 4,000 connections, but connection widths of 10,000 or more can be implemented without restriction within a VSI component.
  • The VSI invention enables a new method for built-in self test of a VSI IC with a standardized or specialized tester capability comparable to that now provided by ATE systems. This is accomplished by incorporating tester logic on a separate circuit layer or portion of a circuit layer. The tester circuitry uses fine grain vertical interconnections as direct connections or as standardized bus structures to send test signals to other circuit layers. In the preferred embodiment the tester circuitry is available as a standardized circuit layer that can be programmed to test all or most of the various circuit layers through standardized or common busing means that test a circuit layer as a whole or portions there of. The tester circuit layer may also be fully redundant to enhance the probability that tester circuitry will be available to perform testing. Secondly, there may be more than one type of tester logic incorporated on one or more circuit layers depending on the types of circuit layers in the VSI circuit stack or component. This approach where a general purpose or broad application built-in circuit tester is incorporated as a circuit layer of a VSI component avoids the obvious and considerable design impact that would result if the tester logic were to be incorporated as part of a planar circuit. The availability of the high density VSI fine grain vertical interconnections allow tester connections on any specific circuit layer to be implemented through a standardized test interface protocol with routing to standardized vertical bus interconnection placements on all circuit layers. It is also in accordance with this aspect of the VSI invention that this method of built-in self test would eliminate the need for VSI IC testing by current ATE systems and allow all or the majority of VSI IC testing procedures to be performed during IC burn-in processing, thereby reducing the cost of VSI IC testing and the present complexity of burn-in tester circuitry, since no additional burn-in test circuitry or tester programming would be required as presently required for planar circuit burn-in. The cost benefit of the VSI method of built-in circuit self test increases as the number of circuit layers are increased from the stand point of higher tester logic utilization and lower tester logic cost as a percentage of total circuit layer cost. An additional advantage of this method of built-in self test is that it gives the circuit the option of using this internal tester logic capability to validate the integrity of the complete circuit or portions thereof during the useful life of the circuit. Further, this method enables the VSI component to provide stand-by [stand alone] or dynamic self testing, or a means to perform circuit operational monitoring to observe circuit degradation over its useful life. The availability of ATE or programmable generic or specialized tester logic also enables internal VSI IC defect detection and reconfiguration when in combination with reconfiguration circuitry and or sparing circuitry.
  • VSI integration reduces the need for circuit packaging and provides a hermetically self-sealed package. Only one conventional package may be required per VSI component, this reduces the number of packages that would have been required for the VSI IC's equivalent as multiple planar circuits to one or none. Reducing the number of packages in an electronic assembly increases circuit yield in addition to a reduction in circuit component cost; the IC packaging process has a nominal 1% or greater yield loss. Further, the VSI IC can form its own package or is self packaging. This results from the VSI bonding process where the top surface of a completed VSI is the backside of the last circuit layer bonded onto the VSI component. This means all electronic device surfaces are interior to the VSI circuit layer stack and protected from damage. This also allows I/O bond pads to be placed anywhere on the VSI top surface without concern of damage to circuitry underlying that may be directly under a bond pad. When a VSI IC is fabricated with the preferred bonding method of thermal diffusion bonding with inorganic bond materials, a hermetic seal at the edge of all circuit layers prevents moisture or chemical vapor contact with the electronic surfaces of the circuit layers.
  • The IC integration progression below 0.15 μm [150 nm] reduces the size of the IP or circuit foot print but not necessarily the die size of a planar IC because the size and number of I/O [off chip] contacts or bond pads are not affected. This is a frequently occurring condition where the size of a die is said to be bond pad limited, meaning that the size of the die is not reduced proportionately with the reduction of area occupied by the circuitry on the die when fabricated with smaller geometries. The integration of additional off chip circuitry or IP in an effort to reduce I/O count is most often limited by the size of off chip IP that would be included, the inclusion of the IP often increases the net I/O count or makes the circuit design non-manufacturable, or the IP is unavailable except as a separate planar circuit. The VSI invention avoids bond pad limitations because the fine grain vertical interconnections pads are nominally more than 2,000 times smaller than conventional planar IC bond pads. Further, prefabricated IP can be integrated into the VSI component without requiring circuit redesign and there is no practical limit to the amount of off chip circuitry or IP that can be added as separate VSI circuit layers. This aspect of the invention enables the VSI component to achieve an I/O bond pad count that is significantly less than the bond pad count of equivalent planar circuit.
  • An example of VSI component bond pad reduction is given by taking a set of planar ICs comprising a microprocessor, DSP, one or more ASICs, CPLDs or FPGAs, several SRAMs and CAMs, and some number of SerializerDeserializer circuits which would be mounted and interconnected via a PCB and with less than 200 contact edge connections on the PCB. Fabricating these planar ICs with perhaps a total of as many as 3,000 I/O bond pad connections as VSI circuit layers of a VSI component would result in a single circuit die with approximately 200 of I/O bond pads corresponding to the edge connections required by the PCB upon which the stacked circuit would be mounted, or approximately a more than 10 to 1 reduction in bond pads.
  • In accordance with the invention it also enables a reduction in the design complexity and numbers of metal horizontal interconnection layers than may be required of a planar IC. The reduction of IC geometry increases the number of transistors that can be fabricated to make a planar IC also increases the wiring complexity and number of wiring layers needed to effect the connections of structures of transistors which take the form of microprocessors, DSPs, memory arrays, register arrays, and IPs of various functions. The continuing integration of more of these circuit structures results in increased circuit design and placement complexity and additional metal horizontal interconnection layers in planar ICs due to the requirement to complete the high numbers of interconnections between the circuit structures and or IP over the surface of the IC. Secondly, the placement of circuit structures is unique to each circuit design causing a repetition of design effort for each planar IC. It is clear that the design complexity of these interconnections layers and the need for additional interconnections are limited today by the number of physical horizontal interconnection layers that can be fabricated. The VSI invention enables the partitioning of the circuit structures of a planar IC to separate circuit layers and a reduction in the number of required horizontal metal interconnection layers with fine grain vertical interconnections. This aspect of the VSI invention has the direct benefits of reduced die size, reduced design complexity from the reduced number of circuit structures per circuit layer and through standardized placement of fine grain vertical interconnections per circuit layer, reduced horizontal interconnection layers per circuit layer due to fewer circuit structures in combination with the use of vertical interconnections, and higher performance through shorter interconnection lengths between circuit structures.
  • The VSI invention uniquely enables SoC circuitry through the following:
      • 1. The use of different semiconductor processes or technologies per circuit layer.
      • 2. Design control of die size
      • 3. Reduced I/O bond pad count through fine grain vertical interconnections.
      • 4. The use of passive circuitry as one or more circuit layers.
      • 5. The use of MEMS.
  • One of the objectives of the VSI invention is to enable an increase of information transfer between memory and logic [ASICs, μPs, graphics processors] circuits in both of the fundamental measures of data bandwidth: data line transfer rate and data path width. This disclosure will describe novel methods of making and device implementations for integrating the IC interconnect components of IC sockets, PCBs, PCB connectors and back plane onto stacked logic and memory chips.
  • In accordance with the invention, closely coupled stacked ICs or VSI components are formed of circuit layers or planar circuits where each circuit layer can be made from an arbitrary and unrestricted choice of circuit device fabrication technology and process to implement active or passive circuit elements or devices. The circuit layers of a VSI component are circuits of 50 μm thickness or less, typically less than 20 μm or as thin as 2 μm, and composed of the layout of single circuit elements or devices or the groupings of various single type circuit elements as Circuit Blocks interconnected by conventional horizontal interconnect means and further connected as required by fine grain vertical interconnections which can be scaled or implemented to dimensions compatible with the horizontal interconnection means used in the fabrication of the individual circuit layers. A VSI IC can be implemented solely with a combination of fine grain vertical interconnections between the various circuit elements or Circuit Blocks on the various circuit layers of VSI component. The VSI component preferred embodiment is implemented with one of several possible yield management methods in combination with fine grain vertical interconnections.
  • Another aspect of the VSI invention is a method of making memory circuits of widely varying capacity with a predictable yield of greater 95%, resulting in a lower cost per bit than planar memory ICs and with the capability to use customized control logic in the VSI memory component but still achieve the cost benefit normally attributed only to the very high production volumes of fixed memory design circuits such as DRAMs or flash. This aspect of the VSI invention includes implementations not limited to flash, DRAM but also includes SRAM, MRAM, PRAM [Phase-change RAM], dendritic, GMR or other such memory cells.
  • The width and transmission rate of an off-chip bus determines transmission bandwidth for moving information within a planar IC. Bus widths for conventional planar circuits vary in a range up to 256 signal lines which are intended to match the processor data width or the width of the off-chip cache or memory associated with the processor. The ability of a processor to move information from one memory area to another, to or from large memory I/O buffers associated with I/O processing logic, context state changes of several thousand bytes or the movement of large messages in a communications router are examples of when throughput performance of an IC would be limited by a bus width of 256 or even 512 signal lines. Off-chip connections or I/Os for present planar ICs are limited to less than approximately 2,000 by horizontal interconnect layer density, packaging and power dissipation. VSI fabrication methods enables multiple vertical interconnect off-chip buses of several thousand signal lines each, with a capability of total off-circuit layer I/Os exceeding 100,000, and no more increased power dissipation than if such a bus were an on-chip transmission bus.
  • The methods of the VSI invention enables the fabrication of a new class of single die ICs which includes without process integration restrictions digital logic, most types of memory, mixed signal circuitry, sensors, passives, and any other type of semiconductor based circuitry and MEMS. The VSI methods are generic in design and fabrication allowing new applications to reach lower manufacturing cost levels without the normally attendant requirement of mass volume production rates.
  • Another aspect of the VSI invention is the ability to reduce the number of horizontal interconnection layers of an IC layer and or the routing complexity of the horizontal interconnect layers for the implementation of large numbers logic function units and or for the implementation of spare logic circuitry for circuit repair in the event of manufacturing defects or operating life-time circuit failures by arranging the design of logic functions vertically and interconnecting them with fine grain vertical interconnections. Vertical interconnections used in this manner can substitute for horizontal interconnections and allow for much higher levels of interconnection density due to the fine pitch [less than 1 μm], and therefore, small cross-sectional area of the vertical interconnections, the implementation of which is not related to or restricted by the number of horizontal interconnection layers of a circuit layer.
  • The VSI component or IC can be implemented with internal or external yield management circuitry specific to the layers of a VSI IC but not necessarily used or required for use with all circuit layers or all sub portions of any circuit layer. The VSI IC contains one or more circuit layers with yield management circuitry for specific use with one or more circuit layers of a VSI IC and connected to those circuit layers with fine grain vertical interconnections, and or a combination of fine grain vertical interconnections some of which are common to each circuit layer and unique to each circuit layer of all or a subset of the circuit layers of a VSI IC for implementing an external connection for access to yield management circuitry. The VSI IC can be implemented with a combination of fine grain vertical interconnections some of which are common to each circuit layer and unique to each circuit layer to enable or disable individual circuit elements, Circuit Blocks, various groupings of same or whole circuit layers through voltage supply connections.
  • Additionally, in accordance with the VSI invention, the fine grain vertical interconnections are used to make connections that test, permanently or temporarily program, configure, reconfigure, repair, dynamically reconfigure, dynamically enable or disable, and power individual or groups of circuit elements or Circuit Blocks of a circuit layer or whole circuit layers from one or more controller circuits on a separate circuit layer or layers internal to a VSI IC, external to a VSI IC or a combination of both. The VSI fine grain vertical interconnections are further used as a means to establish customized connections between circuit elements and Circuit Blocks on different circuit layers. The VSI fine grain vertical interconnections are further used as a means to establish standardized physical circuit layout placement of inter circuit layer I/O contacts on the surfaces of circuit layers for the interchange of circuit layers with the same or different functions from a preexisting inventory of circuit layers. The VSI fine grain vertical interconnections further are used for the functional implementation of arbitrarily wide high performance communication protocols, control and data information transfers, permanent or temporary programming of control and data information between separate circuit layers internal to a VSI IC. The VSI fine grain vertical interconnections are further used as a means to establish physical interconnections for functional communication solely between any single circuit layer or a group of circuit layers and circuitry external to a VSI IC. The VSI fine grain vertical interconnections are further used as a means to establish through circuit design, in addition to the established means of fabrication process control, a reasonable expectation of the percentage yield of a wafer of VSI IC circuits independent of the size in planar circuit area of the VSI IC.
  • Yield management circuitry is circuitry specific to the enhancement of the correct operation of a VSI IC or the yield of a wafer of VSI IC circuits. The intention of this circuitry is to enable or disable, permanently or temporarily, one or more circuit elements or Circuit Blocks of a circuit layer or layers, or one or more whole circuit layers of a VSI IC circuit. This circuitry may or may not have circuit test capability. This circuitry may be low in complexity such as a circuit for switching signals from external circuitry for enabling or disabling various combinations of circuit elements, Circuit Blocks or circuit layers via pass transistors, fuses or anti-fuses as examples.
  • In accordance with the VSI invention a yield enhancement can be achieved through circuit structure redundancy or sparing. The implementation of redundant or spare circuitry increases the requirement for horizontal interconnections on a planar circuit above existing interconnection requirements. This in and of itself makes most implementations of yield improvement through redundancy in planar circuits physically impractical. However, there is also additional design complexity. The VSI invention implements yield enhancement through redundant or spare circuit structures placing these structures adjacent each other on the same circuit layer or on separate circuit layers and enabling or disabling them by control circuitry placed on a separate circuit layer and interconnected by fine grain vertical interconnections. The placement of the circuit spares and control circuitry on separate circuit layers eliminates the need to use additional layers of interconnects and reduces design complexity by providing a standardized interconnection means. In this embodiment of the VSI invention, high degrees of redundancy can be implemented without increased layers of interconnection or design complexity.
  • There is no more important circuit cost parameter than IC yield, even if the cost of fabricating circuit wafers were not significant, a near zero yield still results in a high cost per unit IC before cost considerations for IC packaging testing. IC yield is widely understood to be the percentage of fully working finished circuits from a wafer divided by the total number of die available on the wafer. There are only two ways to make a working IC, one is to fabricate without defect [perfect], and the second, is to “fix” the defective IC. The making of perfect ICs is the preferred goal, rapidly evolving IC fabrication technology makes this an ever challenging goal. However, the smaller the die size [and the more mature the fabrication process] the higher the probability for perfect circuits [1 cm2 seems to be one of those time worn constants of the human universe, once you go beyond it, IC yield drops at a near exponential rate]. This can be seen most clearly in the manufacture of planar DRAMs. High volume production of a DRAM does not begin until the size of the die is less than 90-100 mm2; however, a significant portion of planar DRAM circuits still require defect repair through sparing [redundant substitution] of the defective portion of the DRAM circuit.
  • If the more silicon used then the lower the circuit yield, what advantage is the VSI invention even if the physical circuit is spread over several layers versus one large planar circuit? The answer is in the novel circuit design architecture enabled by the VSI high density vertical interconnect and small die size. PLD and memory circuits are very regular in their organization and when stacked and organized about a single control layer, naturally lend themselves to defect isolation and circuit reconfiguration to avoid defects. The VSI high density vertical interconnect enables the communications between VSI circuit layers without requiring additional horizontal interconnect metallization layers per VSI circuit layer; fewer planar metallization interconnect layers enhance circuit yield and lower its fabrication cost. With this design method, one VSI circuit layer becomes the Achilles Heel for defects for the whole circuit, so the yield of a 400 mm2 circuit made from ten VSI circuit layers is determined by a single 40 mm2 “control” layer; taking this one step further, making the control layer redundant will boost circuit yield.
  • The VSI invention for yield enhancement is through the novel application of its high density vertical bus interconnection, and smaller die size with increased circuit density due to shrinking fabrication geometries. Yields of large defect free planar ICs are low to zero with leading edge processes. The yield of large planar ICs is achieved through the use of redundant circuit blocks. This is typical of highly regular circuits like DRAMs, Flash, and PLDs, where such circuits are physically repaired after fabrication by replacing [reconfiguring] defective circuit blocks with redundant or spare circuit blocks by physically modifying the circuit wiring. The VSI vertical bus is effectively “free”. This means that the amount of surface area required for a high density vertical bus for as many as 10,000 interconnections is very small and no additional planar interconnect layers are required; even when the vertical buses are fully redundant. This is important because logic chips like μPs are presently approaching 9 and 10 layers of horizontal metal interconnect which leaves little room for horizontal reconfiguration interconnections and buses. Secondly, multiple copies of processing logic blocks [parallelism] are required to provide greater circuit performance, and due to shrinking circuit geometries these logic blocks take less and less area. So, remembering silicon is cheap and one or more copies of a complete logic function will fit on a VSI circuit layer, vertical reconfiguration buses enable a simple design method for VSI circuit repair that is similar in its efficiency to what has been implemented in planar memory ICs. The VSI repair method is dynamic and logical [not static and physical as in planar circuits] reconfiguration of failed circuit logic blocks by reconfiguring or disabling the interconnections to failed circuit blocks through vertical bus connections. This means that 100% circuit redundancy is not necessary and that if a defect develops during the useful life of a circuit, it may be able to reconfigure [repair] itself or achieve a degree of fault tolerant capability.
  • The VSI invention provides the capability for wafer to wafer alignment that scales with the reducing geometry of the horizontal interconnections of planar semiconductor fabrication processes. Presently available wafer to wafer alignment technology intended for the pre-bonding of wafers supports the use of fine grain vertical interconnection minimum pitch of 2-3 μm which is 8 to 12 times greater than the minimum horizontal interconnect pitch of present state of the art semiconductor processes which have a minimum feature size 150 nm [0.15 μm]. FIG. 8 shows horizontal 81 a, 81 b and 81 c and vertical 82 a, 82 b and 83 interconnections with equal spacing pitch. In order for fine grain vertical interconnect to most efficiently integrate with horizontal interconnection processes the vertical interconnection pitch should be approximately equal to or less than the pitch of the last horizontal metal interconnection layer as shown in FIG. 8; the last horizontal interconnection layer may or may not be the VSI bond layer. A similar or compatible scaling of fine grain vertical interconnections enables the efficiency and capacity of VSI IC integration. The fine grain vertical pitch capability of the of the VSI invention can scale with anticipated geometry reductions of horizontal interconnections to a minimum feature size of less than 100 nm and less than 50 nm due to the enabling capability of the VSI wafer and substrate alignment method described herein. The VSI IC fine grain vertical interconnections have the novel and unique advantage of being able to support higher current flows that may be required when stacking such circuitry as multiple high operating frequency microprocessors or analog circuitry. This advantage results from the ability of VSI IC fine grain vertical interconnections 83 to be formed with arbitrarily high cross section aspect ratios in a range such as from 4:1 and exceeding 80:1, and thereby creating a vertical wire between circuit layers with a cross-sectional area large enough to carry more than 100 times the current of horizontal signal wires or approximately the current carried by present 25 μm diameter packaging bond wires. The methods used for making fine grain vertical interconnections with high cross section aspect ratios are the same as those used for making vias on planar ICs.
  • The VSI invention's capability to achieve wafer to wafer alignment fine grain vertical interconnection contacts of less than 50 to 100 nm pitch, enables a reduction in the number horizontal interconnection layers typically required for global or cross die interconnections. The VSI IC integration density is further increased when fabricated with SOI wafers or wafers with a buried etch stop layer or wafers or substrates made from two or more distinct materials such as Silicon on glass or GaAs on Silicon. This increase in integration results from thinner remaining semiconductor substrate enabling smaller vertical interconnection pitch through the remaining substrate.
  • The VSI IC integration uniquely enables or enhances the fabrication of a wide range of electronic and MEMS products. The principle results of applying the VSI IC circuit development and manufacturing methods are:
      • 1. Reduced product design or architecture complexity,
      • 2. Reduced product development complexity,
      • 3. Increased technology, process and IP integration,
      • 4. Reduced manufacturing tooling,
      • 5. Reduced net circuit power dissipation,
      • 6. Higher circuit yields, and
      • 7. Higher overall circuit performance.
  • VSI IC integration enables the structuring of various circuit function types as one or more circuit layers that can subsequently and arbitrarily be integrated into a final VSI component product. These circuit function types are presently implemented as separate planar circuits. The VSI IC capability to Closely Couple any and all of the numerous wide ranging circuit function types and MEMS devices results in the same operational benefits of an as if planar circuit and or MEMS integration. A partial listing of circuit function or MEMS device types that can be integrated into a VSI IC consists of CAMs [Content Addressable Memories], network specific processing functions, DRAMs, flash, non-volatile memories, DSPs, microprocessors, PLDs, CPLDs, FPGAs, Serdes [serializer deserializer], CCD or CMOS video imaging sensor arrays, and MEMS devices such as DMDs [Digital Micromirror Devices], antennas, micro-gryos, inkjet nozzle arrays, free air inductors, micro switches, IC probes, accelerometers or microphones.
  • Additional aspects of the invention include:
      • 1. An integrated circuit layer optimized for vertical system integration, comprising at least one vertical bus standardized with respect to at least one other completed physical IC design or fabricated IC.
      • 2. A full-chip integrated circuit design optimized for vertical system integration, comprising at least one vertical bus standardized with respect to at least one other completed physical IC design or fabricated IC.
      • 3. A stacked integrated circuit having at least partial logic circuit redundancy between different layers.
      • 4. A stacked integrated circuit comprising at least three layers, each of the three layers being either a memory layer or a PLD or FPGA circuit layer.
      • 5. The apparatus of Claim 4, comprising a plurality of memory layers and a plurality of PLD or FPGA circuit layers.
      • 6. A method of information processing using an array of processor modules, comprising:
        • providing each wireless processor with wireless interconnection capability; and
        • each processor module using wireless interconnection for the transmission of information or data.
      • 7. The method of Claim 6, wherein at least one of the processor modules comprises a stacked integrated circuit.
      • 8. The method of Claim 7, wherein at least one wireless interconnection path is an adaptive antenna path.
      • 9. The method of Claim 7, wherein the processor modules are less than three cubic inches in volume.
      • 10. The method of Claim 7, wherein wireless transmission paths are formed between processor modules on demand.
      • 11. The method of Claim 7, wherein a processor modules has multiple concurrent wireless interconnections.
      • 12. The method of Claim 7, wherein at least some of the interconnection paths are programmably reconfigured through cooperation of two or more processor modules.
      • 13. The method of Claim 7, comprising providing means for establishing interconnections paths between each of a plurality of processor modules and every other processing modules in the array.
      • 14. A computational system comprising an array of processor modules which use wireless interconnections for the transmission of information or data.
      • 15. Internet Protocol switching equipment comprising an array of processors wherein routing of IP messages between processors is performed with wireless transmission.
      • 16. A computational system comprising an array of parallel processors interconnected with wireless interconnections.
      • 17. A computational system comprising multiple processors and means for establishing a point-to-point transmission path between any two processors.
      • 18. A computational system comprising multiple processors and means for establishing interconnection paths between the processors, further comprising means for programmably reconfiguring a plurality of the interconnection paths on demand.
      • 19. A method of making a stacked ASIC comprising:
        • for at least one layer of the stacked ASIC, using a completed physical IC design or fabricated IC designed prior to design of the stacked ASIC.
      • 20. The method of Claim 19, wherein the completed physical IC design or fabricated IC is selected from a standard library of circuit layers.
      • 21. The method of Claim 20, wherein the standard library of circuit layers includes PLD or FPGA circuitry.
      • 22. The method of Claim 21, wherein the completed physical IC design or fabricated IC includes PLD or FPGA circuitry.
      • 23. The method of Claim 19, comprising:
        • for at least one other layer of the stacked ASIC, designing a custom design at the time of design of the stacked ASIC.
      • 24. A method of using a stacked ASIC having at least one layer of PLD or FPGA circuitry and at least one layer of memory, comprising programming a PLD or FPGA layer from a memory layer.
      • 25. The method of Claim 24, the stacked ASIC has at least one layer having fixed logic functions.
      • 26. The method of Claim 25, wherein the layer having fixed logic functions is a microprocessor.
      • 27. A method of reducing signal path skew from a planar circuit, comprising stacking portions of the planar circuit to form a stacked IC, thereby shortening the skewed signal path length.
      • 28. A stacked IC forming its own package, comprising a plurality of circuit layers bonded together such that no circuitry of a circuit layer of the IC is external to the IC.
      • 29. A method of making a stacked IC, comprising bonding together a plurality of circuit layers such that no circuitry of a circuit layer of the IC is external to the IC, whereby the stacked IC forms its own package.
      • 30. A method of making a stacked IC, comprising:
        • forming a first circuit layer;
        • thinning the first circuit layer;
        • performing back-side processing of the first circuit layer to relocate at least one a vertical interconnect from a first previously-determined location to a second different location; and
        • bonding the first circuit layer to a second circuit layer.
      • 31. A method of using a stacked IC having a plurality of circuit layers, comprising using control circuitry on one or more of the circuit layers to enable or disable the use of at least a portion of another circuit layer.
      • 32. A method of controlling the power dissipation of a stacked IC having a plurality of circuit layers comprising, during operation of the stacked IC, removing power to one or more of the circuit layers such that they have insufficient power to operate.
      • 33. A method of fabricating a stacked IC having a plurality of circuit layers, comprising:
        • bonding the circuit layers using an inorganic thermal diffusion bonding process;
        • thinning at least one circuit layer from the backside thereof; and
        • on the backside of the at least one circuit layer, fabricating at least one of active circuit devices, passive circuit devices and horizontal interconnections.
      • 34. A method of fabricating a stacked IC comprising:
        • stacking a plurality of ICs to form a stacked IC having substantially the same functionality as a plurality of planar ICs, the planar ICs having a total of N external I/O connects;
        • wherein the stacked IC has substantially fewer than N external I/O connects.
      • 35. A method of information processing using a stacked IC having a plurality of circuit device layers, wherein operation of at least one circuit device layer requires that at least one other circuit layer be present.
      • 36. The method of Claim 35, wherein at least one of the circuit device layers comprises electronic circuitry.
      • 37. The method of Claim 35, wherein at least one of the circuit device layers comprises optical circuitry.
      • 38. The method of Claim 35, wherein at least one of the circuit device layers comprises a MEMS device.
      • 39. An integrated circuit comprising:
        • a supporting substrate;
        • at least one thinned IC bonded face down on the supporting substrate; and
        • at least one external I/O contact formed on the backside of the thinned IC.
      • 40. The apparatus of Claim 39, wherein the supporting substrate is a heat sink.
      • 41. A method of making a circuit layer of a stacked IC, wherein the circuit layer is fabricated using a substrate having a plurality of barrier layers formed therein.
      • 42. An integrated circuit die having a plurality of circuit layers with circuitry thereon, wherein the surface area of the circuit of the circuit layers is substantially greater than the surface area of the integrated circuit die.
      • 43. A stacked IC having a plurality of circuit layers wherein IC device fabrication is performed on the backside of one or more circuit layers.
      • 44. The apparatus of Claim 43, wherein the IC devices comprise at least one of the following: transistors, memory cells, resistors, capacitors, inductors, radio frequency antennas and horizontal interconnections.
      • 45. The apparatus of Claim 43, wherein the IC devices comprise at least two of the following: transistors, memory cells, resistors, capacitors, inductors, radio frequency antennas and horizontal interconnections.
      • 46. The apparatus of Claim 43, wherein the IC devices comprise all of the following: transistors, memory cells, resistors, capacitors, inductors, radio frequency antennas and horizontal interconnections.
      • 47. A stacked IC having a plurality of circuit layers including at least two of the following: electronic, optical and MEMS circuit layers.
      • 48. Method of making a stacked IC having a plurality of circuit layers without fabrication of a circuit layer specific to the function of the particular stacked IC.
      • 49. Method of making a stacked IC having a plurality of circuit layers where fewer than all of the circuit layers are fabricated for the specific function of the particular stacked IC.
      • 50. A IC device wherein the die size is less than the area of the total circuitry of the integrated circuit device.
      • 51. A stacked IC having a plurality of circuit layers wherein horizontal IC interconnection fabrication is performed on the backside of one or more the circuit layers.
      • 52. A stacked IC having a plurality of circuit layers wherein one or more the circuit layers is thinned by removing the substrate to a barrier layer comprising a thin dielectric layer.
      • 53. The apparatus of Claim 52, wherein the thin dielectric layer thickness is less than 100 Å.
      • 54. The apparatus of Claim 52, wherein the thin dielectric layer thickness is less than 200 Å.
      • 55. The apparatus of Claim 52, wherein the thin dielectric layer thickness is in a range of 50 Å to 500 Å.
      • 56. A stacked IC having a plurality of circuit layers wherein a dielectric layer is deposited on the backside of one or more the circuit layers to enhance electrical isolation of an underlying semiconductor device layer.
      • 57. A stacked IC having a plurality of circuit layers wherein IC device fabrication is performed on the backside of one or more circuit layers to complete the fabrication of IC devices partially formed on the front side of the one or more circuit layers.
      • 58. A stacked IC having a plurality of circuit layers wherein IC fabrication is performed on the backside of one or more the circuit layers to fabricate one or more layer memory layers.
      • 59. The apparatus of Claim 58, wherein the memory layers consist of at least one of MRAM, PRAM, ferroelectric or dendritic memory.
      • 60. A stacked IC having a plurality of circuit layers wherein the use of vertical interconnections replace one or more of the following: IC packages, IC sockets, PCBs and PCB edge connectors.
      • 61. A stacked IC having a plurality of circuit layers wherein the circuit layers are bonded using bonding layers made from two or more metal films one of which has a lower melting point and which will diffuse with an immediately adjacent film.
      • 62. The apparatus of Claim 61, wherein bonding layers form a diffused metal film having a higher melting point than the lower melting point metal film.
      • 63. A method of bonding IC substrates using at least two metal films with different melting points on each surface of the substrates to be bonded wherein during or after bonding of the substrates the metal films diffuse to form one metal film with a melting point that is higher than the lower melting point of the original two metal films.
      • 64. A stacked IC having a plurality of circuit layers bonded using one or more bonding layers made from Sn and Al films wherein the Sn film prevents the formation of Al oxide on the Al film and diffuses into the Al film when bonded to another circuit layer.
      • 65. A method of making a stacked IC having a plurality of circuit layers comprising:
        • making design changes to a circuit layer;
        • causing the circuit layer to be fabricated; and
        • stacking the circuit layer together with a plurality of other previously-fabricated circuit layers;
        • wherein the design changes to the circuit layer do not require the other circuit layers be fabricated again.
      • 66. A stacked IC having a plurality of PLD or FPGA circuit layers wherein there is a greater amount of stacked PLD or FPGA circuitry than can be fabricated as one planar PLD or FPGA circuit.
      • 67. A stacked IC having a plurality of circuit layers wherein there is a greater amount of stacked circuitry than can be fabricated as one planar circuit.
      • 68. A stacked IC having a plurality of circuit layers wherein one or more groups of vertical interconnections have standard placement for coupling with such groups of vertical interconnections formed on adjacent circuit layers.
      • 69. A method of making an IC wherein the die size of the IC is not determined by an amount of circuitry comprising the IC.
      • 70. A method of making a stacked IC that has reduced die size and hence reduced power dissipation as compared to an equivalent-function planar IC.
      • 71. A stacked IC having a plurality of circuit layers wherein at least one of the circuit layers is a programmable tester for testing of the stacked IC during burn-in or during its useful life.
      • 72. A stacked IC having a plurality of circuit layers wherein all surfaces of the circuit layers with circuitry thereon are internal to the stacked IC whereby the stacked IC is its own packaging.
      • 73. The apparatus of Claim 72, wherein the bonding layers of all of the circuit layers form hermetic seals.
      • 74. A method of making a stacked IC having a plurality of circuit layers wherein vertical interconnection of circuitry is used to reduce the number of horizontal interconnection layers on one or more of the circuit layers.
      • 75. A method of increasing the I/O bandwidth between separate ICs by stacking the ICs to allow the number of interconnections between the ICs and the transfer rate of each interconnection to be increased without an increase in dedicated I/O circuitry.
      • 76. A stacked IC having a plurality of circuit layers wherein two or more circuit layers have similar functioning circuitry in a vertical overlapping position.
      • 77. A stacked IC having a plurality of circuit layers wherein the yield of the stacked IC is primarily determined by the yield of specific circuit layers designed specifically for a plurality of circuit layers of the particular stacked IC, and wherein the specific circuit layers are half or less of the number circuit layers of the stacked IC.
      • 78. A stacked IC having a plurality of circuit layers wherein the circuitry on the circuit layers is reconfigurable by the use of vertical interconnections between the circuit layers.
      • 79. A stacked IC having a plurality of circuit layers wherein one or more vertical interconnections between the circuit layers have cross-sectional areas that are at least two times larger than any horizontal signal interconnection.
      • 80. A stacked IC having a plurality of circuit layers wherein some of the circuit layers are not designed specifically for the particular stacked IC.
      • 81. A stacked IC having a plurality of circuit layers wherein an application-specific function of the stacked IC is derived from the choice and quantity of circuit layers from previously-fabricated, non-application-specific circuit layers.
      • 82. A stacked IC having a plurality of circuit layers wherein one or more of the circuit layers have portions of horizontal interconnections that are free-standing.
      • 83. A stacked IC having a plurality of circuit layers wherein one or more of the circuit layers have portions of horizontal interconnections that are without the mechanical support of a dielectric.
      • 84. A method of fabricating a circuit assembly comprising aligning and bonding a plurality of dice face down to the substrate, depositing one or more layers of dielectric material over the substrate and dice, and thinning a majority of the substrate of the dice so that a finished thickness of the dice and dielectric material between the dice are approximately equal.
      • 85. The method of Claim 84, wherein the thickness of the dielectric material deposited is approximately the final thickness that the dice are to be thinned to.
      • 86. A stacked IC having a plurality of circuit layers wherein one or more of the circuit layers can be reused in at least one other stacked IC without requiring the redesign of the one or more circuit layers.
      • 87. A stacked IC having a plurality of circuit layers wherein interconnections of circuitry on at least one of the circuit layers are changed by interconnections on the backside of the circuit layer.
      • 88. A method of making a stacked IC having a plurality of circuit layers wherein the stacked IC is made through the use of a stacked IC platform including reusable circuit layer designs.
      • 89. A method of processing both sides of an IC substrate having a frontside and a backside wherein the IC substrate is bonded face down onto a second substrate and the backside of the IC substrate is thinned to a thickness that permits conventional IC fabrication processing, comprising forming interconnections between circuitry on the frontside of the IC substrate with the circuitry formed on the backside of the IC substrate.
      • 90. A stacked IC having a plurality of circuit layers wherein the yield of the stacked IC is determined by the yield of each of the plurality of circuit layers.
      • 91. The apparatus of Claim 90, wherein the plurality of circuit layers each have different yields.
      • 92. A stacked IC having a plurality of circuit layers wherein the yield of one or more of the circuit layers is determined at least in part by the yield of one or more fully redundant circuit layers of the plurality of circuit layers of the stacked IC.
      • 93. The apparatus of Claim 92, wherein the yield of the fully redundant circuit layer is determined by the yield of two or more sub-portions of the fully redundant circuit layer.
      • 94. A stacked IC having a plurality of circuit layers wherein one or more transistor gates of at least one circuit layer is back biased by forming a contact on the backside of the at least one circuit layer opposite the one or more transistor gates.
      • 95. A stacked IC having a plurality of circuit layers wherein the yield of the stacked IC is increased by the addition of one or more redundant circuit layers of one of the plurality of circuit layers of the stacked IC and wherein the redundant circuit layers are immediately adjacent and overlapping.
      • 96. A stacked IC having a plurality of circuit layers wherein I/O drivers for the stacked IC are physically on a separate circuit layer or on the backside of one of the circuit layers.
      • 97. A stacked IC having a plurality of circuit layers wherein in one of the circuit layers the dielectric has been predominately removed leaving free-standing metal horizontal and vertical interconnections.
      • 98. A stacked IC having a plurality of circuit layers wherein one of the circuit layers contains a predominately free-standing Rf antenna.
      • 99. A stacked IC having a plurality of circuit layers wherein one of the circuit layers comprises a MEMS device.
      • 100. The apparatus of Claim 99, wherein the first circuit layer is a MEMS device.
      • 101. The apparatus of Claim 99, wherein the MEMS device is fabricated as part of a circuit layer after bonding of the circuit layer to another circuit layer.
      • 102. A stacked IC having a plurality of circuit layers wherein one optical circuit layer couples signals into an electronic circuit layer.
      • 103. A stacked IC having a plurality of circuit layers wherein one electronic circuit layer couples signals into an optical circuit layer.
      • 104. A stacked IC having a plurality of circuit layers wherein one of the circuit layer is a generically programmable automatic testing circuit layer for testing one or more of the circuit layers of the stacked IC.
      • 105. A method of enhancing the yield of a stacked IC having a plurality comprising simultaneously increasing the number of circuit layers and reducing the die size of the circuit layers.
      • 106. A method of enhancing the yield of a stacked IC diced from a stacked IC substrate having a plurality of circuit layers comprising simultaneously reducing the die size of the circuit layers and increasing the number of stacked ICs diced from the stacked IC substrate.
      • 107. A method of enhancing the yield of a stacked IC having a plurality of circuit layers comprising using one circuit layer with less processing complexity than the other circuit layers.
      • 108. A method of enhancing the yield of a stacked IC having a plurality of circuit layers comprising separating at least one circuit layer into two or more circuit layers with less processing complexity.
      • 109. A stacked IC having a plurality of circuit layers wherein operation of the stacked IC is unchanged when one or more circuit layers incorporate and use redundant circuitry.
      • 110. A stacked IC having a plurality of circuit layers with redundant circuits wherein an interconnection wire length between redundant circuits is approximately equal to or less than a thickness of the stacked IC.
      • 111. A stacked IC having a plurality of circuit layers including wireless circuitry for creating multiple data transmission paths between a plurality of stacked ICs, comprising means for changing a number of wireless data transmission paths between any two stacked ICs as needed.
      • 112. A stacked IC having a plurality of circuit layers including a plurality of wireless circuits wherein the wireless circuits are used to create a plurality of data transmission paths.
      • 113. An array of processing modules each including wireless circuitry wherein the wireless circuitry can create at least one point-to-point data transmission path between each of a majority of the processing modules of the array.
      • 114. Internet Protocol router equipment comprising a plurality of processing modules having a plurality of wireless communication circuits wherein the router data processing is primarily performed by the processing modules having wireless communication circuits.
      • 115. Data processing equipment comprising a plurality of processing modules having a plurality wireless communication circuits wherein the data processing is primarily performed by the processing modules having wireless communication circuits.
      • 116. Data processing equipment comprising a plurality of processing modules having a plurality of wireless communication circuits wherein the wireless communication circuits of the processing modules are used for at least one of: 1) recovering from a failure of the wireless communication circuits in one of processing modules and 2) recovering from a failure of one of the wireless communication circuits in one of the processing modules to provide a required rate of data transmission.
      • 117. A stacked IC having a plurality of circuit layers wherein an area of the circuit layers is greater than a planar circuit having an area of 800 mm2.
      • 118. A PD MOS transistor having a bias electrode formed opposite the transistor channel of a fabricated transistor, on an underside of the fabricated transistor.
      • 119. A dual gate transistor wherein the gates are adjacent V-groove structures formed from opposite sides of the transistor body.
      • 120. A method of reducing the substrate leakage of a MOS transistor comprising providing a dielectric block under a gate of the MOS transistor.
      • 121. A method of reducing the substrate leakage of a MOS transistor comprising providing a V-groove shaped dielectric block under a gate of the MOS transistor.
      • 122. A method of reducing the substrate leakage of a MOS transistor comprising providing a V-groove gate under a gate of the MOS transistor.
      • 123. A method of reducing the substrate leakage of a MOS transistor comprising providing a block shaped gate under a gate of the MOS transistor.
      • 124. A memory cell comprising one transistor and one capacitor wherein the capacitor is fabricated on a backside of the transistor after the transistor is fabricated and after a majority of the substrate of the transistor has been removed.
      • 125. A memory cell comprising at least one transistor and a contact coupled to the transistor from a backside of the transistor.
      • 126. A MOS transistor comprising a floating gate under a gate of the transistor, the floating gate being formed from the backside of the transistor.
      • 127. A non-volatile EEPROM memory cell comprising two floating gates positioned vertically with respect to each other.
      • 128. A non-volatile EEPROM memory cell comprising two opposed gates positioned vertically wherein the one of the gates enables a charge level of the EEPROM memory cell to be changed during use of the EEPROM memory cell.
      • 129. A quad gate transistor comprising a vertical transistor body.
      • 130. A memory cell comprising a single vertical transistor and a quad gate.
      • 131. A stacked IC comprising a plurality of circuit layers having redundant circuitry wherein the stacked IC will function if two or more circuit layers having redundant circuitry are used.
      • 132. A method of making a stacked IC having a plurality of circuit layers, wherein the circuit layers are provided from an inventory of substrates with completed circuitry thereon.
      • 133. A method of performing image positioning and demagnification of a lithographic on a substrate wherein the image is adjusted based on temperature of the substrate.
      • 134. A method of aligning two substrates or wafers having circuitry thereon for bonding, wherein an opening is made from a backside of one of the substrates or wafers to be bonded to expose an alignment mark, thereby allowing the use of optical alignment methods.
      • 135. A method of aligning two substrates or wafers with circuitry thereon for bonding, wherein an opening is made from a backside forming an opening on the front side of one of the substrates or wafers to be bonded exposing an alignment mark, and using an atomic force microscope to access alignment marks on both substrates or wafers at the same time.
      • 136. An apparatus for full wafer test and burn-in wherein circuitry for performing full wafer test and burn-in comprises a stack of thinned circuit wafers.
      • 137. A stacked IC having a plurality of circuit layers including memory control logic and memory circuit layers wherein at least one circuit layer has memory control logic circuitry enabling reconfiguration of the memory circuit layers of the stacked IC.
      • 138. A stacked IC having a plurality of circuit layers including memory control logic and memory circuit layers wherein at least one circuit layer has memory control logic circuitry enabling the use of a variable amount of the memory of the stacked IC.
      • 139. A credit-card-shaped enclosure comprising one or more stacked ICs having a processing capacity equivalent to a typical desktop computer.
      • 140. A credit-card-shaped enclosure comprising one or more stacked ICs having general purpose processing capacity for use with a plurality of peripheral equipment.
      • 141. A method of controlling a plurality of peripheral equipment using the same computer control and storage electronics assembled in a card package which are inserted into the peripheral equipment for controlling same when needed.
      • 142. A method of packaging software wherein the software is enclosed in a portable electronic card capable of executing the software at a desired speed.
      • 143. A sensing device with sensor devices separated and overlying processing electronics of the sensor devices.
      • 144. A stacked programmable logic device having a plurality of circuit layers wherein there is at least one each of a programmable gate circuit layer, a routing circuit layer and a memory circuit layer.
      • 145. A stacked programmable logic device having a plurality of circuit layers wherein there is at least one circuit layer comprising automatic test electronics.
      • 146. A method of increasing programmable logic capacity of a stacked programmable logic device having at least one of a programmable gate circuit layer and a memory circuit layer, comprising adding to the stacked programmable logic device one or more programmable gate circuit layers or memory circuit layers.
      • 147. A stacked IC having a plurality of circuit layers with processors thereon wherein a non-blocking cross bar interconnects the processors and is integrated onto the circuit layers of the stacked IC.
      • 148. A stacked IC having a plurality of circuit layers wherein one or more of the circuit layers comprises analog circuitry elements and one or more of the circuit layers comprises reconfigurable circuitry which can be programmed to interconnect the analog circuitry elements to circuitry on one or more of the circuit layers of the stacked IC.
      • 149. A stacked IC having a plurality of circuit layers wherein one or more of the circuit layers comprises passive circuitry elements and one or more of the circuit layers comprises reconfigurable circuitry which can be programmed to interconnect the passive circuitry elements to circuitry on one or more of the circuit layers of the stacked IC.
    BRIEF DESCRIPTION OF DRAWINGS
  • The present invention may be further understood from the following description in conjunction with the appended drawings. In the drawing:
  • FIG. 1 is a cross section of horizontal interconnections of a planar IC.
  • FIG. 2A is a cross section of a two layer VSI IC.
  • FIG. 2B is a cross section of a three layer VSI IC.
  • FIG. 2C is top view of predefined or standardized placement of vertical interconnection blocks on a VSI circuit layer.
  • FIG. 3 is a pictorial view of a VSI Application Specific IC with Component MEMS device, CMOS, bipolar and optical circuit layers.
  • FIG. 4 is a pictorial view of a VSI Non-application Specific IC or Component and Function Layer Groups.
  • FIG. 5 is a pictorial view of a VSI IC or Component and Application Specific and Non-application Specific Function Layer Groups.
  • FIG. 6 is a cross section of a VSI IC with test and yield enhancement circuit layers.
  • FIG. 7A is a cross section of a VSI IC with MEMS and low-K dielectric cavities.
  • FIG. 7B is a cross section of a VSI IC with VSI die bonded onto a VSI IC.
  • FIG. 7C is a top view of individual vertical interconnection contacts patterned into a bonding layer.
  • FIG. 7D is a cross section of a VSI wafer stack during fabrication of a bonded die assembly circuit layer.
  • FIG. 7E is a cross section of a VSI wafer stack during fabrication of a bonded die assembly circuit layer.
  • FIG. 7F is a cross section of a VSI wafer stack of a completed bonded die assembly circuit layer as the first VSI circuit layer.
  • FIG. 8 is a layout view of horizontal and vertical interconnections with equal pitch spacing.
  • FIG. 9 is a cross section of a VSI double layer SOI substrate.
  • FIG. 10 is a cross section of a VSI IC mounted on a support substrate with optical fiber interconnections.
  • FIG. 11A is a cross section of a VSI IC with use of fine grain vertical interconnection redundancy.
  • FIG. 11B is a cross section of placement of redundant circuitry on a planar IC.
  • FIG. 11C is a cross section of placement of redundant circuitry in a VSI IC.
  • FIG. 11D is a pictorial view of a VSI Wireless Interconnect Multiprocessor Computing System.
  • FIG. 11E is a pictorial view of a VSI Wireless Interconnect multiprocessor Computing System.
  • FIG. 11F is a pictorial view of a VSI Wireless Interconnect IP Router and Switching System.
  • FIG. 11G is a pictorial view of a VSI Wireless Interconnect Three Dimensional Super Computer System.
  • FIG. 12 is a cross section of a dual gate V-groove MOS transistor.
  • FIG. 13 is a cross section of a dual gate V-groove MOS transistor.
  • FIG. 14 is a cross section of prior art SOI MOS transistor in a VSI circuit layer.
  • FIG. 15A is a cross section of prior art MOS transistor showing substrate leakage path.
  • FIG. 15B is a cross section of prior art SOI MOS transistor.
  • FIG. 15C is a cross section of a VSI SOI transistor with SLB.
  • FIG. 15D is a cross section of a VSI SOI transistor leakage path trench.
  • FIG. 15E is a cross section of a partially formed VSI double gate MOS transistor.
  • FIG. 15F is a cross section of a VSI double gate sub-optical MOS transistor.
  • FIG. 15G is a cross section of a VSI double contact source drain MOS transistor.
  • FIG. 16A is a cross section of a prior art PD SOI MOS transistor.
  • FIG. 16B is a cross section of a prior art PD SOI MOS transistor with VSI substrate leakage barrier.
  • FIG. 16C is a cross section of a VSI double gate PD MOS transistor with SLB.
  • FIG. 17A is a cross section of a partially completed VSI MOS transistor.
  • FIG. 17B is a cross section of a VSI MOS transistor.
  • FIG. 18A is a cross section of a VSI 1T1C low substrate leakage cell.
  • FIG. 18B is a cross section of a VSI 1T DRAM cell.
  • FIG. 19A is a cross section of a dual function transistor EEPROM device.
  • FIG. 19B is a cross section of a dual function transistor EEPROM device.
  • FIG. 19C is a cross section of a dual gate EEPROM device.
  • FIG. 19D is a cross section of a dual gate EEPROM device.
  • FIG. 19E is a top view of a VSI dual function circuit device.
  • FIG. 19E-AA is a cross section of a VSI dual function circuit device.
  • FIG. 19E-BB is a cross section of a VSI dual function circuit device.
  • FIG. 19F1 is a cross section of a Quad-Gate transistor fabrication step.
  • FIG. 19F2 is a cross section of a Quad-Gate transistor fabrication step.
  • FIG. 19F3 is a cross section of a Quad-Gate transistor fabrication step.
  • FIG. 19F4 is a cross section of a Quad-Gate transistor fabrication step.
  • FIG. 19F5 is a cross section of a Quad-Gate transistor.
  • FIG. 19G1 is a cross section of a Quad-Gate transistor fabrication step.
  • FIG. 19G2 is a cross section of a Quad-Gate transistor fabrication step.
  • FIG. 19G3 is a cross section of a Quad-Gate transistor fabrication step.
  • FIG. 19G4 is a cross section of a Quad-Gate transistor fabrication step.
  • FIG. 19G5 is a cross section of a Quad-Gate transistor.
  • FIG. 19H is a cross section of a Quad-Gate 1T Memory Cell.
  • FIG. 20A through 20F are cross sections of a VSI EEPROM emitter fabrication sequence.
  • FIG. 21A is a cross section of a VSI optical substrate alignment method.
  • FIG. 21B is a cross section of a VSI optical substrate alignment method.
  • FIG. 21C is a cross section of a VSI optical substrate alignment method.
  • FIG. 21D is a cross section of a VSI optical split field substrate alignment method.
  • FIG. 22A is a top view of overlapping alignment marks.
  • FIG. 22B is a cross section of a VSI substrate alignment method with a AFM probe.
  • FIG. 23A is a cross section of VSI ATE system circuitry.
  • FIG. 23B is a cross section of VSI ATE system circuitry mounted on a support substrate.
  • FIG. 23C is a cross section of VSI ATE system circuitry mounted on a support substrate.
  • FIG. 24A is a planar circuit layout of a reconfigurable memory.
  • FIG. 24B is a sequence of reconfigurable memory layers.
  • FIG. 24C is reconfigurable memory circuit layer layout of memory array blocks.
  • FIG. 24D is a layout showing VSI configurable memory gate line router and sparing.
  • FIG. 24E is a layout showing VSI configurable memory data line router and sparing.
  • FIG. 25A is cross section of a VSI photo sensing circuit.
  • FIG. 25B is cross section of a VSI photo sensing circuit for multiple wavelengths.
  • FIG. 26A is a cross section of a VSI FPGA IC.
  • FIG. 26B is a cross section of a VSI FPGA IC.
  • FIG. 27 is the diagram of the circuit layer stacking order of a VSI Internet Protocol communication processing IC.
  • FIG. 28 is a cross section of a VSI optical waver guide interconnection.
  • FIG. 29 is a cross section of a VSI optical waver guide coupling interconnection.
  • ADDITIONAL ASPECTS AND OBJECTIVES OF THE VSI INVENTION
  • It is an aspect and objective of the VSI invention to provide a means for the fabrication of application specific ICs [ASICs] or custom integrated circuits and or Application Specific Standard Product [ASSP] ICs without the present requirement for customized circuit design and layout or fabrication tooling.
  • It is a further aspect and objective of the VSI invention to provide a means for the integration of electronic, optical and MEMS device types as closely coupled separate device layers as a single data processing system or sub-system component.
  • It is a further aspect and objective of the VSI invention to provide a method for achieving high yields of VSI components.
  • It is a further aspect and objective of the VSI invention to provide a means to make electronic or optical components customized for specific applications from previously fabricated device layers.
  • It is a further aspect and objective of the VSI invention to provide one or more circuit test and configuration control layers connected by fine grain vertical interconnections to improve circuit yield, power dissipation and operational function.
  • It is a further aspect and objective of the VSI invention to provide a means for design of circuit development platforms for the rapid development of application specific products.
  • It is a further aspect and objective of the VSI invention to provide a means for the reduction of integrated circuit architectural, design and development complexity. It is a further aspect and objective of the VSI invention to provide a means for the incorporation and reuse of hardware function IP [Intellectual Property] without requiring circuit design changes, synthesis and timing analysis.
  • It is a further aspect and objective of the VSI invention to provide a means for the alignment of wafer or substrates prior to bonding to an accuracy of less than ±1 μm and less than ±500 nm and less than ±100 nm.
  • It is a further aspect and objective of the VSI invention to provide a method of VSI device layer fabrication with the use of multiple barrier layers.
  • It is a further aspect and objective of the VSI invention to provide a method of IC fabrication from a physical circuit library.
  • It is a further aspect and objective of the VSI invention to provide a method of IC self packaging.
  • It is a further aspect and objective of the VSI invention to provide a means for generic IC internal testing.
  • It is a further aspect and objective of the VSI invention to provide a means to eliminate design restrictions on interconnect memory access bandwidth.
  • It is a further aspect and objective of the VSI invention to provide a means for proscribed maximum interconnect lengths.
  • It is a further aspect and objective of the VSI invention to provide a means for fabricating ICs with a die size independent of total circuit surface area.
  • It is a further aspect and objective of the VSI invention to provide a means for IC design with a predictable net circuit yield.
  • It is a further aspect and objective of the VSI invention to provide a means for integration of incompatible circuit fabrication technologies and processes.
  • It is a further aspect and objective of the VSI invention to provide a means for reduction or elimination of mask tooling requirements per IC fabrication sequence.
  • It is a further aspect and objective of the VSI invention to provide a means for reduction of circuit fabrication complexity of large ICs.
  • It is a further aspect and objective of the VSI invention to provide a means of reduction or elimination of the leakage path between the source and drain of a MOS transistor.
  • It is a further aspect and objective of the VSI invention to provide a method for making a gate on the backside of the transistor body.
  • It is a further aspect and objective of the VSI invention to provide a method for making an EEPROM memory cell.
  • It is a further aspect and objective of the VSI invention to provide a method for making an EEPROM memory cell that is written and erased from the PG and is not programmed through the gate dielectric of the device.
  • It is a further aspect and objective of the VSI invention to provide a method for making an EEPROM memory cell that can be set to a precise charge value or by a write or erase operation eliminating the need for a full erase operation.
  • It is a further aspect and objective of the VSI invention to provide a method for making an EEPROM memory cell with a dual programmable gate structure. It is a further aspect and objective of the VSI invention that the processor modules of an array of processor modules can form wireless point-to-point interconnection paths between any and all of the processor modules.
  • It is a further aspect and objective of the VSI invention to provide a point-to-point wireless communication means between the processor modules of an array of processor modules to enable the processor to operate at approximately their designed performance capacity.
  • It is a further aspect and objective of the VSI invention to provide a point-to-point wireless communication means between the processor modules of an array of processor modules that reduces the distance, and therefore, the time to transmit information signals or data between any two of the processor modules.
  • It is a further aspect and objective of the VSI invention to provide a means for changing the position of processor modules of an array of processor modules without interruption of the operation of the array of processor modules providing a high availability or non-stop processing capability.
  • It is a further aspect and objective of the VSI invention to provide a means that the physical size of an individual processor module of an array of processor modules that is largely independent of the size of the array of processor modules of which it is in, and without diminishing the point-to-point communication capacity between the processor modules of the array of processor modules as the size of the array of processor modules is increased.
  • DETAILED DESCRIPTION OF THE VSI INVENTION AND PREFERRED EMBODIMENTS
  • The preferred embodiment of the VSI invention is a method of integration consisting of fabricating a stack of Closely Coupled circuit layers where one or more of the circuit layers are not specifically designed for a specific application or at least the intended application of the final VSI Component into which it is incorporated or fabricated. The VSI invention enables the making of custom components comprising electronic, optical and or MEMS device layers solely from non-application specific device or circuit layers, or a combination of non-application specific and application specific circuit layers. Non-application specific circuit or device layers or grouping of such device layers called a VSI device layer group may be generically referred to as VSI library device layers, wherein these VSI device layers or device layer groups of completed physical circuit designs or fabricated circuits await subsequent intermediate or final integration into a VSI component in the form of unfinished inventory. Such VSI library device layers are novel from the standpoint that they are completed physical designs and no further custom fabrication processing is necessary in order for them to be fabricated into a VSI component with a custom or application specific use; wherein the custom nature of the circuit is derived in part or whole from the choice and quantity of the VSI library device layers selected for an intended application and these selected VSI library layers require no further application specific or custom fabrication tooling or processing in order to be used. Further, the VSI invention can also be comprised of all application specific device or circuit layers without limitation.
  • The VSI invention can also be implemented as one circuit layer bonded face down onto a substrate wherein the substrate is limited to passive circuitry on its surface and or has no circuitry and is intended to act as a heat sink or both or is intended to act primarily as a supporting substrate to the circuit layer. The circuit layer bonded to the substrate is thinned and vertical interconnections are formed from the face surface or top surface to the backside of the thinned circuit layer. The vertical interconnections are then used to connect additional circuitry such as I/O drivers or ESD isolation that may be fabricated on the backside and or I/O bond or contact pads.
  • The VSI invention enables greater integration into one die or component than is possible with planar ICs using current sub 0.15 μm lithographic processes, however, the use of this level of integration is only practical if the VSI component yields are economic, and by present consumer market driven standards this means a yield of at least 75% and higher. The VSI invention enables high component yields through the same means as it enables arbitrary levels of integration, and this is to use the increased level of interconnection capability to incorporate reconfiguration circuitry and test or ATE [Automatic Test Equipment] circuitry. There is also the additional unique aspect of VSI yield enhancement and not possible with planar circuitry, this is that large VSI circuits derive their cost effectiveness from the observation that the incremental cost in circuit layer area for reconfiguration control and test or ATE circuitry is more than offset by the reduction in costs of separate testing of numerous planar circuits of VSI circuit equivalents, reduction in the number of sophisticated I/O intensive packages required for the packaged planar circuit equivalent of the VSI circuit layers, reduced power dissipation resulting from the significant reduction in inter package I/O drivers and increased net performance due to the reduced interconnection lengths for VSI circuit layers. A further observation of the integration progression that uniquely benefits the VSI invention is that present IC manufacturing processes continue to reduce IC circuitry costs as a percentage of a finished packaged planar IC cost such that IC circuitry is now less than the combined costs of packaging and testing of the IC circuitry. These aspects of the VSI invention are made clear with the example of a VSI component of 20 circuit layers where four of these layers are reconfiguration and ATE circuitry, wherein a 25% increase in the cost of IC circuitry is offset by a 93.5% reduction in packaging and testing costs, resulting in a net finished IC cost reduction of approximately 20%; wherein the cost of packaging and testing 16 planar circuits is reduced to the cost of packaging and testing one VSI IC and assuming that IC circuitry cost is approximately 50% of a finished packaged planar IC.
  • The VSI invention further enables the incorporation of ATE and reconfiguration circuitry as circuit layers; wherein the reconfiguration circuitry is used to alter the connections between portions of one or more circuit layers and prevent their use as a result of testing that determines the presents of a defect in said portions of circuitry. The segregated application circuitry of the VSI invention enables these circuit layers to achieve higher levels of utilization of the ATE and or reconfiguration circuitry without consideration of the number of application circuit layers due to the ability to design in a high density of fine grain vertical interconnections wherein the physical placement and small layout area or foot print of the vertical interconnections can be implemented without affecting the design or layout of VSI circuit layers per application usage. There are two primary VSI capabilities that support this result. First the allocation areas for placement of vertical interconnection can be an initial condition of the physical layout of a circuit layer. Secondly, the VSI invention enables the backside fabrication of horizontal interconnection with conventional IC fabrication means, and therefore, vertical interconnections that do not align from one circuit layer to the next can be routed to compensate for a misalignment; this may be the case where there is the intention to reuse a circuit layer designed for a prior VSI application or a second library comprising completed physical designs or fabricated VSI IP [Intellectual Property] circuit layers.
  • The VSI invention can take a wide range of substrate stacking organizations of electronic, optical and MEMS circuit and device layers. FIGS. 2 through 7 show by example a range of VSI component organizations consisting of two layers up to tens of layers. FIG. 2A shows a minimal VSI component consisting of two bonded substrates 1, 3, an active electronic circuit layer 1 face down bonded with a hermetic seal 2 onto an active, passive electronic or optical circuit layer or a MEMS device layer 3. The lower substrate 3 may also act as a mechanical support and or for thermal dissipation and made from materials such as graphite, Boron Nitride, Aluminum or quartz. The bond 2 between the substrates if made from a process such as a metal thermal diffusion will form a hermetic seal, which may be necessary in certain applications, such as in the case when the lower substrate is an optical circuit layer or MEMS device.
  • A more complex example of the VSI invention is shown in FIG. 2B which is a cross section of a stack of three VSI circuit or device layers 5, 6, 7. A first layer 5 with passive electronic elements on its top side which is bonded face down or top side down onto the back side of the second layer 6 which is sufficiently thin to allow fine grain vertical interconnections to be formed through the layer 6, and which is bonded face down or top side down onto the top side of the third layer 7. Bonds 8 and 9 between the three layers may be hermetic seals depending on the bond process used. There are no VSI process limitations of what type of circuitry or devices that may be on a layer, for example, the second layer 6 can be an electronic or optical circuit layer with active devices and the third layer 7 can be a passive or active electronic or optical circuit layer, a MEMS device layer or serve a completely mechanical support or thermal dissipation function.
  • FIG. 3 shows a pictorial view of a VSI component or IC comprising various application specific and non-application specific circuit layers of EEPROM circuit layers 10, MEMS device layer 12 such as a Rf antenna, CMOS logic and μP circuit layers 14, optical circuit layers 15, bipolar power circuit layer 18 and conventional external I/O contact 11 pads; various organized internal vertical interconnections with standardized and non-standardized protocol buses are not shown. FIG. 4 shows a pictorial view of a VSI component or IC comprising all non-application specific circuit layers of non-volatile memory circuit layers 20, DRAM circuit layers 22, FPGA circuit layers 24, configuration and ATE or test circuit layers 26, optical transceiver layers 28 and conventional external I/O contact 21 pads; various organized internal vertical interconnections with standardized and non-standardized protocol buses are not shown. FIG. 5 shows a pictorial view of a VSI component comprising a group 30 of memory circuit layers of several Gbits, a group 32 of microprocessor layers comprising various types, an ATE and reconfiguration 34 circuit layer, a group 36 of FPGA circuit layers, various power regulation and Rf function 38 circuit layer and conventional external I/O contact 31 pads; various organized internal vertical interconnections with standardized and non-standardized protocol buses are not shown.
  • FIG. 3 and FIG. 4 show self packaged VSI component dice with total thickness of approximately 200 μm and size or area of 50 mm2; the die dimensions or layer structures do not imply limitations on components of the VSI invention. The fine grain vertical interconnections and busing between the layers of these VSI components are not shown, however, cross sectional views of such component layers are shown in other figures herein. Both of these figures use inorganic dielectric or metal thermal diffusion bonding between each layer forming a hermetic seal of the device surfaces of the layers, and therefore, no additional packaging is required such that these VSI components demonstrate the self packaging aspect of the VSI invention.
  • FIG. 5 shows a self packaged VSI component of conventional planar die IC thickness of less than 500 μm and wherein the memory circuit layer group is not application specific and microprocessor and FPGA circuit layer groups are application specific for operation in a consumer product providing general personal computing capability and internet wireless communications. This VSI component also has internal ATE self test circuitry and sufficient redundant circuitry in fine grain and macro circuit form such that in conjunction with the reconfiguration circuitry, a net circuit yield of greater than 80% is enabled.
  • FIG. 6 shows in cross-section various groupings of layer types of a VSI component. The purpose of this figure is to show the VSI invention can integrate the variety of electronic, optical and MEMS technologies with a common process of substrate alignment, bond and thinning as appropriate wherein the preferred method of bonding is thermal compression bonding but may include other bond means and the alignment precision is less than ±1 μm and preferably less than 500 nm. The layer groupings are also significant due to the reuse capability of certain VSI circuit layers or groups. Layer group 6 c consists of application specific optical circuit layers 66 in combination with a MEMS device layer 67 to form a portion of an optical communication switch. The other layer groups 6 a and 6 b may not be application specific, but selected from broad non-application specific reusable inventory circuit layers fabricated prior to the initiation of the design of the VSI component of FIG. 6. Circuit layer group 6 a consists of subgroups of one or more circuit layers of programmable circuit layers 61, IP 62 and programmable routing interconnections 63. Circuit layer group 6 b consists of subgroups of one or more circuit layers of memory 64 and test and reconfiguration logic 65. Not shown but assumed are multiple vertical buses which interconnect one or more layer groups or subgroups and have standardized placements or where placement variances are compensated for by horizontal routing formed by conventional fabrication techniques on the backside of certain circuit layers. FIG. 6 shows a level of electronic, optical and MEMS integration that is not possible with present techniques or technologies. It should also be noted that there is not a planar circuit equivalent for the VSI IC component of FIG. 6 for the fundamental reason that the total circuit area of all of the electronic circuit layers exceed the maximum lithographic circuit fabrication size of planar ICs.
  • The 6 a, 6 b circuit layer groupings of FIG. 6 may be placed in reverse order and or one or both of these groupings maybe used more than once in the VSI circuit stack to increase the capacity of the IC as needed. Further, the quantities of circuit layers within each of these groupings may also vary.
  • FIG. 7A is the cross-sectional view of several VSI component layers wherein two of the layers 71 a and 71 b have internal cavities 72 a, 72 b. The cavities are supported at the edge of the VSI component die by depositions of either dielectric and or metal 73 a, 73 b, a portion 73 a of which is shown between two overlying VSI components and would be of sufficient width to accommodate the area commonly called the dicing scribe lane 79 where a cut in the VSI substrate stack is made when separating the VSI components or ICs from the substrate stack. The first circuit layer 71 a with an internal cavity shown as an optical circuit layer or MEMS device layer to accommodate the structural features of the layer. The second circuit layer 71 b with an internal cavity is shown as an electronic circuit layer wherein the horizontal interconnection layers are free standing with a majority of the normally solid dielectric material removed and wherein vertical columns 74 which can act as vertical interconnections and or mechanical support of the cavity, and support for suspended horizontal interconnections 74 a. Vertical columns 74 may also act as a means for thermal dissipation from the electronic layer to thermal spreading layer not shown but part of layer 71 a 1. The layer bonds 76 a and 76 b adjoining the internal cavities form hermetic seals to preserve the atmosphere of the internal cavities which may be vacuum or partial pressures of an inert gas or a fluid and sealed at the time the bonds are formed. Additional circuit layers or substrates 71 c through 71 g are also shown. This VSI component once completed can be packaged or used without packaging. Free standing horizontal antennas for Rf transmission and reception can also be formed in this or a similar manner.
  • The internal cavity 72 b with the free standing electronic interconnections of FIG. 7A can be fabricated by selective etch removal of the dielectric that normally would be used to fabricated the horizontal interconnections of the circuit layer 71 b, or by using a material in place of the dielectric material that may be more readily removed such as amorphous silicon. The objective of fabricating the free standing interconnections on a circuit layer is to use a fluid such as air as the dielectric separating the free standing interconnection which has a dielectric constant of approximately 1, the lowest possible dielectric constant. The bonding of the face of a circuit layer with free standing horizontal interconnections as part of a VSI IC prevents subsequent damage to these very fragile interconnections, and therefore, the integration of such a circuit layer into a VSI IC is compatible with the VSI invention IC fabrication processing. It should be noted that backside processing of a circuit layer with free standing interconnections 74 a is consistent with the backside processing of a circuit layer with dielectric supported interconnections.
  • FIG. 7B shows VSI IC circuit layers 7 b 5 with VSI ICs 7 b 1 bonded to and forming vertical interconnections at their bond interfaces 7 b 2, 7 b 3. The I/O bond pads of the VSI IC are also shown 7 b 4. The bonding layers above and below the bond interfaces 7 b 2, 7 b 3 of the VSI ICs 7 b 1 are patterned in a manner as shown in FIG. 7C. The bonding layer 7 c 3 of FIG. 7C is patterned with open areas 7 c 2 and metal contacts 7 c. Vertical interconnections between circuit layers are formed when VSI circuit layers with bonding layers patterned in mirror image are bonded together coupling the isolated metal contacts 7 c 1 of either bonding layer forming vertical interconnections between the circuit layers.
  • VSI Bonded Die Assembly Circuit Layer
  • An aspect of the fabrication of a VSI circuit layer is that it can be an assembly of thinned die as shown in FIG. 7F where dice 7 f 1, 7 f 2 are shown as thinned to a thickness of less than 50 μm, or less than 25 μm, or less than 15 μm or as in an implementation using substrates with barrier layers such as a SOI substrate to a thickness of less than 10 μm. There are certain circumstances wherein the implementation of VSI circuit layers are more cost effective when formed from an array of die bonded onto a substrate or wafer than from a single substrate or wafer whereon an array of ICs or circuitry is fabricated; an example of such a possible circumstance could be where substrate sizes are not compatible for stacking.
  • A VSI circuit layer can be formed from a plurality of die 7 d 1, 7 d 2, 7 d 3, 7 d 4 in FIG. 7D aligned and bonded face down across an array of circuit positions formed on a substrate or wafer or VSI wafer stack 7 f 3. There may be one or more die aligned and bonded to a given circuit position of the array of circuit positions on the substrate or wafer and these die may vary in size and substrate material or fabrication process. The die bonded onto the substrate or wafer are aligned so that vertical interconnections preferably can be formed with the substrate or wafer to the die as part of the bonding process, although such vertical interconnections to underlying VSI circuit layers 7 f 3 can also be formed through the backside of the bonded die subsequent to completion of the thinning of the die array assembly; the preferred bonding processing is thermal diffusion bonding implemented with the use of one or more of a number of possible materials as described herein, but it is not limited to this process and could be accomplished with currently available non-metal bonding methods. The bond interface 7 d 8 bonding the substrate or wafer 7 d 7 with a bonding layer 7 d 6 and a die 7 d 2 with the bonding layer 7 d 5 of the die is preferably formed with patterned bonding layers 7 d 6, 7 d 5 on the surfaces of the substrate or wafer and die 7 d 2. Such deposited bond layers 7 d 6, 7 d 5 are typically less than 2 μm thick and can be thinner than 5,000 Å. The dice 7 d 1, 7 d 2, 7 d 3, 7 d 4 when first bonded to the substrate or wafer may vary in thickness having thickness values in a nominal range of 100 μm to 600 μm, typical for die cut from a wafer.
  • FIG. 7E shows bonded die on a substrate or wafer including a conformal deposition of low stress or a controlled stress dielectric 7 e 1 material such as oxide and or nitride deposited over the surface comprising all of the die 7 e 3, 7 e 4 bonded on the surface and on the exposed surface areas of the substrate 7 e 5. This dielectric deposition passivates each die at its edges 7 e 2 of the bond contact from the accumulation of particles and contamination or chemical attack during subsequent thinning processing steps. The dielectric may be deposited as one layer or in multiple layers and is preferably deposited to a thickness that is approximately or slightly greater than the final desired thickness for the die assembly 7 e 3, 7 e 4 circuit layer nominally by one or more microns. The objective is to deposit a sufficient thickness of dielectric so that the deposited dielectric forms part of a continuous flat surface 7 f 4 with the backside of all dice 7 f 1, 7 f 2 after they are thinned as shown in FIG. 7F. This enables the use of subsequent conventional IC processing steps such as dielectric and metal deposition, RIE and lithography which are necessary for addition of more circuit layers or to form termination bonding pads for I/O contacts for example.
  • The thinning of the bonded die is preferably done by first grinding until the bonded dice 7 f 1, 7 f 2 are level with the deposited dielectric 7 f 4, thereafter, substrate or wafer polishing, CMP or RIE processing used singularly or in combination can be used to bring the thickness of the die layer assembly 7 f 5 to the desired final thickness.
  • The approach to using wafer polishing, CMP and RIE processing techniques can depend upon hardness of the dielectric versus the substrate of the bonded dice. If there is a significant difference in hardness between these materials, then dishing of one material versus the other can occur. This can be avoided for example by adjusting the hardness of the dielectric or in the case where the dielectric is harder than the substrate of the dice, by uniformly selectively etching the dielectric 7 f 4 through one of the available wet or dry etch processes a few microns or fractions of a micron so it is below the surface of the dice causing the backside of the dice to be above the level of the dielectric so that the dice subsequently can selectively be made planar with and thinned to the thickness of the dielectric by such means as CMP.
  • The dielectric 7 e 1 is deposited onto the die following bonding of all of the die and prior to any die thinning processing is the preferred embodiment, because to do so after some amount of thinning of the die will increase difficulty in cleaning the areas between the die from accumulated particles and will leave the areas between the die unprotected from mechanical or chemical affects of the thinning processing methods used. Once the dice have been thinned to the level of the dielectric, measurement of the remaining thickness of the die can also be more easily made by measuring the thickness of the dielectric in the areas between the dice 7 f 4, since the dielectric does not have any intervening layers that could possibly complicate or prevent simpler thickness measurements. Further, since the original deposition thickness of the dielectric is known it can be monitored for a change in thickness indicating that the final desired thickness of the VSI die assembly circuit layer is being approached.
  • The deposited dielectric as a filler 7 f 4 in the areas between the bonded die is more cost effective than using a stenciled material such as another substrate which would be bonded before or after the bonding of the die array assembly. Dielectric in the areas between the bonded die also enables a simpler fabrication of vertical interconnections to the VSI circuit layers below the die assembly layer. VSI circuit layers below the VSI die array assembly layer also can be a VSI die array assembly layer. A VSI die assembly layer can be any layer in a VSI wafer stack and any number of them can be used in a VSI wafer stack. The dice used in a VSI die array assembly layer can be themselves VSI die; this is worth noting because it enables the inclusion of previously fabricated VSI ICs such as those from a VSI physical wafer or substrate circuit library that were designed for the expressed purpose of systematic inclusion as either circuit wafer or substrate components during the fabrication of a wafer stack or as singulated die components to be assembled as a VSI die array assembly layer.
  • It is also an aspect of the invention that the VSI die assembly circuit layer be fabricated on a substrate or wafer consisting only of an interconnect structure fabricated from one or more layers of metal and dielectric materials forming horizontal interconnections and overlying a release layer as described in U.S. Pat. Nos. 5,354,695 and 5,915,167 and incorporated herein by reference. The substrate or wafer on which the interconnect structure is fabricated can be a sacrificial substrate or wafer should a parting layer not be used, wherein the sacrificial substrate or wafer is sacrificed subsequent to the bonding of the VSI die assembly circuit layer onto a VSI circuit stack. Further, a principal purpose of the interconnect structure of the substrate or wafer that the dice will be bonded is to provide alignment marks for the aligning the dice onto the substrate or wafer during bonding, however, it is not necessary that an interconnection structure be fabricated, but it is necessary that at least the alignment marks be fabricated on the substrate or wafer to effect accurate positioning of the die to be bonded. In this aspect of the invention VSI die assembly circuit layers that are fabricated upon a separate substrate or wafer can be reserved or inventoried for subsequent VSI stacking fabrication as part of a physical VSI circuit layer design library. One example of this aspect of a VSI die assembly circuit layer is quartz substrate upon which a release layer and interconnection structure are fabricated through conventional IC fabrication means, and subsequently, die are bonded and thinned as described herein to form a VSI circuit layer that can be incorporated into a VSI circuit stack at a time when needed and the quartz substrate once released can be reused.
  • First Preferred Embodiment of the VSI Invention
  • A first preferred embodiment fabrication method and the devices that result from this fabrication method of the VSI invention is wherein Closely Coupled electronic, optical or MEMS device layers used to form a single integrated device of die form and further each layer used in the fabrication of the Closely Coupled device layers were themselves originally fabricated as non-application specific with respect to the final VSI device in which they were applied. It is an important distinction of this first embodiment that the circuit or device layers used were initially designed with the expectation of being used to form a VSI component, and therefore, each circuit or device layer is designed with the same die or foot print dimensions and conventions for vertical interconnections or busing between various layers are also a part of each circuit or device design, however, the hardwired or physical function of each such circuit or device layer is not designed in anticipation for use in a specific VSI component application.
  • The resulting VSI component of this first preferred embodiment of the VSI invention allows the VSI component to be fabricated from a library of completed physical circuit designs and or previously fabricated circuit or device substrates which can also be referred to as an inventory of circuit or device substrates that will have a useful inventory life time that may be greater than anyone VSI component or VSI IC for which these substrates are used. This aspect of the capability of the VSI invention is novel for it allows for the first time the fabrication of a planar circuit or IP [Intellectual Property] to be separate from its eventual fabrication in a larger integrated circuit structure. This means that the design, circuit simulation, fabrication tooling set and inventory life time of a fabricated planar [IP] circuit or device layer can be fixed quantities and need not require their obsolescence and recreation for each application design use. This further means that for the more frequent event of design updates or enhancements to an integrated circuit application, that all planar circuits [IP] not physically affect by such will not result in the obsolescence of planar circuit inventory and recreation of a fabrication tooling set for that planar circuit.
  • VSI library or inventory of completed physical circuit designs and or fabricated circuit layers of the first preferred embodiment of the VSI invention may be a group of circuit layers wherein such layers have interdependent designs. The design of such layers does not necessary affect their use as non-application specific library layers for the reason that the use of the group of layers still has a non-application specific range of use. Examples of such groups of VSI layers are memories [DRAM, EEPROM, MRAM] and FPGAs.
  • The first preferred embodiment of the VSI invention enables the fabrication of an application specific integrated circuit with varying amounts of logic processing capability such as a varying number of μPs, DSPs or IP, varying amounts of volatile and or non-volatile memory or other distinct memory type such as CAM [Content Addressable Memory], and other capabilities such as optical transceivers or MEMS mass storage without the present and unavoidable requirement of current IC manufacturing to create a new design and layout of the compete integrated circuit, perform circuit simulation and fabricate tooling for the IC and then fabricate the IC. This first preferred embodiment is similar to the well established method of PCB assembly, however, without the well known limitations in that art regarding planar IC packaging, package I/O count, I/O power requirements, I/O signal delay and individual testing of the planar ICs of the PCB prior to the functional testing of the completed PCB. The first preferred embodiment of the VSI invention enables the fabrication of application specific integrated circuits without unique circuit design engineering efforts or unique circuit fabrication tooling.
  • This first preferred embodiment of the VSI invention may include the use of backside device and interconnection fabrication of Closely Coupled circuit or device layers. Back side processing is used as a means for hardwired circuit corrections of circuit defects to achieve circuit yield enhancement; the implementation of specific logic functions or application specific logic functions; and, interconnection routing where by the bonding pattern used to form vertical interconnections between layers is modified to achieve a specific interconnection design objective or horizontal backside interconnections are modified as a means to achieve a specific routing use of an existing vertical interconnection bonding pattern. The wiring patterns for vertical interconnections of VSI circuit layers are subject to change or there may be several vertical interconnection [bussing] conventions sometimes referred to as interconnection standards, and therefore, existing or inventory VSI circuit layers that are affected by such vertical interconnection differences can avoid obsolescence or have a greater range of application usage with back side interconnection processing changes that bring vertical connection contact patterns of such VSI circuit layers into compliance with the vertical interconnection conventions of the VSI component on which they are being integrated.
  • This first preferred embodiment of the VSI invention may include the use of through the substrate alignment processes that enable alignment accuracies of less than ±1 μm for the alignment of circuit substrates and or Closely Coupled circuit, device layers when fabricating a VSI component. The greater the alignment precision of the method used to align the VSI component layers, the greater the level of layer to layer circuit integration density is possible in terms of vertical interconnection density and electronic and optical circuit element coupling.
  • This first preferred embodiment of the VSI invention may include reconfiguration control circuitry used to reconfigure circuitry on one or more other layers of a VSI component and further described herein. This circuitry may exist segregated to a circuit layer or may be integrated with other functions of a circuit layer. The reconfiguration circuitry performs at least two principle functions: first, enabling or disabling the use of some portion of circuitry, and second, enabling how that same portion of circuitry will be used. The reconfiguration control circuitry is enabled through conventional circuit or device means and is interconnected to the circuitry it effects through the fine grain vertical interconnections of the VSI invention.
  • This first preferred embodiment of the VSI invention may include internal self test circuitry or ATE [Automatic Test Equipment] circuitry used for testing circuitry on one or more circuit layers of a VSI component. The ATE test circuitry is differentiated from internal self test circuitry by the distinction that ATE circuitry is programmable for use over a wide range of electronic and optical circuit types; the inclusion of ATE circuitry capable of being programmed to perform a functional test of all or a portion of an IC is unique to the VSI invention and the inclusion of ATE circuitry is enabled through the fine grain vertical interconnections of the VSI invention. The self test circuitry may exist segregated to a circuit layer or may be integrated with other functions of a circuit layer. The ATE circuitry preferably exists segregated to one or more circuit layers. The self test circuitry or ATE circuitry can be programmed for performing tests from an external or internal source. If self test and ATE circuitry are both present, the self test circuitry preferably can be coordinated in its use by the ATE circuitry. The self test or ATE circuitry, if reconfiguration circuitry is present, is preferably used to determine what circuit defects exist in the reconfiguration circuitry and then in conjunction with the reconfiguration circuitry, various or all portions of the circuitry on other VSI component layers. If reconfiguration circuitry is not completely internal to the VSI component, circuit defects may be isolated from use by external control circuitry that implement interconnection changes such as through the use of fuses or anti-fuses that prevent the use of the portion of a VSI layer with the defect or the whole VSI layer. There may be multiple self test circuits with the intended purposes of providing redundancy should the self test circuit itself be defective, providing application specific test functions such as those tests specific to memory or logic, optical circuit elements and MEMS layers. It is a preferred aspect of the VSI invention that sufficient internal self test circuitry or ATE circuitry be present such that the VSI component is capable of performing all circuit testing required during circuit burn-in processing without the presence of external testing equipment. It is also a preferred aspect of the VSI invention that the VSI component is capable of performing sufficient circuit testing during its useful life in order to determine if a circuit defect is present in either a dynamic or static testing means and to use this information in conjunction with reconfiguration circuitry of the VSI component to avoid the use of defective circuitry with the objective of extending the useful life of the VSI component and avoiding the necessity for physical replacement of the VSI component wherein such efforts may exceed the cost of the VSI component. The inclusion of ATE circuitry into the VSI stack has several further unique advantages such as the capability to match the fabrication technology of the ATE circuitry to the circuitry under test, to perform extended at speed testing for extended periods of time sufficient to determine test coverage approaching or achieving 100% of circuit function, providing a means to determine circuit yields prior to the dicing of the VSI stack into separate die, and to make IC testing transparent to IC design and manufacturing processes. The incorporation of self testing circuitry and or ATE circuitry in combination with the VSI invention enables full functional testing of ICs to be performed in wafer or die form during burn-in processing.
  • This first preferred embodiment of the VSI invention enables it to be used as a predefined electronic, optical and or MEMS architecture providing a platform for the subsequent development of other hardware platforms. The term platform is presently used to describe a known to work hardware system or subsystem electronic architecture of busing, processors, memory, peripheral and IP [Intellectual Property] blocks implemented as one or a few planar ICs from which in combination with software an expected range of various application products can rapidly be designed and developed. Such planar IC platforms also incorporate various EDA [Electronic Design Automation] tools for their implementation. The limitation that is known to exist with this platform approach to product or application development is that the defined envelope of the architecture does not anticipate all future application requirements and cannot be easily changed once developed as planar ICs with respect to its initial platform design due to the level of design effort results in changes to many hardware functions and or the limitations of the EDA tools that accompanied the platform. Functional enhancements to a platform made with planar ICs does not easily accommodate a requirement to add additional hardware functions such as microprocessor units or IPs. The VSI invention enables the development of hardware platforms that can be enhanced with electronic, optical and MEMS hardware functions through the addition of VSI layers with the desired hardware function. An objective of present planar IC hardware design platform is the ability to reuse a designed hardware function in a series of product applications with only a minimum redesign effort required of the hardware function for achieving its reuse; often this is not the case because the placement and routing demands that result from planar IC design. The VSI invention enables the insertion or removal of hardware functions implemented as a VSI circuit layer without requiring redesign or change of hardware function prior to its subsequent insertion into a VSI application specific platform or product stack. The VSI invention further enables the expectation that design changes can be limited to only vertical interconnection routing changes on circuit backsides in order to integrate a desired hardware function into a platform or platform derived application end user product. VSI hardware platforms are uniquely enabled due the VSI inventions capability to add or remove hardware function device layers without affecting the design or implementation of the other device layers in the VSI platform stack. This capability for adding or removing hardware functions as device or circuit layers also eliminates the development requirement in planar ICs to use various EDA tools to effect the addition of a hardware function or what is often referred to as architectural tuning; wherein the layout of the planar IC is incrementally adjusted to achieve circuit timing closure. Timing closure of a planar circuit nominally requires the effective shortening of horizontal interconnections between hardware functions by hundreds to thousands of microns in length, by signal amplification or slower operation of the IC, the thickness of VSI circuit layers which are nominally less than 25 μm eliminate the possibility that the distance between hardware functions on any two VSI circuit layers will result in a timing closure failure.
  • This first preferred embodiment of the VSI invention may include the use of self packaging. The self packaging aspect of the VSI invention results from the bonding of all Closely Coupled circuit layers of a VSI component wherein as a result of such bonding the circuitry of all circuit layers is internal to the VSI component and cannot be damaged by mechanical means. Further, if the bond process used for bonding the layers of a VSI component form a hermetic seal, then the VSI component is also hermetically sealed.
  • Second Preferred Embodiment of VSI Invention
  • A second preferred embodiment of the VSI invention is the fabrication method of the first embodiment but wherein one or more but not all of the planar circuits or IPs for use as VSI circuit layers are designed for one or more specific applications of a VSI component. This also includes the application specific design of circuitry on the backside of one or more of the Closely Coupled circuit layers whether or not the top side planar circuit is of an application specific design for a VSI component.
  • Third Preferred Embodiment of the VSI Invention
  • A third preferred embodiment of the VSI invention is the fabrication method of the first embodiment but wherein all of the planar circuits or IPs for use as VSI circuit layers are designed for one or more specific applications of a VSI component. This third preferred embodiment of the VSI invention is most closely related to what is presently called an ASIC [Application Specific IC] for the reason that all of the VSI circuit layers are designed for a specific application or set of well defined applications. It can also be said that this third embodiment of the VSI invention is related to all production ICs no matter what the intended volume production whether only a few IC parts are fabricated or a high volume of parts are repeatedly fabricated as in the case of embedded microprocessors or the microprocessors used in Personal Computers.
  • Additional Considerations of the Preferred Embodiment of the VSI Invention
  • Further, it is a well know that circuit yield is related to the size of a circuit, and therefore, the greater number of layers in a VSI circuit or component, then the lower the expected yield VSI Component. Yield enhancement is a principle characteristic of the VSI invention. The yield enhancement of a VSI Component is implemented by fine grain vertical interconnections used to enable, disable or power circuit devices, groups of circuit devices or circuit blocks, or whole circuit layers by yield enhancement circuitry located on a different circuit layer of the VSI Component or external to the VSI Component. The separation of the yield enhancement circuitry to separate circuit layers allows the enhancement circuitry to be designed and fabricated without affecting the design, fabrication and quantity used of other circuit layers in a VSI Component.
  • VSI Process Methods
  • The preferred embodiment of the VSI fabrication process method forms a stacked integrated circuit of closely coupled circuit layers and comprising:
      • 1. Two or more non-application specific or application specific circuit layers, or one or more non-application specific or application specific circuit layers and one or more MEMS layers with vertical data rate transfers equivalent to on circuit or on chip horizontal data rate transfers of planar ICs.
      • 2. Internal or external yield management circuitry with internal yield enhancement configuration circuitry.
      • 3. Interconnection and or device fabrication on the backside of a circuit layer substrate.
      • 4. One or more layers requiring layer to layer alignment of less than ±1 μm, less than ±500 nm and less than ±250 nm.
    VSI Substrate Back Side Interconnection
  • The VSI invention increases interconnect density by enabling an equal amount of horizontal interconnect layers to be fabricated on the backside of a thinned semiconductor IC substrate as presently possible on the front side of the IC substrate. This 2× improvement over conventional interconnection routing density is enabled with the use of conventional interconnection fabrication methods once the semiconductor portion of the IC substrate is sufficiently thinned to a thickness of less than 50 μm and preferably in a range of 0.5 μm to 10 μm for bulk substrates and in a range of 0.010 μm to 10 μm for SOI substrates; these ranges are approximate and not to be considered limitations on the actual thickness that can be achieved. The thinning of the substrate is required to enable low cost vertical interconnect or via fabrication from the front side of the substrate to the back side. The thinning of the substrate or partial thinning can occur before or after bonding of the IC substrate as part of a VSI circuit stack. The IC substrate thinning step is independent of whether it is bonded to a supporting substrate such as a VSI circuit stack if the IC substrate is fabricated with stress controlled dielectric or low stress dielectric materials such that the net stress on the surface of the substrate prior to thinning is less than 8×108 dynes/cm2 [80 Mpa] in accordance with the inventor's U.S. Pat. No. 5,354,695.
  • The capability for fabrication of similar horizontal interconnect densities on either side of VSI circuit layers enables the a doubling of semiconductor circuit device interconnection density without the requirement of additional geometry scaling of the interconnect [feature size]. Conversely, this also allows the use of larger interconnect geometries with the objective of lowering the number of critical higher cost mask [feature size] layers, but achieving the same or a better routing interconnection density by completing the interconnect on the back side of the IC substrate. The ability to fabricate circuit structures on the back side of an IC substrate is not limited to horizontal interconnections but can also include such circuit structures as back side gates [dual gates], passive circuit elements, circuit references or wave guides.
  • The VSI invention enables the fabrication or the completion of fabrication of passive and active circuit elements or devices such as resistors, capacitors, inductors, transistors, floating gate transistors, diodes, optical amplifiers, optical wave guides, etc. Backside circuit element fabrication is enabled as a result of the face down bonding of wafer or substrate onto a VSI substrate stack. The backside of the just bonded substrate in now available for circuit fabrication, if the substrate already is of the desired thickness and surface preparation. The substrate, if not already thinned to a desired dimension, is thinned by numerous known process methods and or combinations of same such as grind and polish, plasma etch, RIE, spray wet etching or thinning to a existing barrier layer or etch stop. Once the backside of the just bonded substrate is of desired thickness and surface preparation, most conventional IC fabrication processing techniques can be used that do not exceed VSI stack temperature heating beyond the thermal budget limit of specific materials in the stack. If an inorganic bond material such as copper is used, a CMOS VSI stack processing temperature in a range up to 550° C. could be used. Repeated process step heating of a CMOS VSI stack for example to temperatures beyond 600° C. can result in semiconductor auto-doping. However, such high temperature steps as dopant annealing or activation, source/drain ohmic contact formation or thermal oxidation of silicon can be localized to surface heating effects through the use of excimer laser processing. In the case of backside circuit element fabrication where a high temperature step is required that is beyond the thermal budget of the VSI stack, high temperature surface effect processing which does not heat the lower portions of the VSI stack appreciably can be used to perform such processing. The use of surface effect high temperature processing in combination with VSI backside processing enables the fabrication of most circuit elements or devices which are presently limited to fabrication on a first or top side of a planar circuit substrate.
  • The backside processing aspect of the VSI invention uniquely enables the fabrication of passive and active circuit elements and MEMS, on the backside of completed circuit substrates or MEMS substrates with the use of conventional semiconductor fabrication equipment. This unique method for circuit or MEMS processing allows for the completion of circuit elements such as dual gate transistor with backside gates, or dual function circuit elements such as a combined transistor and memory cell circuit element further described herein. Further, lower temperature epitaxy deposition or semiconductor poly-crystallization processes in combination with the VSI backside processing enable the fabrication of additional semiconductor material layers for the fabrication of additional active circuit elements such as heterojunction transistors or TFTs [Thin Film Transistors].
  • VSI Backside Circuit Layer Process
  • The VSI invention enables the fabrication of interconnection on the backside of a thinned semiconductor substrate or an appropriate carrier substrate like a deposited dielectric such as silicon dioxide, or glass or quartz substrates which could be used in the fabrication of deposited semiconductor circuit devices or elements such as polysilicon transistors. The VSI process method uses SOI substrates or heterojunction semiconductor substrates such as GaAs on Silicon or Silicon on Sapphire to provide a means to precisely terminate or stop the thinning of the backside of such a semiconductor circuit substrate. The VSI process method uses a carrier substrate with a release layer under the deposited semiconductor devices to remove the carrier substrate.
  • The VSI process enables backside fabrication of electronic or optical circuit devices or elements following the bonding of a circuit substrate and the thinning of the substrate if and as required to allow the formation or completion of vertical interconnections from the front surface or bond layer of the last bonded substrate. The methods for fabrication of electronic or optical circuit devices are the same as those presently used to fabricate such devices on a semiconductor or carrier substrate. This is enabled by the VSI invention when preferably thermal diffusion bonding is used or inorganic bonding processes that can tolerate elevated temperatures from deposition or surface annealing processes by laser or rapid thermal processing.
  • The use of a dual SOI substrate is another embodiment of the VSI invention for backside electronic and or optical circuit device fabrication. FIG. 9 shows in cross-section a dual SOI substrate with a top or first device layer 91, an isolation buried layer 92, a bottom or second device layer 93 and a thin barrier dielectric layer 94 for termination of the backside substrate thinning process. The two device layers 91 and 93 may have nominal thickness ranging from 50 Å to more than 5,000 Å, the isolation layer 92 may have a thickness ranging from approximately 500 Å to 5,000 Å and the buried dielectric layer 94 may have a thickness of typically less than 500 Å and less than 150 Å. The barrier dielectric layer 94 provides a precision etch stop when thinning the backside of the SOI semiconductor substrate, the etching process can be terminated by the dielectric layer without etching any of the second device layer 93.
  • The dual SOI substrate is made with conventional oxygen ion implant processing means except instead of only implanting one dielectric layer, this implementation of the VSI process requires the second buried dielectric layer 94, wherein the buried dielectric layer 94 is implanted first followed by the implant of the isolation dielectric layer 92. The dual dielectric implant or buried layer structure in combination with the VSI backside fabrication processing enables a single SOI substrate to be used for fabrication of two distinct layers of electronic or optical devices. The use of other compound semiconductor substrates such as GaAs on Silicon, or InP on Silicon allow the backside of the GaAs or InP semiconductor device layers to be used in a similar manner, however, without the requirement for a dielectric isolation layer because these semiconductor materials are semi-insulating, and therefore, have lower intrinsic conductance. If the compound substrate is formed on a SOI substrate, the buried dielectric layer could be used to form a uniform crystalline device layer on the backside of the substrate.
  • A primary advantage or benefit of the use of a VSI dual SOI substrate is the reduction in substrate cost, wherein two active circuit layers can be fabricated on one semiconductor substrate. It is also clear that this advantage is provided by compound semiconductor substrates like GaAs on Silicon, however, silicon is presently the most widely used semiconductor substrate material, and therefore, the use of the VSI dual SOI substrate is of unique cost advantage. Some of the unique benefits of the VSI dual SOI substrate is the capability to fabricate a CMOS circuit layer where the NMOS devices of the CMOS layer are on one side of the dielectric isolation layer 92 and PMOS of the CMOS devices are on the opposite side; the same advantage can be used to achieve a complementary Bipolar circuit layer.
  • VSI Enhanced Diffusion Bonding Processes
  • The VSI invention enhances metal thermal diffusion bonding of two substrates by combining the primary metal film with one or more secondary metal films, preferably with lower melting temperatures than the primary metal film, with the objective of lowering the temperature and or pressure necessary to achieve a desired bond quality. The use of such secondary metal films also has the objective that with subsequent temperature annealing the secondary metal films will diffuse into the primary metal film resulting in a metal bond layer that has a higher working temperature. The use of the term working temperature means a temperature wherein the physical characteristics of the resulting metal layer are compatible with subsequent fabrication processing steps and sufficient to meet the mechanical and electrical operating requirements of the IC of which it is a part.
  • Examples of such multiple bonding metal films with copper [Cu] as the primary film are Cu:Sn, Cu:Zn, Cu:Ni:Sn or Cu:Sn:In, but numerous other combinations of metals are also possible such as Al:Sn. In such metal film systems the primary metal film would have a thickness of 2,500 Å to 20,000 Å and a secondary films would have a thickness of typically less than 2,000 Å and preferably about 500 Å. The primary and secondary metal films are preferably deposited sequentially without exposure to atmosphere, such as in the case of sequential sputtering steps in the same sputtering process tool. In this manner a metal oxide is not allowed to form on the surface of an underlying metal film. The metal films as first deposited have their purer form properties, so a properly chosen secondary film with a lower melting temperature and a high solid solubility coefficient or diffusion rate for the primary metal will achieve two goals for enhanced VSI bonding: enable substrate bonding to occur at a lower temperature, and, after subsequent lower temperature thermal annealing of the bonded wafers, allows for the diffusion of the secondary metal film into the primary film wherein the resulting metal layer of diffused metal films has a set of physical properties that more closely resemble those of the primary metal film such as a melting temperature that is higher than the melting temperature of the secondary film, and therefore, a higher working temperature for the metal bond layer resulting from the initial deposited metal films or other physical properties of the primary metal film.
  • A more explicit example would be a Cu:In set of metal films. The In to In films will form a bond at less than 100° C. with applied mechanical pressure, but the In will diffuse into the Cu metal films on either side of the bonded In films with annealing temperatures in a range of 80° C. to 150° C. or higher wherein the resulting diffused metal film will have a melting temperature that is much greater than the melting temperature of In at approximately 122° C. The resulting benefit of such a combined metal layer is one that retains the higher electrical and thermal conductivity of Cu without requiring the use of bonding temperatures in excess of 350° C. that would be required to form a Cu to Cu bond in a timely manner and without the use of higher mechanical bond process step pressures.
  • VSI Process Method for Low Power High Bandwidth Data Transfer
  • The VSI invention enables through vertical integration of two or more circuit layers a low power means for high bandwidth data transfer. The transfer rates through horizontal interconnect metallization on the surface of a conventional IC such a microprocessor presently demonstrate information transfer rates in excess of 32 Gbytes/s. This example is taken from the transfer of information between microprocessor and cache over a 256 bit wide bus with a 250 ps time interval and over signal bus lines of 1 mm [1,000 μm] or greater in length. Using similar information transfer circuitry over a shorter distance of nominally 250 μm or less and a bus path width of 2,048 to 4,096 [this bus path width is consistent with memory array sizes such as in DRAMs], the VSI invention enables a bandwidth transfer rate in excess of 2 Tbytes/s between circuit layers. Conventional IC I/O circuit driver [IC to IC on a common PCB] transfer power is nominally 10 mWatts and greater. The VSI power transfer per serial signal line between circuit layers is nominally less than 1 μWatt. This results in a power transfer dissipation reduction of approximately 1/10,000 less per I/O [vertical interconnections] between VSI circuit layers.
  • VSI Process Method and Complexity Reduction
  • The VSI invention is a method for vertical integration of two closely coupled circuit layers, or ICs which are bonded together in a face to face fashion, or a VSI circuit layer and a MEMS device layer. The bonding method is preferably thermal diffusion bonding and the bonding process preferably forms a hermetic seal of the surfaces of the IC circuit layers. A hermetic seal is formed when thermal diffusion bond processing is performed using inorganic metal or dielectric materials. Preferred bonding metals are metals such as Al, Cu, In, Sn, Zn, Ag, Au, and alloys of same. Preferred bonding dielectrics are oxides of silicon and nitrogen, and glasses with glass transition temperatures below 450° C. The circuit layers are interconnected by fine grain vertical interconnections and these interconnections are organized to form at least one bus path or connections for information transfer with a serial line data transfer rate capability of 100 Mbps and preferably greater than 500 Mbps or 1 Gbps, or 5 Gbps using conventional logic circuitry with a vertical separation of typically less than 2 millimeters using no circuitry of a design for transmitting signals distances greater than 10 millimeters. The circuitry used for sending information between the circuit layers on vertical interconnections does not require transmission line structure or specialized circuitry, this is due to the short distance of the vertical interconnection which are nominally less than 250 μm, and therefore, nominally less than a majority of the longer horizontal signal lines typical of a circuit layer or planar ICs in general. The circuit drivers for external I/Os or off IC transmission for both circuit layers are preferably fabricated only as part of one circuit layer. Such external I/O circuit drivers may be fabricated on the backside of anyone of the circuit layers, and the external bond pads of the VSI IC are all formed on the backside of one or both of the external circuit layers.
  • The VSI invention enables the backside processing of a single circuit layer by bonding a circuit substrate face down onto a second substrate without active circuit elements which serves the purpose of providing such capabilities as mechanical support, thermal dissipation, interconnection structures and or passive circuit elements. This second substrate may be made from a non-semiconductor material such as quartz, graphite, Boron Nitride, Aluminum, NiFe alloys or Mo. After the circuit layer substrate or wafer is bonded to the second substrate it is thinned as necessary, and thereafter, active circuit elements and horizontal interconnections may be fabricated on the backside of the circuit substrate.
  • The use of the VSI invention with only one circuit layer is its minimal form, with a primary benefit of enabling access to the backside of a circuit layer whereupon conventional semiconductor fabrication processes may be used to form or complete the formation of active circuit elements or horizontal interconnections. An additional substrate may be bonded onto the backside of the circuit wafer in order to form a hermetic seal of the circuit layer's surface, to form a means of mechanical protection of the circuit layer, and thereby, creating a package for the circuit layer.
  • VSI Process Method and Yield Enhancement
  • The VSI invention is a method for vertical integration of two or more closely coupled circuit layers and one or more MEMS device layers. The bonding method is preferably thermal diffusion bonding and the bonding process preferably forms a hermetic seal of the surfaces of the IC circuit layers. A hermetic seal is formed when thermal diffusion bond processing is performed using inorganic metal or dielectric materials. Preferred bonding metals are metals such as Al, Cu, In, Sn, Zn, Ag, Au, and alloys of same. Preferred bonding dielectrics are oxides of silicon and nitrogen, and glasses with glass transition temperatures below 450° C. The circuit layers are interconnected by fine grain vertical interconnections and these interconnections are organized to form at least one bus path or connections for information transfer with a serial line data transfer rate capability of 100 Mbps and preferably greater than 500 Mbps or 1 Gbps, or 5 Gbps using conventional logic circuitry with a vertical separation of typically less than 2 mm and without requiring circuitry for transmitting signals distances greater than 10 millimeters. The circuitry used for sending information between the circuit layers on vertical interconnections does not require transmission line structure or specialized circuitry, this is due to the short distance of the vertical interconnection which are nominally less than 250 μm, and therefore, nominally less than an majority of the longer horizontal signal lines typical of the circuit layer or planar ICs in general. The circuit drivers for external I/Os or off IC transmission for both circuit layers are preferably fabricated only as part of one circuit layer. Such external I/O circuit drivers may be fabricated on the backside of anyone of the circuit layers with the external bond pads of the VSI IC formed on the backside of one or both of the two external circuit layers.
  • Increasing the number of VSI layers will negatively affect the yield of the VSI component or IC in just the same manner as increasing the area of a planar IC. The VSI invention enables yield enhancement through the use of reconfiguration and or test layers. The fine grain vertical interconnections enable the reconfiguration layers to change the interconnection routing on one or more circuit layers when a portion of a circuit layer is determined to be defective. The test circuit layer can be used to determine defective portions of a circuit layer. Test circuitry can be physically external to the VSI component, but it is the preferred embodiment that test circuitry be internal to the VSI component to enable circuit reconfiguration on going during the useful life of the VSI IC. The use of reconfiguration layers, wherein also a redundant reconfiguration circuit layer or a redundant reconfiguration layer may be used to increase its yield, can be the primary yield determinate for the VSI component. This is a VSI yield factoring or VSI IC yield localization wherein the VSI IC yield is determined by a limited number of circuit layers of a VSI IC with a large number of circuit layers. VSI IC yield factoring is when VSI IC yield is determined primarily by the yield of its reconfiguration circuitry, or by the yield of a limited number of circuit layers which enable the yield of a VSI component to be largely determined by the designed use of these circuit layers, examples of VSI yield factor circuits are VSI memory components, VSI PLD components or large logic circuitry VSI ICs with appropriate redundant logic circuitry resources. The yield of VSI components, therefore, can be independent of the total area of all of the circuit layers comprising the VSI component. Further, the yield of an arbitrarily large VSI component or IC can be designed to always have a yield greater than 80%.
  • The VSI invention enables the fabrication of a circuit layer or a group of circuit layers with a well known yield expectation and wherein their subsequent inclusion in a completed VSI component affects the final VSI IC yield in a predictable and calculable manner that can be determined prior to VSI component fabrication. For example a memory circuit layer group, processor circuit layer group and a FPGA circuit layer group each with their own yield enhancement circuitry can be combined into a VSI component wherein the yield of the VSI component is determined in part by the known yield of each group and not the yield that would be anticipated by independently considering the yield of each circuit layer of the VSI component.
  • The VSI invention enables the use of 100% circuit redundancy for any circuit type without consideration to the layout of the circuit. The application of full redundancy in planar circuits is limited by the need to provide additional horizontal interconnection routing for redundant circuit sections and a doubling of die size which restricts the area of a planar circuit prior to redundancy circuitry additions to approximately one half the reticle area of present lithography tool which is for most lithography tools less than 3 cm2. The VSI invention does not have either of these limitations. The VSI full redundancy method stacks circuit layers of identical of mirror image layouts that are divided into arbitrary blocks of circuitry that can be isolated through the use of fuse links or by pass transistors if the block is found defective. If a block is found defective, it is isolated and the vertically positioned redundant or spare equivalent circuit block is used. Since both the first block and its redundant spare are vertically position relative to each other there are not routing delay effects induced because the routing length to each block are identical or nearly so. Further, the full reticle of the lithography tool can be used because no planar area need be used for placement of redundant circuitry. And most importantly, the yield of the circuit is improved for the well established reason that whatever the number of partitioned fully redundant blocks in the circuit, yield product of their separate yields will always be higher than the yield of the circuit taken for a planar circuit. For example, a planar circuit of size 4 cm2 in a state-of-the-art technology can be expected to have a yield of less than 25%, whereas the same circuit as a fully redundant VSI IC of eight blocks each with an area of approximately 0.5 cm2 and an approximate yield of 85% would have a VSI circuit yield of approximately 83% or [1−[1−0.85]2]8. It should be clear that the VSI yield calculation improves with a greater partitioning and is applicable to all planar circuits regardless of physical area if the effective yield cost is less than 50% after inclusion of test and packaging cost which is the case for many state of the art high performance logic circuits, and 32 bit and 64 bit microprocessors with die sizes greater than 2 cm2. This VSI method of yield enhancement also can be extended for use with any number of circuit layers or more than one redundant spare circuit block. An additional advantage of this VSI redundancy method is the design and layout of a circuit layer is much the same as would be the case for a planar circuit but for the incorporation of isolation circuitry associated with each redundant block.
  • The VSI invention enables yield enhancement by allowing IC processes to be segmented to separate circuit layers of the VSI component. This is distinct from the segmentation by circuit layer of semiconductor technologies such as the use of CMOS on one circuit layer and GaAs, GaN, InP, InSb, or ZnTe on other circuit layers. It is often the case that in the design of large CMOS planar ICs that only a limited portion of the IC requires the use of the most advanced IC process fabrication technology, whereas, the remaining and generally larger portion of the circuit does not. The more advanced IC process will have a lower yield per area than a less advanced process. The result is a reduced yield of the planar IC due to the use of a more advanced IC process over a larger IC area. The VSI invention enables that portion of the planar IC requiring the more advanced IC process to be fabricated as a separate circuit layer and the remaining portion of the circuit to be fabricated on one or more additional circuit layers. An example of this type of VSI yield enhancement is its application on high performance microprocessor wherein more than half of the IC is cache memory. Nine or more horizontal interconnection layers are used in present state of the art microprocessors, however, this interconnect density is need only by the microprocessor or logic portion of circuitry and not the on chip memory. The separation of the logic portion to a separate circuit layer results in limiting the lower yield process to only the logic circuit layer or layers, and allowing the memory portion of the planar microprocessor IC be fabricated with fewer horizontal interconnection layers. The memory portion of the planar microprocessor circuit fabricated as separate circuit layers will then have a higher yield, therefore, resulting in a net higher yield for an equivalent VSI microprocessor component.
  • VSI Process Method and Power Reduction
  • In many ICs the most significant source of power dissipation is from I/O driver circuitry required for off die or off chip interconnections. The VSI invention enables the reduction of circuit power dissipation through vertical integration of two circuit layers and by eliminating the need to have conventional I/O driver circuitry to transmit signals between circuit layers. VSI integration reduces the total number of off chip I/Os that would be required if each VSI circuit layer were instead a conventional planar circuit using off chip I/O driver circuitry.
  • The VSI invention enables power management of various circuit layers and portions of circuit layers of a VSI die through vertical integration of two or more circuit layers by physically placing power control circuitry on one or more circuit layers other than the circuit layers of which power dissipation is to be controlled. The power control circuitry controls power dissipation from circuit layers or portions of circuit layers by switching on or off the voltage supply and or clock signals carried over vertical interconnections from the power control circuitry based on system or application required use of the circuitry on the controlled circuit layers or portions thereof. The separation of the power control circuitry to a separate circuit layer enables the power circuitry to be fabricated from an IC process that is most appropriate for this purpose and vertical routing of power and clock interconnections simpler and shorter than in a planar implementation.
  • The VSI invention enables transistor leakage reduction through backside fabrication processing of transistor body contacts to achieve a forward body bias in order to increase the transistor threshold voltage [Vt] or fabrication of a backside gate or dual gate. The backside processing preferred embodiment uses a buried dielectric layer such as the oxide buried layer of a SOI [Silicon on Insulator] wafer substrate. In the preferred embodiment the buried dielectric can be used as an etch stop for precise thinning of the closely coupled substrate last bonded to the VSI substrate stack. Such controlled thinning allows contacts to be made to the backside of a transistor without affecting the thickness of the transistor body which can be less than 15 nm.
  • The backside processing enables the placement of contacts where biasing with larger than the power rail can be applied. This allows the off leakage from source to drain regions of the transistor to be reduced or eliminated depending on the applied voltage. Fabrication of additional circuit element structures such as the fabrication of diode junctions by epitaxy means or the fabrication of a floating backside [dual] gate, which can be used to apply a non-volatile or permanent bias to the transistor gate channel, is also enabled.
  • VSI Process Method and Test & Packaging Cost Reduction
  • The cost of testing and packaging of high I/O count planar ICs is often far more than the manufacturing cost of IC die of the completed circuit. The VSI invention enables through vertical integration the reduction or elimination of testing and packaging costs by the incorporation of ATE [Automatic Test Equipment] functions as a circuit layer and the packaging of two or more circuit layers eliminating the use of conventional planar IC interconnecting means. Conventional IC interconnection means of planar ICs are IC packages, package carriers or sockets for IC packages, multi-layer PCBs [Printed Circuit Boards] and PCB edge connectors.
  • Planar ICs are tested with the use of ATE systems which occurs more than once during the manufacturing process of a planar IC. The VSI invention enables the incorporation of ATE functions as a separate circuit layer which places a fixed limit on ATE processing costs no matter how often such tests may be performed for all the layers of a given VSI component and with the fixing of ATE cost at no greater than the cost of one of the VSI component circuit layers plus the pro rata cost per IC of test programming. For example, if a VSI component has five circuit layers and one ATE circuit layer, the cost of test processing is reduced approximately by a factor of five while enabling the performance of testing with additional frequency at no additional testing costs. It is well known that for certain ICs such as high performance microprocessors, longer test times are required to verify the proper functioning of the IC. Further, such internal test capability enables the testing of the VSI component during its useful life.
  • Planar IC packaging with I/O count in excess of 500 can cost several times the cost of the IC die they enclose. In order to interconnect a number of such packaged planar ICs additional interconnection means such as a PCB and package sockets are additional costs. The VSI invention enables the reduction of the number of packages used to one or none. This is accomplished by the VSI invention, since any number of VSI circuit layers need only require at most one package enclosure. Further, since VSI processing results in the enclosure of all circuit layer surfaces, which can be hermetic, no additional package enclosure may be needed. For example, if a packaged VSI component has five circuit layers, the packaging cost of the five circuit layers is reduced approximately by a factor of five. This cost can be further reduced to near zero, if the inherent self packaging capability of the VSI component is used.
  • VSI Process Method for Circuit Design and Validation
  • The VSI invention reduces the complexity of circuit design and validation by enabling an arbitrary division of a planar IC into a number of stacked circuit layers. This has the immediate benefits of simplifying functional and physical design, and validation. IC functional and physical design are simplified when a smaller physical area or size of a circuit layer is used; the partitioning of a large planar IC into several circuit layers allows changes to the functional or physical placement of circuitry on one layer to affect only the circuit layer on which the change is being made. By example, a change in a microprocessor circuit does not result in a physical change to associated planar ICs that it may be connected to on a PCB. IC validation is simplified by fixing the timing analysis of a circuit layer, and the signal timing between circuit sections on various circuit layers through shorter vertical interconnection paths; power distribution is also simplified in the same manner. The VSI invention allows the partitioning or division of a large planar IC enabling the interconnect density of the various partitions to be maintained, the routing distance between circuit divisions to be shortened, and the treatment of each circuit partition or circuit layer in a “black box” fashion, wherein once a circuit layer partition is completed it can be treated as a fixed entity that is not affected by subsequent circuit design changes on other layers. Further, a circuit partition that is physically used multiple times in a planar circuit will vary in design for each one of it uses, whereas as a VSI circuit layer partition has only one design. Present circuit design and validation software tools for planar circuits process the complete planar IC as a single entity resulting in longer processing times and software complexity. The VSI invention enables circuit design and validation to be performed on a circuit layer basis wherein circuit design changes can be considered to have affects limited to the circuit layer on which they occur.
  • The VSI invention enables a circuit design framework or platform wherein circuit layers of widely varying design and function, process and technology used previously on fabricated circuit designs can be reused in an as is manner as circuit layers for a new IC application. Circuit layers can be fabricated and held as inventory for subsequent insertion into a VSI component application, and therefore, avoiding the need to integrate the circuitry of this circuit layer into each and every new circuit design such as in the design of planar IC. This circuit design aspect of the VSI invention is not available in present circuit design processes. IP [Intellectual Property] in the form of hard circuit design must still be integrated and fabricated a new for each planar circuit application circuit use; the reference to reuse of such IP circuitry is in regards to it physical or logical design and not the reuse of the IP in a second application with the same fabricated circuitry from a first application. This type of VSI design platform simplifies circuit designs by eliminating the design validation complexity of integrating previously designed circuitry or IP for each application circuit and reduces the circuitry to be designed to that uniquely required to implement the desired application. For example, the advantages of the VSI design platform can be seen in applications regarding micro-controllers where microprocessor and memory circuitry are frequently unchanged for each application design and only peripheral circuitry is unique to the application design; a VSI design platform allows the micro-controller and memory circuitry to exist as an inventory of previously fabricated IP layers that does not require a design and validation effort for integration with the application specific peripheral circuitry. Another example of IP of a VSI design platform is tester circuitry. Tester circuit layers for broad classes of applications such as microprocessors, memory or analog can be inserted into a VSI component and programmed for the unique testing requirements of specific circuit layers of the VSI component application. The testing is accomplished through one or more tester specific fine grain vertical busing interconnections common to some number of circuit layers and or circuit layer vertical busing interconnections specific for use by such tester circuitry. A further example of the VSI design platform is the design of a VSI component using a large number of functional circuit units such as DSPs, FPUs, ALUs, MACs, Serdes, various SRAM, DRAM, MRAM memory groups, ECC, etc. as circuit layers and not requiring the design, validation, placement or orientation of the functional blocks with respect to each other as would be required in a planar IC design, while interconnection of these blocks would be through a placement convention for high density fine grain vertical interconnections or busing.
  • The VSI invention design platform further enables the means for the incorporation of redundant or spare circuitry in much the same manner as in the above examples. Yield of an IC is presently a result of fabrication process and its ability to achieve specific defect densities. The use of design to affect the yield of an IC only has limited specialized use such as in DRAM ICs. A VSI design platform allows selective yield improvement or enhancement per circuit layer. If the yield of a specific circuit layer of a VSI component is for example 25%, if a redundant or spare of that circuit layer is added to the VSI component layers, then the effective yield for that circuit layer [the primary circuit layer or the spare] is enhanced to 44%, and if a second spare is added the effective yield for that circuit layer is enhanced to 58% or 1−[1−0.25]3. The benefit of the VSI design platform method for yield enhancement is the low design effort, and simplicity and selectivity for increasing the effective yield of any given circuit layer of a VSI component. This circuit layer specific design approach to VSI component yield enhancement is preferably implemented through the use of signal line bypass transistors and or power bypass to isolate defective or spare circuit layers within the VSI component.
  • VSI Process Method and Self Packaging and Hermetic Sealing
  • The VSI invention enables self packaging and hermetic sealing of the circuit die. This is accomplished by the thermal diffusion bonding using thin film depositions of inorganic materials such as aluminum, copper or low temperature glasses to form the bond between circuit layers. Since all IC surfaces of a VSI die can be made to be interior to the circuit layer stack. The I/O pads used to make external connections to the VSI circuit are formed on the backside of the last bonded circuit layer. This is accomplished by making vertical interconnections through the semiconductor substrate of the last circuit layer where the substrate of this circuit layer may be thinned to a thickness of between 15 and 50 μm to provide a thicker separation between the circuitry of the last circuit layer and the bond pads formed on its backside. The vertical interconnections to the bond pads and the bond pads are formed with conventional process means. No additional packaging enclosure is then needed to protect exposed IC surfaces of the VSI circuit resulting in a self packaged condition upon the completion of the fabrication of the VSI die, because none of the VSI circuitry layer surfaces have external expose, as shown in FIG. 3, FIG. 4 & FIG. 5 and FIG. 1 of inventor's U.S. Pat. No. 5,915,167.
  • The process of bonding the circuit layers of the VSI circuit causes a hermetic seal of the surfaces of the circuit and wherein access to these surfaces is only from their exposed edges. The VSI invention achieves a hermetic seal depending on the type of bonding process used. Thermal diffusion bonding process using inorganic materials achieves a hermetic seal, whereas a bond process using organic materials may not. Since all IC surfaces are interior to the VSI circuit then all of the IC surfaces are sealed and no additional hermetic packaging enclosure is required to create a hermetically sealed environment for the VSI circuit which is always required for planar ICs.
  • VSI Process Method and I/O Pad ESD Isolation and Distribution
  • The VSI invention enables substrate isolation and distribution of I/O pads onto a separate circuit layer or the backside of a circuit layer. The placement of I/O pads over or in close proximity to active circuitry in planar ICs creates the opportunity for damage to the underlying circuitry from Electro Static Discharge [ESD]. ESD circuit structures around I/O pads are designed to couple the ESD to the substrate. The placement of I/O pads in a distribution pattern convenient to a packaging method is often incompatible with the placement and routing of the circuitry of a planar IC, therefore, I/O pads of planar ICs are generally located at the edge of the die to allow for fabrication of ESD structures, this often results in what is termed a pad limited die size where the size of the die is increased to accommodate the number of peripheral I/O pads and beyond that needed by the area of circuitry on the die.
  • ESD isolation of the I/O pad in a VSI die is accomplished without concern of circuitry placement or resulting in I/O pad limited die size because the I/O pads are formed on a separate circuit layer or on the backside of a circuit substrate. Therefore, the fabrication of ESD protection circuit structures and the distribution or placement of I/O pads, does not impact the placement and routing of VSI circuit layer circuitry. The benefit of this aspect of the VSI invention is smaller die size resulting from the removal of the area normally allocated for I/O pads from the circuit layout, and the elimination for nearly all circuit designs with the possibility of a pad limited die size condition.
  • VSI Process Method and Dielectric of Low K Value Constants
  • The horizontal circuit interconnections are typically formed in dielectric materials of silicon dioxide, however, the integration progression below 0.15 μm [150 nm] has raised the need for materials with lower dielectric constants than the nominal value of 3.9 provided by silicon dioxide. The fabrication method of the VSI invention enables the use of a vacuum or a fluid dielectric such as dry nitrogen or dry air resulting in a dielectric constant near or equal to unity for horizontal interconnections. This is enabled by a combination of the VSI fabrication process and the removal of the dielectric material or a sacrificial material such as amorphous silicon or low temperature glasses used in place of the dielectric material. FIG. 7A. shows in cross-section free standing vertical and horizontal interconnections 74 a in a cavity formed as part of a circuit layer. The VSI fabrication process forms a hermetic seal 76 b at the edges of the surfaces of the individual ICs of a circuit layer and or of a wafer or substrate during the bonding process step. During circuit layer fabrication a dielectric margin support 73 b is formed along the edge of each IC of the VSI circuit layer, and in the area at the edge of a die when arrayed as part of a substrate which is referred to as the die scribe lane 79; the forming of a hermetic seal does not require additional processing steps, is compatible with the metal interconnect processing steps and is accomplished through the design of the layout of the circuit layer. After the completion of the fabrication of horizontal interconnections and prior to wafer bonding the dielectric or sacrificial material is removed by selective etching or a dissolving solution leaving a free standing structure of horizontal interconnections; similar to some MEMS processing techniques used to make free standing metal structures. This method of forming a low-k dielectric separation between horizontal and portions of vertical interconnections of a circuit layer is herein referred to as VSI low-k dielectric. The free standing horizontal antennas for Rf transmission and reception can also be formed in this manner.
  • The horizontal interconnection structure remains without collapsing due to the low mass of the interconnection wires [measured in μm grams or less] and the typical use of low tensile stress deposition methods of forming the metal interconnects which are made primarily from aluminum or copper. The free standing interconnect structure is supported at the edge of the die by the dielectric margin of the IC 73 b and where also a hermetic seal is formed, and as may be required by the design of the horizontal interconnection layers or IC die size, support columns or posts formed with the interconnection structure as part of the interconnection fabrication process or subsequent to the formation of the interconnection structure but before the removal of the dielectric or sacrificial material. The vertical interconnections of the VSI fabrication process are formed as columns as required over the surface of the circuit layer. Once the circuit layer is bonded on to the VSI circuit substrate stack the free standing interconnect structure is protected from subsequent damage of continued VSI circuit layer processing and when the VSI component is cut from the substrate stack, it can be handled in the same manner as any other VSI component.
  • A further advantage of the removal of the dielectric material or the VSI low-k dielectric method when such metal interconnection materials such as Cu [copper] are used, is the reduction or elimination of the requirement for a barrier layer about the exterior of the interconnection. It is well known that a barrier layer such as TiN is required to enclose copper interconnections in order to prevent the diffusion of copper atoms in to the dielectric. It is not possible for copper to diffuse through a VSI low-k dielectric material. The elimination of the requirement to form a barrier layer enclosing the interconnections increases the conductivity of the interconnections and reduces the cost of fabrication. The interconnection conductivity is increased as a result of replacement of the barrier layer with additional interconnect material; all present barrier layer materials have lower conductivities than the preferred interconnection material of Cu now used and for circuit geometries of less than 120 nm the barrier layer thickness surrounding the interconnection can occupy more than 10% of the volume of the physical interconnection, and therefore, the combination of the barrier and interconnection material results in a net lower conductivity of the interconnection than if the barrier layer was not present. A cost reduction results from the elimination of the cost in forming the barrier layer.
  • VSI Process Method for Multiple Path Access to Memory for Error Detection and Correction
  • The VSI invention enables the use of conventional busing structures and memory organization to achieve various error correction methods. When two or more fine grain vertical bus paths to memory are used where the data or control information is transmitted on a separate bus from the error encoding information, the memory array structure does not need to be uniquely designed or structured to accommodate the amount error encoding information as is the case with current memory designs. Two examples of this is parity encoding which adds one bit of error detection information for every eight [8] bits, and ECC which can add two or three additional error detection and correction information bits for every eight bits of information.
  • This aspect of the VSI invention allows a number of vertical bus paths to memory to be implemented without the need to be designed to support a specific error detection or correction method, but to be designed without accommodation to any specific error detection or correction methods. The error information would be provided by one or more of the available bus paths to memory and programmable processing logic would decide where and when the method to apply if any to determine if information from memory is in error. The benefit of this partitioning of information and error code data of the information, simplifies the design of memory structure, does not require the error detection correction methods to be a fixed capability designed into the memory structure and enables a choice of when to apply a memory error detection correction method for some segment of stored information and which type of method to apply.
  • VSI MEMS Integration Methods
  • MEMS [Micro-Electro-Mechanical Systems] can be integrated as one or more layers of a VSI component using the VSI fabrication technology. A MEMS layer can be integrated as a separate layer or as an internal layer of a VSI component or group of VSI circuit layers or incomplete VSI component.
  • The VSI stacking fabrication processing sequences are sufficient to incorporate the inclusion of MEMS layers with minor or no modification allowing direct interconnection to the various circuit or device layers of a VSI component through fine grain vertical interconnections. There are two preferred VSI component MEMS fabrication sequence embodiments. These are:
  • [1] MEMS device substrate as the first layer of a VSI component: This sequence is:
      • [1.] Bond, preferably by thermal diffusion bonding methods, circuit layer top side down [face side down], this also seals and provides a protective cover of the open surface of the MEMS device.
      • [1.2] Thin as necessary circuit layer substrate or wafer and complete fabrication of circuitry and fine grain vertical interconnections on circuit layer backside.
      • [1.3] Continue the VSI fabrication stacking sequence. This sequence is the same as that used in completing a VSI component stack or for inclusion of additional MEMS layers as outlined below in the second VSI MEMS fabrication sequence.
  • [2] MEMS as an internal VSI component layer: This sequence is:
      • [2.1] Bond MEMS wafer layer onto an incomplete VSI component substrate or wafer stack. This typically would be done with the MEMS surface side of the wafer as the bonding surface; this seals the MEMS devices and allows subsequent processing of the backside of the MEMS wafer.
      • [2.2] Thin as necessary MEMS substrate or wafer and fabricate circuitry and fine grain vertical interconnections as needed.
      • [2.3] Continue the VSI fabrication stacking sequence. The MEMS layer may be the last layer of the VSI component or any arbitrary number of additional circuit layers and or MEMS layers may follow.
  • It should be further noted regarding VSI MEMS fabrication:
  • [1] Some MEMS substrates cannot be thinned from the backside to allow fabrication of VSI fine grain vertical interconnections. In these cases such MEMS devices would be restricted to being the first or bottom VSI layer so that fine grain vertical interconnections can be originated from the MEMS device surface of the MEMS substrate.
  • [2] A MEMS device can be fabricated [in situ] as a layer of a VSI component if its processing sequence steps [typically thermal step requirements] do not damage the existing VSI layers. This processing sequence can be implemented by first processing the backside of the substrate onto which the MEMS device is to be subsequently fabricated, wherein circuitry and fine grain vertical interconnections are formed to enable interconnection to and bonding onto a VSI component stack, after substrate bonding, the substrate surface to be used for MEMS device fabrication is available for processing. The processing sequence of the MEMS device will include processing steps to form vertical interconnections from the backside of the MEMS substrate for use by the MEMS device and connection to any additional VSI device layers.
  • [3] A MEMS device may require placement as the bottom layer of a VSI component but with its device surface [top surface] allowed to face out from the VSI component [into the environment] as may be required by an optical array. This is facilitated first by bonding the MEMS substrate to a temporary substrate with a release layer allowing its removal or a permanent transparent substrate such as glass, quartz or an application compatible substrate. In this manner, the backside of the MEMS substrate can be thinned as needed to allow fabrication of fine grain vertical interconnections and subsequent VSI processing.
  • The VSI process enables the integration of MEMS devices and a majority of semiconductor electronic or optical circuit types. This is possible because there is no requirement to merge the fabrication processes of the MEMS device and circuitry as would be the case in a planar fabrication that combined MEMS and circuit fabrication. The VSI process separates or segregates the typically incompatible fabrication processes to layers of a VSI component, and thereby avoids this particularly difficult problem. Presently MEMS devices cannot be integrated with state of the art semiconductor circuitry such as CMOS in a single die. The VSI process enables the integration of MEMS devices with semiconductor electronic or optical circuitry into a common or single die.
  • There are numerous benefits of VSI component MEMS integration, some of these benefits are a generic and simple method for integration of electronic and or optical circuits with a MEMS device, VSI process hermetic seal and self packaging, short wiring interconnections between circuit layers and MEMS device layers of typically less than 0.5 mm to 1 mm, higher analog circuit fidelity and lower noise from short interconnect length, smaller net IC and MEMS footprint size, greater interconnect density and the anticipation of low cost.
  • VSI Optical Circuit Layer Integration Methods
  • Optical circuits fabricated with semiconductor processing means can be integrated into a VSI component with the same VSI fabrication processing steps as those used for electronic circuit layers. In the preferred embodiment, optical circuits can be bonded onto a VSI component stack with dielectric or metal thermal diffusion bonding and then thinned as needed to allow fabrication of optical connections on the backside of the optical circuit layer or vertical optical interconnections to other circuit layers.
  • The switching of optical input signal to optical output signals is now accomplished by converting incoming optical signals from a given optical input to electronic signal to make the determination onto which outgoing optical signal the incoming information from the optical signal should be forwarded or output. This presently involves the use of a number of discrete optical and electronic circuits assembled on a PCB [Printed Circuit Board] or MCM [Multi-Chip Module]. The VSI invention enables the integration of optical and electronic circuits into a single Optical-Electronic [OE] IC or die or Optical-Electronic-Optical [OEO] IC or die.
  • Such OE or OEO ICs reduce the cost of optical switching applications through reductions in packaging and IC package to IC package interconnection costs. Such OE or OEO ICs improve optical switching performance by coupling the electronic circuitry on layers within distances of microns to either optical input or output means; this reduces the delay time of electronic signals both from between the optical inputs and outputs and electronic circuitry, but also among the numerous electronic circuit means that comprise the electronic processing portion of the VSI OE or OEO IC, such as programmable processors, programmable logic [PLDs] and memory.
  • The VSI OE or OEO IC structure enables the integration of active and passive optical signal processing components such as modulators, filters, optical sensors and laser diodes, or WDMs as separate VSI layers in the OE IC. Planar optical circuits are increasingly being fabricated on silicon or similarly sized substrates and with processes that are compatible with semiconductor electronic circuit fabrication. The VSI process directly integrates such optical circuit substrates or wafers into a VSI substrate stack or component as long as, at a minimum, the edge surrounding the optical circuit die is sufficient planar for use by one of the VSI substrate or wafer bonding processes.
  • FIG. 10 shows the integration of an optical circuit layer into a VSI component IC stack 104 which is mounted on a support substrate 103. Optical signals are received by way of an optical fiber connection 101 a, 101 b passing through and attaching to support substrate 103 and sensed by photodiodes 102 on one or more circuit layers of the VSI component 104 where these optical signals are converted into electronic signals. The optical signals may also be routed between optical circuit layers of the VSI component 104, prior to their conversion to electronic signals, by means of optical planar wave guides and reflective corner mirrors or facets fabricated on an optical and or electronic circuit layer or by means of layer to layer coupling by placing optical waveguides [using voids or dielectric materials with appropriately chosen indices of reflection] into physical proximity as a result of the substrate or wafer bonding process. Electronic signals are converted to optical signals from within the VSI component by laser diodes on one or more circuit layers and routed from the VSI component via planar waveguides on one or more circuit layers terminating into one or more output optical fiber connections.
  • VSI Ate Method for Internal Self Test
  • Another embodiment of the VSI invention is the use of self test circuitry as a layer that can be programmed to test one or more logic and or memory type circuit layers of a VSI component. These self test or ATE [Automatic Test Equipment] circuit layer or layers may have many of the design and operating characteristics found in present ATE systems, however, the VSI self test or ATE circuit layers offer fundamental differences in operation and capabilities versus externally applied ATE systems. The VSI self test or ATE circuit layers may rely on or make use of the internal memory layers of the VSI component to store some or all of its test vectors, and can perform its testing procedures of the VSI circuit layers of which it is a part on demand, such as during manufacturing burn-in processing or at anytime during the useful life of the VSI IC or VSI component. The use of the ATE term with respect to a VSI test circuit layer or layers is intended to make clear that such VSI circuit layers are generic programmable VSI circuit layers with as much or more of the test function capability as an ATE system and intended for integration into VSI components for use in testing a broad range of VSI component applications. The test function logic of an ATE system can be integrated as one or two planar ICs, however, at least the requirements for very high throughput resulting in large complex test vector memories and very sophisticated transmission interconnection paths in order to propagate test signals to the DUT [Device Under Test] with matched timing from the ATE to the DUT have prevented the ATE systems from benefiting from advances in IC integration to the same degree as the ICs the ATE systems are intended to test. These limitations or disadvantages do not exist for the VSI ATE logic circuit layers for the following reasons: the use of a smaller internal VSI component memory is sufficient because there is not a high throughput requirement for processing a number of ICs per hour, as with ATE systems [due to the large capital cost of the ATE systems], the internal VSI component memory can be loaded repeatedly with additional test vector data until the desired testing is completed, and therefore, large complex test memories are not need; the VSI ATE interconnections to the other VSI circuit layers are through fine grain vertical interconnections which are too short to create signal propagation timing differences, and therefore, the complex signal transmission interconnect and circuitry are not needed; and further, as a consequence that the VSI ATE circuit layers are closely coupled to the circuit layers of the VSI component, the power requirement of the VSI ATE is nominally 1,000 to 10,000 times less than a ATE system and the number of ATE test contacts, generally referred to in the ATE art as “pins” can be increased by greater use of VSI vertical interconnections with only marginal increases in power dissipation, and most distinguishing versus ATE systems, little or no increase in VSI ATE fabrication cost.
  • The integration of an ATE circuit layer into a VSI component requires that there is sufficient internal memory to support the operation of the ATE processing logic, and the added cost of the ATE layer for most applications be less than the combined testing costs of the individual device layers that may be included in the circuit design of the VSI component. The inclusion of the ATE circuitry becomes a reasonable consideration in the use of the VSI invention given the rising cost of testing for such complex logic circuitry as microprocessors or network processors where their test costs presently exceeds their fabrication costs, and wherein the duration of present external ATE testing does not provide exhaustive fault coverage of the circuit. The VSI ATE method for IC testing allows a fixed cost of ATE logic usage for whatever duration of testing, this is not the case with current ATE systems because such systems have very high capital costs and this results in a trade-off of test usage costs versus adequate IC test coverage. The cost per VSI component of the VSI ATE method can nominally be estimated as a fixed cost of approximately the cost of one circuit layer of a VSI component, and independent of the duration of testing conducted on the VSI component.
  • The operation of the ATE circuitry testing program can be loaded under control of external logic or by internal logic of the VSI component under test. The test vectors used by the ATE are loaded into the memory of the IC or VSI component and testing is conducted as needed to complete the desire level of fault coverage for a given device layer or group of device layers. This process is then repeated as needed for the testing of all device layers of the IC including the testing of optical and MEMS device layers. One of the significant differences of the VSI ATE test method versus present external ATE system testing methods is that it enables VSI component or IC testing to be conducted for extended periods of time and at the maximum performance rate of the VSI component in either burn-in facilities or low cost PCB test fixtures, and after assembly in an end use application.
  • Other manufacturing benefits of internal ATE circuitry in addition to lower circuit test cost are at-speed testing of the IC, testing sufficiency for greater IC fault coverage, no additional IC test handling or requirements for IC bare die or packaged tester interface fixtures. The fabrication process used to make the ATE circuitry can match the state-of-the-art fabrication process used for any of the other electronic circuit layers of the VSI component. The electronics used in current state of the art ATE systems are generally two to three IC generations behind IC state of the art fabrication processes, and therefore, are often insufficient to provide the testing performance capability that IC manufacturers seek, and greater automation of the IC manufacturing process.
  • VSI Yield Enhancement Methods
  • The VSI integration process invention incorporates several circuit yield enhancement methods. These methods vary in application but are distinctly enabled through the VSI integration process. The term yield used herein is the percentage of accepted [passed] VSI components or circuit die of the total number of available die per VSI wafer or substrate stack.
  • The VSI yield enhancement methods of the invention are presented below in an order intended to show that there is a progression of capability of the VSI yield enhancement methods.
  • VSI Yield Enhancement Method 1, Die Size Reduction
  • The VSI invention enhances die yield by allowing a large planar circuit die to be partitioned into some number of circuit layers each with the same die size but with a reduced die size versus the original planar circuit. The statistical yield of a VSI die is higher versus the equivalent planar die. This is the due to the existence of the non-linear relationship of yield versus die size. The VSI integration process enables IC fabrication processing to uniquely utilize this non-linear relationship.
  • As an example, a die of 400 mm2 in a current CMOS logic or memory process may have a yield of less than 20% whereas a die of 25 mm2 in the same process may have a yield of 96%. The yield of an equivalent VSI component or die made of 16 25 mm2 circuit layers is (0.96)16=0.52 or 52%. This yield enhancement is a statistical result and in all likelihood is a consequence of IC fabrication processes, but is a yield enhancement result uniquely attributable to the VSI integration process.
  • VSI Yield Enhancement Method 2, Wafer Tiling Efficiency
  • The VSI invention enhances die yield per wafer or substrate by allowing the size of a planar die to be reduced by partitioning portions of a planar circuit design onto separate circuit layers to achieve a higher wafer tiling efficiency or a greater number of physical die per wafer or substrate surface area. This increases the total number of dice fabricated per wafer, and therefore, for some percentage yield, a greater number of total good or usable dice.
  • The VSI dice per wafer yield enhancement quantitatively varies in a range approaching 25% greater die per wafer or substrate and can be shown by the example of a 520 mm2 planar die and an equivalent VSI component or die with a size 66 mm2 and 8 circuit layers. The 520 mm2 planar die will have a wafer tiling efficiency of approximately 74% or 44 die per 200 mm2 diameter wafer. The equivalent VSI component or die will have a wafer tiling efficiency of approximately 85% or 400 die per wafer. This results in a net increase of 48 additional die or 14%, or an increase in die per wafer [VSI stack] yield of approximately 14%. If the VSI die size is decreased to 33 mm2 there is a net increase of 149 die or an increase in die per wafer [VSI stack] yield of approximately 22%.
  • The increased number of circuit die per wafer results in increased die per VSI wafer or substrate yield. The reduced die size used in this method of VSI yield enhancement is further improved, and unavoidably benefits, from VSI yield enhancement method 1.
  • VSI Yield Enhancement Method 3, Process Separation
  • The VSI invention enhances die yield by allowing the separation or segregation of high complexity processes or technologies which have lower yield to be restricted to a smaller physical size when integrated with high yield processes or technologies. The VSI invention allows the size or physical dimensions of a VSI component or die [not the total area of all circuit layers] to be determined by the maximum amount of circuitry desired per circuit layer rather than the total amount of circuitry to be fabricated onto the die as is the case with planar ICs. The net yield of a VSI component or die per wafer or substrate, therefore, is the combined yield of the separate or segregated circuit layers made with lower complexity processes or a combination of higher and lower complexity processes, as compared to the yield of equivalent planar circuitry requiring the merger of two or more distinct circuit fabrication processes resulting in a more complex process with higher defect density and lower yield. The VSI component or die yield will be always greater because the complexity or defect density per VSI circuit layer is lower resulting in a higher net yield of VSI components. This yield effect of the VSI process can be stated as resulting from a semiconductor industry established fact that the defect density of merged IC fabrication processes are always greater than the defect densities of those IC fabrication processes if utilized separately.
  • An example of this specific VSI yield enhancement method is the application of the VSI invention to high performance planar microprocessor, communication or graphic processors which incorporate both logic and large amounts of one or more types of memory. Such planar processor circuits are generally designed with a significant percentage of their circuit surface area allocated to memory circuitry. The logic circuitry typically uses a transistor fabrication process that is more complex or significantly different from those used for fabrication of the memory circuitry. The logic circuitry presently may require nine [9] or more horizontal interconnect metallization layers whereas the memory circuitry typically requires less than four [4], and in the case where embedded DRAM memory is used, the logic and memory cells are formed with two different processes. The fabrication yield of the logic circuitry is usually less than that of the memory circuitry due to its greater fabrication complexity, therefore, the combination of two or more distinct fabrication processes increases the total number of process steps required to produce the a planar IC resulting in a lower net circuit yield than if the logic and memory circuitry where fabricated as separate planar circuits. The VSI invention enables the logic and memory circuitry to be fabricated separately and integrated as separate closely coupled circuit layers of the VSI component or die. Therefore, yield of the VSI die is higher than a planar die equivalent because the net yield of the individual and separate logic and memory circuit layers of the VSI die are higher. A further aspect of this yield enhancement method is that it has a lower cost of manufacturing, and therefore, lower net die costs result from both a higher yield and cost savings from manufacturing wafers or substrates with fewer process steps. The following is an example of this VSI yield enhancement method. The percentage logic circuitry of planar die surface area in current high performance microprocessors such as 32-bit and 64-bit circuits with a die size of 200 mm2 is less than 25% with the balance generally given over to memory circuitry. An equivalent VSI component or die of the planar circuit would consist of the logic layer and three memory layers. The estimated yield of the VSI logic layer is 85% and 94% for each VSI memory circuit layer, the estimated yield of this VSI component would be approximately 70% versus an estimated yield for the equivalent planar circuit of 40%.
  • Another example this specific VSI yield enhancement method is the use of non-silicon fabrication technologies such as GaAs, InP or InSb for logic and CMOS memory. If GaAs is used as the non-silicon semiconductor technology, it is well known that the yield of GaAs is significantly less per unit area than that of digital logic CMOS or for such a CMOS memory as SRAM. Presently there are no commercially produced examples of planar ICs with merged GaAs and CMOS technologies, however, it is reasonable to estimate that the yields of such planar circuits would be significantly less than the yields of their separate GaAs and CMOS circuit portions if fabricated as separate planar circuits. The capability to integrate different semiconductor technologies into a single die would enable the integration GaAs optical transceivers circuitry and digital CMOS, simultaneously improved performance over present discrete IC implementations and at lower cost. The fabrication of different semiconductor based circuits as circuit layers under the VSI process and in combination with VSI backside interconnect fine grain vertical interconnect fabrication means allows wiring connections to be made on the basis of individual circuit elements or devices between the two distinct semiconductor technologies by virtue of the placement of such devices in close proximity either directly above or below each other, and therein, achieve a circuit integration equivalent to planar integration. This method of VSI yield enhancement by circuit layer complexity reduction or separation can be further improved by use of VSI yield enhancement methods 1 and 2.
  • VSI Yield Enhancement Method 4, Vertical Redundancy
  • The VSI invention enables yield to be primarily determined by a specific portion of circuitry instead of circuit yield being directly proportional to total surface area of all VSI circuit layers. In this method of the VSI invention, the portion of circuitry affected by a defect is small preferably less than 0.5 mm2 in size. The size is important because when a defect is found the affected area of circuitry or the portion of circuitry that can be isolated and replaced by an identical spare is preferably small. This is VSI fine grain redundancy and allows a circuit to be repaired through the availability of 100% circuit logic redundancy which is structured as shown in FIG. 11A. In FIG. 11A functional unit F11 can be replaced by F21 or F31 which are positioned in close vertical proximity which allows vertical interconnections 1101 a to all three units to be of similar length and require a minimum of horizontal interconnection wiring; it should be clear that a planar placement of these same functional units would require wiring lengths that would vary significantly from each unit. Similar wiring length efficiencies are represented by vertical interconnections 1102 a and 1103 a.
  • FIG. 11B and FIG. 11C contrast the wiring length efficiencies of VSI vertical fine grain interconnections with planar interconnections. FIG. 11B shows in cross section a planar IC 1104 b with logic unit 1102 b and spare logic unit 1101 b which are separated by wiring length dh 1105 b. Redundancy control unit 1103 b is used to enable the use of either logic unit 1101 b or 1102 b. FIG. 11C shows in cross section a three VSI circuit layers 1104 c, 1105 c and 1106 c with logic units 1101 c, 1102 c and spare control logic unit 1103 c vertically aligned and positioned on one each of the three circuit layers. Logic units 1101 c and 1102 c have a vertical wiring length of dv 1107 c. The dh 1105 b wire length will vary widely because it is dependent upon the placement and size of logic units 1101 b, 1102 b and any requirements on their placements relative to other logic unit circuitry. It would not be unusual that dh have nominal wiring length values in a range of 500 μm to 2,000 μm. The dv 1107 c wire lengths only dependent on the number of vertical layer separations of the logic units 1101 c and 1102 c which in most cases will be in a range of 10 μm to 20 μm. The wire lengths of dh are nominally 50 to 200 times greater than dv. The wire length variances of dh are significant to the performance of an IC and will cause the circuit timing to be a function of the longest wiring lengths between the logic units, this may cause the timing performance of the circuit to be inadequate. Secondly, the placement of spare circuitry will cause additional wiring lengths between a number if not all of the other logic blocks of a planar IC and again resulting in lower or inadequate circuit performance. It can be easily seen from this comparison that a 100% or 200% VSI circuit redundancy will not affect circuit performance as a result of wiring length delays, and that the use of the VSI method of redundancy is not only transparent to performance but also to the layout or placement of the IP or circuit blocks of a given circuit layer.
  • The unique difference of this method is that the majority of horizontal wiring layers are on one side of a circuit layer with one or more circuit element or element group spares directly below each primary circuit block that can be replaced if defective. This type of fine grain circuit redundancy nominally results in yields of greater than 99%. The reason for this very high yield is that the portion of circuitry being spared is small enough to have an effective yield of 99.99% and there is at least one [1] available spare to replace it should it be defective providing an effective yield for the primary circuitry and its spare of 1−[1−1−0.9999]2=99.999999%. This yield per configurable circuitry portion enables 90% yields for logic circuit layers of greater than 1,000,000,000 transistors with the assumption that each sparing portion of circuitry has 10 transistors or more and some number of the first horizontal interconnection layers such as the layers generally referred to IC layout art as M1, M2 and or M3.
  • Selection of the primary or spare circuit portion is accomplished by a row and column orthogonal wiring matrix on the backside of the primary circuit layer or on the spare circuit layer[s] which can select and configure the use of spare circuitry on the spare circuit layer as temporary or permanent. This type of sparing interconnection, allows for the repair of circuitry in a VSI component throughout its useful life. This method of yield enhancement has little if any impact on conventional planar circuit design methods because the spare circuitry is vertically below or above the primary circuitry with fine grain vertical interconnection providing the wiring for enabling the use of the spare circuitry, and therefore, the logical or physical design of any circuit is unaffected. This method lends itself for automation by the physical layout CAD tools used for present planar circuit design because this method does not cause changes to the planar circuitry placement. The CAD tool would insert fine grain vertical interconnection routing to sparing circuitry as a vertical overlay of a circuit design with interconnections for circuit sparing made from the backside of a primary circuit layer.
  • Fine grain vertical interconnections and pass transistors or fuses/anti-fuses can be used to replace the primary circuitry with the spare circuitry in the event of a defect in the primary circuitry. The efficiency or cost reduction of this VSI invention is provided through its high yield and the ability of the VSI process to integrate identical circuit layers organized in circuit blocks to the horizontal wiring layers of one circuit layer.
  • Vertical buses are themselves sources of IC defects from fabrication of the vertical bus interconnection or the logic used to interface to the bus vertical interconnections. The area used per circuit layer even for buses with large numbers of wires such as 1024 or 4096 vertical interconnection wires is relatively small. The area required for a bus of 4096 vertical wires with a 2 μm wiring pitch and its drive logic is approximately 0.03 mm2. It is cost effective to make portions of a bus reconfigurable with spare or redundant logic or wiring portions or to have a fully redundant bus in close proximity to the primary bus.
  • VSI Yield Enhancement Method 5, Yield Factoring
  • The yield of memory, PLDs and multiprocessors VSI components or ICs can be determined from the yield of one or two circuit layers, therefore, a yield of 90% or higher can be expected no matter how large the actual circuit area. This method of VSI IC yield enhancement combines the use of circuitry sparing and the incorporation of test and reconfiguration logic.
  • This VSI invention method for circuit yield improvement combines the use of the VSI fine grain vertical interconnect, the ability to isolate whole circuit layers or arbitrary portions of circuit layers through pass transistors, fuses, anti-fuses or other electronic device, and yield management control, test and reconfiguration logic. The VSI fine grain vertical interconnect requires little surface area for implementation of large number interconnections on a circuit layer and typically no additional horizontal interconnect layers are needed in order to connect yield management control logic which resides preferably on one of the layers of the VSI component or can be partially external to the VSI component.
  • The VSI yield methods are uniquely enabled by the novel aspect of fine grain vertical interconnect that provides significantly greater densities of circuit interconnections than possible with horizontal interconnect and without additional process complexity. This VSI yield enhancement method requires a greater level of interconnect than can be provided by horizontal interconnect at present with 8 to 9 layers or even the 14-16 layers anticipated in the future. This aspect of fine grain vertical interconnect is demonstrated when considering that fine grain vertical interconnections implemented with a 2 μm pitch enables an interconnect density of 250,000 interconnections per 1 mm2 or the equivalent of 125 horizontal interconnect layers of 0.25 μm pitch over an area 1 mm wide.
  • This VSI yield method achieves yield improvement by partitioning a VSI component by circuit layer, Circuit Block of a circuit layer or a circuit element of a circuit layer that is vertically interconnected to one or more spare circuit equivalents. Such spares are enabled by yield management control logic. The yield management control logic typically on a separate circuit layer. The yield management control logic uses self test circuitry or internal VSI ATE [Automatic Test Equipment] circuitry to determine the presents of a defective circuit layer, Circuit Block or circuit element. If a defect is found by the internal test circuitry, the yield management control circuitry uses reconfiguration circuitry to disable the defective circuit layer, Circuit Block or circuit element and enable spare circuitry replacement.
  • This VSI method of yield enhancement is efficient for the following reasons:
      • 1 Multiple circuit spares can be placed vertical association or proximity to a primary circuit.
      • 2 Vertical interconnection density is able to meet the interconnection density required by circuit sparing.
      • 3 Conventional circuit layout techniques can be used since circuit spares are on separate circuit layers.
      • 4 Internal yield management control circuitry, self test or VSI ATE circuitry, and reconfiguration circuitry can be generic or non-specific to the application circuitry it is embedded with in the VSI component. This circuitry can exist on inventory or pre existing VSI circuit wafers or substrates for immediate use and do not require circuit design layout for integration into the application circuitry.
  • This VSI yield method is not restricted to die size since yield is dependent on sections of circuitry of a circuit layer or Circuit Blocks that have a surface area that is smaller than the circuit layer or die. However, the use of a die size of less than 200 mm2 and preferably 50 mm2 or less, reduces the fabrication horizontal interconnection complexity of a circuit layer, and therefore, the likelihood that a circuit defect will occur on a specific circuit layer and less so per Circuit Block. The reduced fabrication complexity results from few active Circuit Blocks types, and therefore, typically a reduced number of horizontal interconnect layers. The die yield model for planar circuits resulting from the established and traditional semiconductor fabrication process quality enhancement methods is directly related to die size. This VSI yield method uses the established planar fabrication yield method benefits for smaller die or Circuit Blocks in combination with fine grain vertical interconnect to achieve higher yields for VSI circuits by raising the expected yield per layer than would be possible if the separate VSI circuit layers were made as one planar circuit. The VSI small die size yield enhancement method benefit is more clearly seen when considering semiconductor technologies or processes that when merged on a common substrate [versus implemented separately as VSI circuit layers] are known to have lower yields such as in the case of merged GaAs and CMOS technologies or digital CMOS and DRAM memory cells.
  • The yield management enhancement circuitry isolates a whole VSI circuit layer, portion or portions of a circuit layer from the operation of the total circuit. It also can enable the operation of a whole circuit layer, portion or portions of a circuit layer for operation with the total circuit. There can be multiple yield management control logic units which are specialized to work with various circuit types such as DRAM, Flash, PLD, analog circuit arrays, passive circuit arrays, MEMS, microprocessors, network custom processors, etc.
  • The VSI yield method is independent of die size. This is to say that with sufficient spare or replacement circuit portions, and or sufficient redundant circuit layers, the die size can approach the size of a complete wafer for such applications as full wafer circuit test and burn-in [a MEMS application wherein micro-probe points are integrated on the surface of a VSI wafer stack].
  • VSI Wireless Interconnection Array and Applications
  • Semiconductor fabrication methods are expected to reduce the cost of planar integration of 10 billion transistors per cm2 to less than a few dollars within the not too distant future. The availability of such large quantities of low cost transistors provides the opportunity for implementing information processing electronic subsystems or equipment solutions by using increasing amounts of parallel circuit functions. What has been and continues to be a clear limitation on the use of such large numbers of transistors is the ability of current planar IC fabrication technology to provide sufficient interconnection density of these transistors. The interconnection requirements of an array [n by m] of transistors as the quantities [n] and [m] become very large increase in an exponential manner resulting in the use of greater numbers of interconnection metallization levels or methods that compromise or trade lower interconnect performance for fewer metallization levels. The cost and technology limitations of greater numbers of interconnection metallization levels will result in lower utilization of future lower cost transistors, and therefore, the potential benefits to be gained from these transistors are reduced or potentially eliminated.
  • Circuit layer stacking as shown by the VSI invention has a first enabling benefit of increasing the number of interconnections or interconnection density between any two IC layers while simultaneously lowering the net cost of achieving greater interconnection density through the use of various well established semiconductor fabrication methods. The VSI invention provides greater interconnection metallization density through interconnect metallization scaling through the arbitrary addition of three dimensional [k by n by m] circuitry which is independent of the fabrication process used for making any one of several possible circuit layers of the VSI IC. This thereby increases the planar [n by m] interconnection metallization limitations by [k] times. The VSI method for increasing interconnection density increases the utilization of future lower cost transistors, and therefore, the benefits that can be gained from these lower cost transistors.
  • When an IC is packaged similar interconnection limitations return. When a VSI IC or conventional planar IC is packaged and there is a requirement for forming large numbers of I/O connections between packaged ICs, the IC designer is no longer able to take advantage of semiconductor fabrication cost reduction advantages. This is an obvious problem currently seen where packages in excess of 600 I/O connections can cost far more than the ICs they contain, and reduce the potential performance while increasing the operating power requirements of the interconnected collection of such ICs. Once again, for large numbers of interconnections between separated VSI ICs, similarly stacked ICs or planar ICs, a solution for the problem of reducing the cost and performance disadvantages of the high density physical I/O interconnections between ICs bare or package is needed.
  • Large computational or communication switching equipment or systems are presently assembled using planar IC and PCB [Printed Circuit Board] technologies. These systems use numerous microprocessor ICs that operate in parallel and in conjunction with other ICs such as memory ICs to achieve an intended performance capacity. These systems are typically assembled from a number of PCBs wherein a PCB has mounted on it one or more microprocessors or processors and associated support ICs such as application specific logic ICs, memory ICs and bus I/O or interconnection ICs and may be generically referred to in industry as processor PCBs or processor modules. These processor modules are then typically plugged into another PCB often called a backplane which provides one or more wired interconnection paths between all the processor modules; in addition, there may be cabling connections between the processor modules to improve the data access and transfer rate between processor modules; such cabling may take the form of a bundle of copper transmission wires or one or more fiber optic transmission lines. A PCB backplane interconnection is often a shared or multiplexed interconnection resource of the processor modules plugged into it, and cabling is used to connect two processor modules [point to point] or small number of processor modules that are part of a larger assembly of processor modules. All of the backplane or cabling interconnection methods presently in use in such systems, due to their physical structure or size, are not practical or are impossible for use in making high numbers of point-to-point connections per processor module, and further, to the extent that they are used result in a significant increase in the overall physical size or volume of the system. It is because of the physical or mechanical limitations inherent in the wired interconnection methods used for interconnecting processor modules that systems of processor modules are organized in groups of processor modules that are in some fashion closely coupled or interconnected and wherein the number of processor modules in a group is typically less than 32. Groups of processors are loosely coupled or interconnected by cabling to make data processing or supercomputer systems consisting of more than the number of processor modules that may be in a group of processor modules.
  • An example of such a data processing system is a current IBM supercomputer of 1,408 processors consisting of 44 groups of processors wherein each group contained 32 processors; computational systems like this IBM supercomputer presently cost in the hundreds of millions of dollars even though the microprocessor and memory ICs comprising a majority of the data processing related ICs in their assembly amount to less than 1% of their cost. The majority of the physical costs of such large computational and communication systems are accounted for by the costs of their PCB and cabling interconnections and not the semiconductor ICs. This disparate distribution of the material costs of the system has the result, which is well known to those skilled in the art of designing large multiple processor computational systems, like the above referenced IBM super computer, that the number of interconnections per processor module is not sufficient to allow the processors to operate continuously at peak performance.
  • An alternative interconnection structure architecture to processor modules organized as groups, sub-arrays or clusters such as the IBM supercomputers is the mesh or Massively Parallel-Processing [MPP] interconnection structure used in the Red Strom supercomputer system of CRAY Inc. wherein over 10,000 microprocessor modules are physically interconnected each to seven other microprocessors. When a processor module needs to communicate to a processor module that it is not physically interconnected, router logic in each module routes or passes the request and information to subsequent intervening processor modules until the target processor module of the communication is reached. This is similar to an Internet Protocol communication network wherein communication between the majority of processor modules is not a direct point-to-point interconnection, but through some number of intervening processor modules with an additional access and transmission delay for each intervening processor.
  • It is clear that both the clustered and mesh processor module interconnection topologies are not uniform point-to-point topologies. Both of these currently in use processor module interconnection topologies are known to excessively limit the maximum potential performance of the system of processor modules due to delays resulting from data transmission paths with intervening or forwarding modules during communications between various pairs of processor modules. Further, due to the fixed and physical interconnections connecting the processor modules, when a physical failure occurs that affects the use of one of these fixed and physical interconnections or when there is communication along a specific fixed capacity interconnection path that exceeds its capacity, routing around or avoiding this failed or capacity limited interconnection path has as yet to be solved without resulting in additional performance losses and system implementation costs. These limitations are a direct result from the fact that the interconnection topologies of these processor module structures do not use a multiple point-to-point interconnection method and that physical and not wireless interconnection methods are used.
  • The limitations of present processor module interconnection technology used in the design of large computational or communication systems can be summarized as three broad categories:
      • 1. Processing capacity. The processing capacity of a system of a large number processors operating in parallel for the processing of a common problem is directly related to the structure of the interconnections interconnecting each of the processors and physical distance separating each of the processors.
      • 2. Interconnection capacity. The interconnection capacity of a system with a large number or array of processors used in parallel for the processing of a common problem is directly related to the number and available on demand [instantaneous] capacity interconnections interconnecting each of the processors. This interconnection capacity also directly affects the capability of such processor systems to recover from physical failures and excessive interconnection path loading.
      • 3. Physical size or volume. The greater the number of physical interconnections interconnecting each of the processors of a system of processors the greater the physical size or volume of space required by the interconnection means and the greater the separation distance between each of the processors. The physical size of the mechanical means used to interconnect processor modules such as PCB backplanes and cabling connector sockets require a physical volume of space for their use that is considerably larger than the volume of the ICs they are intended to interconnect. This volume of space for wired interconnections for a module is greater by factors in a range of 1,000 to over 100,000 than the volume of space required by the processing electronics they are intended to interconnect.
  • The VSI invention enables a means for reduction of the cost and performance disadvantages presently resulting from high densities of physical interconnections between information processing or switching planar ICs, VSI ICs or stacked ICs also from time to time herein referred to as a processor ICs, or modules of VSI ICs or stacked ICs and or planar ICs, wherein a module also from time to time herein referred to as a processor or processing module, is a collection of such ICs on one or more interconnection substrates; examples of modules are a MCM [Multi-Chip Module], MCP [Multi-Chip Package], a PCB [Printed Circuit Board] with ICs or MCMs thereon and an assembly of PCBs. The preferred embodiment of this example of the VSI invention is a VSI IC module wherein in one or more VSI ICs integrate one or a plurality of wireless transceivers as circuit layers of the VSI IC stack for creating a wireless interconnection and enabling communication between one or more other such VSI IC modules, however, such a module is not limited to or by the use of a VSI IC; the module would also incorporate the antenna or antennas required to enable a means of transmission of the wireless signal. The antenna can be fabricated as part of a VSI circuit layer in a VWM, or as part of a substrate one or more VSI ICs are bonded and incorporated in a VWM. Herein this processor module will be referred as a VSI wireless module, VWM, or from time to time a processor module or module. The VSI wireless module or VWM is not limited in data processing capability or memory storage capacity. VWMs can be used for example in the assembly of data processing systems, servers, IP network switching systems or supercomputers. VWM systems are preferably enclosed by an enclosure which shields or prevents the release of electro-magnetic radiation in the Mhz, Ghz or Rf [Radio frequency] frequencies from VWMs and prevents the entry of such interference radiation frequencies; the use of this radiation shield is optional and depends on the use of the VWM system.
  • A VWM in addition to incorporating one or more wireless transceivers can incorporate a wide range of data processing capabilities and data processing logic capacity. Examples of the various logic circuits a VWM can incorporate singularly or combination are one or more microprocessors such as 32 or 64 bit fixed instruction microprocessors, programmable logic such as FPGAs [Field Programmable Gate Arrays], custom design logic such as ASICs [Application Specific ICs]. A VWM can incorporate most of the various types of integrated circuit memory technologies such as SRAM, DRAM, Flash, ferroelectric, MRAM, chalccogenide based memory cells or dendritic based memory cells, and in capacities of a few thousand bytes to more than several gigabytes. In the preferred embodiments of a VWM, there is a memory capacity from several megabytes of memory to more than sixteen gigabytes of memory. A VWM can incorporate one or more wired bus interconnections, however, in the preferred VWM embodiment there is only one bussed interconnection or there are none; such VWM wired interconnections, either electronic or optical, are typically arbitrated or shared bused connections common to a portion or all the VWMs of the system in which they are incorporated.
  • A VWM by intention has a limited number or no wired connections for data transmission to other VWMs. The wireless transmission between VWMs enables the forming of multiple point-to-point or VWM to VWM transmission paths as needed and or on demand such that all connection paths are effectively a nearest neighbor connection; the transmission paths and the number of point-to-point transmission paths between VWMs are dynamically configurable under VWM programmed control, this is clearly not possible in a wired system of data processing modules. The preferred embodiment of the VWM invention is VSI IC incorporating a plurality of wireless transceiver circuits within its circuit layers and where each transceiver is preferably programmable to a plurality of transmission frequencies. The programmable transmission frequencies are preferably in the Radio frequency [Rf] frequency range. A VWM also may be an assembly of more than one VSI ICs and conventional planar ICs. The objective of the wireless interconnection [communication] between any two VWMs is to provide one or more point-to-point high speed high capacity data transmission paths at one or a plurality of transmission frequencies. The use of multiple transmission frequencies simultaneously enables higher overall data transmission through parallel transmission of information or data as a means to achieve greater bandwidth. The signal strength of the wireless interconnection signal between VWMs need only be sufficient to reach any one of the other VWMs in a specific system assembly or array of modules where the maximum wireless communication distance between modules of such an assembly or array may vary in a range from less than an inch to less than 10 meters and with the nominal or preferred distance being less than 4 feet and less than 18 inches wherein the use of lower Rf radiated power per VWM transceiver is an embodiment preference. The localized use or limited distance use of the wireless interconnection signal in combination, if necessary, with radiation shielding allows any MHz or GHz frequency to be used without causing interference with the licensed transmission frequencies in use such as those used in cellphones or TV transmissions. The radiation shielding is made with conventional methods as a metallic grill or solid metallic layer or film and with the appropriate choice of metal. The use of a radiation shield enclosure enables the use of the greater range of transmission frequencies by a system of VWMs without regard to the use of those transmission frequencies that are reserved for licensed use such as broadcast frequencies. The radiation shield can be used to reduce the signal noise within the space it encloses, and therefore, among the VWMs it encloses, by absorbing incident radiation and so reducing reflected signal radiation from the VWMs.
  • Power to a VWM may also be wirelessly transmitted. This would allow an array of VWMs to be embedded in a large electronic and or mechanical assembly or system without physical wiring connections. The use of wireless power transmission to a VWM depends on the power requirements of the VWM or VWMs to be used and the type of transmission methods used such as a conventional isotropic antenna transmission or adaptive antenna transmission. VWMs that are powered through wireless transmitted power, in their preferred embodiment would incorporate a rechargeable battery.
  • A transceiver in a VWM uses one or more antennas incorporated in the VWM to transmit a wireless signal to one or more VWMs in a VWM array or to one or more VWMs in another VWM array, or to information or data receiving electronics that can be internal or external to the system in which the transmitting VWM is installed. The preferred VWM antenna embodiment is an adaptive array antenna for the purpose of achieving spatial transmission path spectrum or channel reuse among VWMs of a VWM array. The adaptive array antenna is presently used in cell phone communication networks to maximize the use of Rf frequencies available to the cell phone service provider. The VWM use of adaptive array antennas is in a much different manner than their present use by cell phones; whereas cell phones communicate only with and through a central transmission tower, VWMs communicate directly with one or plurality of VWMs without an intermediate transceiver means. VWMs use adaptive antenna technology to enhance the capability to achieve on demand transmission paths for direct simultaneous communication to one or more other VWMs, and therefore, without an intermediate transceiver coordinating or controlling means. Although the use of an intermediate transceiver means such as a central switching or control point tower as that used in the structure of cell phone communication could be used, this approach is not necessary and would add delay to transmission performance. This is the case because each VWM in a VWM array is held in a fixed position relative to the other VWMs of the array without a requirement for tracking VWM movement or coordinating its availability state as in a cell phone communication network; the position of one or more VWMs can be changed during its operation as might be the case during maintenance and this can be dynamically compensated by the affected VWMs, however, VWMs are not expected to be individually mobile like cell phone users. The communication network of a VWM array in its preferred embodiment is self organizing upon startup and continues to be so during operation, wherein each VWM of the array is identified to every other VWM of the array and a determination of the physical position of each VWM relative every other VWM of the array is made such that the adaptive antenna electronics of each VWM can create a spatial transmission path to the adaptive antenna of every other VWM of the VWM array. If an established spatial order of VWMs is changed or disrupted, a new spatial order would be computed, however, this is not expected to be a frequent occurrence.
  • The objectives in using a wireless interconnection for the interconnection structure of a VWM array are data transmission performance with the characteristics of non-interfering point-to-point transmission using whatever transfer protocol that provides for the highest level of reliable information or data transfer rate and minimum access delay. This is markedly different from most applications of wireless data transfer such as in a cell phone for at least two reasons: first, the wireless interconnections formed between two VWMs are designed only for data transmission between VWMs in much the same way a dedicated wired interconnection between two VWMs would be designed and without the design restraint of compliance with one or more established transmission standards or protocols over the relatively short distances between VWMs of preferably less than one meter [39.4 inches]; and second, due the preferably small volume of a VWM array, the environment of a VWM array is preferably closed or shielded and not subject to external radiation interference sources normally found in an open air or unshielded environment and is preferably not intended for communication directly with public communication systems.
  • VWMs can use multiple Rf frequency bands in order to increase the transmission of information or data between two VWMs, and to allow the direct simultaneous transmission of data by a VWM to two or more other VWMs. The use of adaptive antennas by VWMs is the preferred transmission means although it is not essential to the implementation of point-to-point transmission paths between VWMs. The preferred Rf frequencies used by VWMs are in the range of 10 Ghz to 300 Ghz, but not limited by this range. The choice of frequency bands to be implemented by the VWMs of a VWM array is determined primarily by the available transmission rate of the frequency band through the various materials used to make the VWMs and mechanically suspend them in their array structure with respect to non-line-of-sight transmission, and the size of the antenna or antennas needed for effective transmission at the selected frequency band or bands. For example, the length of an antenna is determined by the wavelength of the central frequency of a frequency band, the wavelength of a 1 Ghz frequency is 300 mm [11.8 inches], the wavelength of a 60 Ghz frequency is 5 mm [0.1968 inches] and the wavelength of a 100 Ghz frequency is 3 mm [0.1181 inches]. It is clear from the above examples of wavelength with respect to Rf frequency that the use of higher frequencies allows for the fabrication of smaller antennas for each VWM and the antenna is preferably fabricated as an integrated part of the VWM.
  • VWMs can vary significantly in processing capacity, functional capability, storage capacity and wireless communication or transmission capacity, more commonly referred to as information or data transmission bandwidth. This is to say that system assemblies of VWMs can be heterogeneous or can have specialized functions such as IP [Internet Protocol] processors, data base analysis processors or conventional general purpose instruction set processors, however, the wireless interconnection or communication transceivers of the VWMs of a system are by necessity compatible, thereby providing high speed dynamically configurable [changeable under programmable control] module to module transmission paths for information or data transfer without the restrictions imposed by wired interconnections of fixed and unchangeable physical connection paths between communicating processor modules or the volume required for these physical interconnection such as sockets for mechanically coupling the wired connections to each module. A VWM may incorporate one to 1,000 to over 4,000 wireless transceivers, this is enabled as a direct result of the circuit stacking capability of the VSI invention. Further, since each wireless transceiver of a VWM may be programmed on demand to transmit to any of the VWMs in an array of VWMs, the cost of the interconnection communication path is clearly limited to the cost of the IC transceiver circuitry no matter which two VWMs in a system array of VWMs of any size need to establish a transmission path between each other for the transfer of data or information. This is in contrast to current wired connections where a physical connection path between any two modules must previously exist to affect the transfer of information. Further, for each physical or wired connection there is the requirement for a socket or connector into which the wiring means must be mechanically plugged in order to form a wired connection. A wired data processing module requires such a connector means for each physical or wired data transmission path it may incorporate; the number of wired data transmission paths of a wired module is limited by at least the physical volume need for such connectors. The elimination of the physical or wired connection path [of either electronic or optical means], and therefore, their physical and mechanical limitations is a principal benefit and advantage to a data processing equipment or system employing an array of VWMs.
  • The data transmission paths between VWMs may be dynamically established. This means that the wireless transceivers of a VWM can be used to configure the information or data transmission interconnection topology that is best suited for a particular information or data processing task, and subsequently reconfigured to the requirements of another tasks. It is the capability for on demand point-to-point interconnection of VWMs in any as needed order or arrangement of interconnection without requiring an intervening physical [electronic or optical] switching or forwarding means, or preexisting wired interconnection capacity sufficient to provide transmission between any configuration of information or data processing modules, that provides a novel size [volume], performance and cost advantage of a system of VWMs versus a system of wired modules. It is because of the physical volume and mechanical connection forming requirements of systems of wired modules, that the modules of such systems use one or a few shared or common interconnection transmission paths or buses typically fabricated in the form of PCB backplane into which wired modules are plugged. It is the physical volume and mechanical connection forming requirements that make point-to-point transmission path topologies for systems of wired modules impractical or impossible for systems consisting of more than 8 to 16 wired modules. The wireless transceivers and antennas of the VWM invention replace the function of the high performance PCB backplanes and other PCB and cabling interconnection means while increasing processor interconnection capacity and reducing system interconnection implementation costs.
  • It should be further noted that a VWM array is an inherently fail safe computing structures. What is meant by this, is that in the event of the failure of a VWM, the VWM array prevents the use of a failed VWM by performing similar computing processes to those used for establishing or verifying wireless transmission paths between VWMs such as when the VWM array is first started. Continuous reconfiguration processes eliminate, preferably through self adaptive and decentralized VWM function, the failed VWM from use within the VWM array, or more simply said, by reconfiguring the existing wireless transmission paths of the VWM array to exclude the failed VWM. This fail safe capability is a by product resulting from a VWM array or system with decentralized VWM self organizing capability and is inherent in an assembly of wireless processing modules where all connection paths are effectively a nearest neighbor connection.
  • FIG. 11D shows an array of eight [8] VWMs 11 d 1 through 11 d 8 with Rf signal communications 11 d 9 a and 11 d 9 b between each of these modules and an optional electronic shield 11 d 10 enclosing the VSI modules to prevent the electro-magnetic radiation of the Rf signals from the VWMs from potentially interfering or harming electronic equipment in sufficient proximity to the VWM array or for external Rf signals from reaching the VWMs of the array. Power interconnections between the VWMs are not shown for simplicity, but also with consideration that the VWMs could be wirelessly powered if so designed; the Radio frequency [Rf] transmission of power to electronic ICs is well known in the art, and therefore, no discussion is provided. The wireless communication paths are shown as being line-of-sight 11 d 9 a and non-line-of-sight 11 d 9 b; the as indicated wireless transmission paths 11 d 9 a, 11 d 9 b shown in FIG. 11D are for illustrative purposes only and are not intended to be exhaustive or limiting by their presentation of a VWM wireless interconnection network. Not all transmission frequencies that could be used for wireless communication between VWMs will provide the capability for forming a non-line-of-sight information or data transmission path, but the capability of non-line-of-sight frequency transmission when used allows electronic systems formed by the aggregating or arrangement or assembly of three dimensional [3D] arrays of VWMs as shown in FIG. 11G wherein some number of VWMs in a 3D array do not have a line of sight between each other but are not restricted from forming point-to-point communication information or data transmission paths.
  • It can be seen from FIG. 11D that each of the eight [8] VWMs has a direct point-to-point interconnection to each and every other VWM in the array of VWMs. In a preferred embodiment of a VWM array each VWM would have a plurality of wireless transceivers with unique transmission frequencies or adaptive antenna electronics such that each VWMs could have an interconnection transmission path to each of the seven other VWMs that would permit non-interfering simultaneous transmission of information to any VWM at any time, if greater bandwidth between each module were required additional transceivers at unique frequencies could be added, thereby creating a number of parallel interconnection paths between each VWM. However, it is not necessary for each VWM to have a separate transceiver for each of the other VWMs of an array, but at least one is required and as few as one or two transceivers will be sufficient to satisfy the communication requirements of some VWM arrays and allow non-arbitrating non-interfering point-to-point communication. It is through the addition of wireless transceivers per VWM that the information or data bandwidth between any two modules can be increased without a corresponding increase in physical size of the VWM as would be the case of a wired module. It is also the case that for a fixed number of transceivers per VWM, the number of VWMs in a VWM array can be increased without diminishing the processing throughput of the VWM array for the fundamental reason that for most information processing tasks the number of communication paths needed simultaneously by a VWM is a relatively small number that is generally four [4] or less, however, the VWMs connected by these communication paths can vary greatly and may at any time be between any two of the VWMs in the VWM array; further, these wireless communication paths between any two VWMs are non-interfering or exclusive to the VWMs using it and not shared or multiplex with other VWMs. Therefore, for these reasons, the number of VWMs in an array can be increased without a decrease in interconnection bandwidth or an increase in the number of potential I/O interconnections per VWM. The ability of each VWM in an array to create a non-interfering transmission path to any other VWM of the array on demand results is a capability of VWM arrays that could be equaled in wired systems only by having a physical connection between each and every other module in a wired system resulting in an increase of the physical size of the wired system of at least one to two orders of magnitude for wired systems of thirty two [32] or more processor modules without consideration of whether such implementations are cost effective or even possible.
  • The numbers of VWMs in an array can be increased with a proportional or linear increase in physical size or volume. This is the case because there is no increase in VWM size due to the increase in potential wireless interconnections, the circuitry in the VWM or any additional increase in such circuitry as layers in a VSI IC circuit stack, since the addition of VSI circuit layers does not necessarily result in an increase in the overall volume of the VWM. Further, because the assignment of transmission frequencies are programmable or can be allocated on demand, a module may simultaneously broadcast information to more than one module using the same frequency, while wireless interconnection capacity for other on going uses is not affected. It should be pointed out that there is a very large number of interconnection structures that can be programmed between VWMs to achieve an optimized interconnection performance implementation for each of the may widely varying information or data processing applications that currently exist. This flexibility of the formation of on demand interconnection paths between information or data processing modules of, for example, multiprocessor severs, is not possible because each processor has a fixed wired connection structure. Further, current multiprocessor systems use one or more wired bus interconnections [electronic or optical], these interconnections are shared or in common connection and must be arbitrated between the attached processors in order to obtain their use. It is well know in the art that such shared bus interconnections greatly reduce the operating performance of the processors that use it due to the transmission bandwidth limitations attributed to a shared bus.
  • The physical volume of the preferred embodiment of a VWM is 1 cubic inch [16.387 cm3], however, a VWM can be larger or smaller in volume. The smaller the size of a VWM, then the greater the number of VWMs per unit volume resulting in smaller equipment size, and the shorter the transmission distance between VWMs providing increase equipment performance.
  • In the preferred embodiment of a VWM array the position of each VWM in the VWM array is in a fixed position relative to the other VWMs of the array it is embedded while it is in operation. Fixing the position of each VWM in an array permits the point-to-point wireless transmission signals between each VWM to be characterized or to be tuned for optimum transmission performance with the assumption that the geometry of its environment with respect to the position of other VWMs is not variable. It is not a requirement of the invention that each VWM of a VWM array be held in a fixed position in order to be functional, however, it is preferred because it simplifies the typical operation of each VWM while increasing throughput. It may also be an operating requirement of a VWM system that there be a limited capability to change the position of VWMs without interruption of its operation. This requirement is the case for application such as life critical support or 24 hour transaction processing systems, and are referred to as high availability or non-stop electronic systems.
  • It is well known in the art that for computing or data processing systems or supercomputer systems that are made of large numbers of microprocessors, that it is the wired interconnection structure interconnecting the microprocessors that is more than half of the cost of the electronics making up such equipment and is the primary determiner of its performance. It is well known that current multiprocessor sever, parallel processor systems or supercomputer systems which use large numbers of microprocessors such as 8 to several thousand are required to use some form of shared bus system as a fundamental implementation requirement in order to implement a means of common interconnection structure between all the processors, however, there presently appears to be no wired interconnection structure for large numbers of processors that provides a direct nearest neighbor transmission path for all processors of a system or where the order or number of those transmission paths is without restriction. It is well known that dedicated wired, non-shared, non-interfering point-to-point interconnection between information processing modules is the simplest and most throughput efficient interconnection means, but rapidly becomes impractical to implement for large numbers of microprocessors or the electronic module of a microprocessor or microprocessors. The number of processors used in supercomputers presently made by IBM, Hewlett-Packard or NEC exceed 1,000, however, these supercomputers are structured into subsystems comprising typically 32 processors or less; these processor subsystems use backplane interconnection structures which provide one or more common or shared or multiplexed connections between all the processors in the subsystem, continuously or on demand available point-to-point interconnection structures are not known to be used. FIG. 11D shows a wireless point-to-point interconnection structure of a minimum of 28 point to point wireless interconnection paths 11 d 9 a, 11 d 9 b between eight [8] VSI wireless modules resulting in a total of potentially 56 separate serial module interconnection or transmission path transceivers.
  • The complexity of a wired point-to-point interconnection structure [often referred to as a star or radial interconnection structure] can be understood from FIG. 11D should the wireless paths be replaced by wired paths and with each wired path having a wired width of 32, 64, 128 or 256, which are often the width of data paths [bus widths] used in computers from desktop PCs to high performance servers and supercomputers. The wired interconnection connectors of each processor module and physical cabling require a volume several times that of the microprocessor modules, and because of this volume increase the separation distance between the modules results in lower performance and or increased power requirements necessary to drive the signals due to the length of the interconnection. However, most importantly, the cost in parts and assembly of such a wired interconnection structure exceeds the cost of the microprocessor electronics of the processor modules. It becomes clear that electronic equipment consisting of 64 microprocessor modules and using a point-to-point interconnection structure would require 2016 separate wiring or cabling connections and 256 microprocessor modules using a point-to-point interconnection structure would require 32,640 separate wiring or cabling connections; each such wiring or cabling connection may consist of a single physical wire or optical fiber or more likely a wiring bundle of 32 or more wires. It is clear from these examples that the use of a point-to-point interconnection structure, or variations thereof, for interconnecting eight or more microprocessors rapidly becomes an implementation method that is physically daunting or not possible despite its performance advantages.
  • What the VSI wireless module array invention enables is the use of the economies semiconductor integration manufacturing to effectively integrate the external physical interconnection structures between microprocessor or electronic processor modules into the module. The result of this integration is a reduction in the size, power and manufacturing cost to implement electronic equipment using a plurality of microprocessor modules. The integration of wireless transmission into a VSI IC or a circuit stack represents a manufacturing cost that is subject to the well known and established cost reduction efficiencies of semiconductor manufacturing of approximately 40% per year, whereas, the cost of any physical wiring interconnection means enjoys no such comparable year to year cost reduction benefit, but are seen as non-reducible and fixed manufacturing costs. The VSI invention enables the effective integration of interconnection paths onto the VSI IC, and in doing so achieving benefits of reduced cost and increased performance of the interconnection paths between VWMs or information processing modules.
  • The larger the number of processor modules in an information processing system or subsystem, the greater the probability of failure in an electronic component of a processor module or the connectors that join the processor modules to backplane or cabling. The well established reliability benefits of semiconductor integration are offset by the increased part counts of larger electronic systems that have large part counts. The VSI wireless module reduces the part count of a large system to approximately the number of VSI wireless modules used. An example of an application using a large number of VSI wireless processor modules is shown in FIG. 11E. In FIG. 11E VSI wireless processor modules 11 e 11 through 11 e 1 m and 11 e 21 through 11 e 2 n are interconnected by wireless point-to-point transmission paths 11 e 4 and an optional common wired cabling path 11 e 5; the as indicated wireless transmission paths 11 e 4 shown in FIG. 11E are for illustrative purposes only and are not intended to be exhaustive or limiting by their presentation of a VWM wireless interconnection network. The part count of this system based on the VSI invention can be seen as approximately [m+n] modules, [j] I/O processing modules 11 e 31 through 11 e 3 j and cabling 11 e 5 plus connectors [not shown]. The system is optionally enclosed by radiation shield 11 e 6 to reduce wireless transmission interference. FIG. 11E shows that the transceivers of the wireless transmission paths are point-to-point and the bandwidth of any point-to-point wireless path is determined by amount of wireless circuitry integrated into the VSI ICs of the wireless processor modules; this is intended to be example of how the VSI invention increases the level of IC integration of the system by replacing or integrating what would normally be interconnection structure consisting of physical cabling, connectors and line driver circuitry into lower cost, lower power integrated circuitry of a VSI IC. The number of processor modules [m+n] in FIG. 11E could easily exceed 32 without a reduction in wireless communication performance due to deficiencies in interconnection bandwidth since the performance of the interconnection between the VSI wireless processor modules is not determined by the wired interconnection 11 e 5 but by the numerous indicated wireless interconnections 11 e 4. The incorporation of the wired interconnection 11 e 5 of FIG. 11E is optional and could be eliminated; since it is shared over a large number of processor modules, it is not likely that it would be used for performance critical processing, but it could be used as a system management or maintenance resource. Modules 11 e 31 through 11 e 3 j with wired I/O connections 11 e 31 c to 11 e 3 jc are also wirelessly connected to the VWMs and serve as interfaces to external peripherals or connections.
  • The preferred embodiment of a VWM computing system or a VWM array does not incorporate a backplane or physical wiring interconnect means. If a backplane or wiring interconnection means is used, it is not used as the primary interconnection or busing means for transfer of information or data. FIG. 11E and FIG. 11F show wired interconnections means 11 e 5, 11 f 31 c, 11 f 41 c these are used as examples of how wired interconnections could be used in conjunction with wireless interconnections discussed herein, however, the wired interconnection means in the preferred embodiment of a VWM system is not the primary interconnection means.
  • FIG. 11F shows an IP [Internet Protocol] communication processing switch and router consisting of I/O processing VWMs 11 f 11 through 11 f 1 j and 11 f 21 through 11 f 2 k and IP message information or data processing VWMs 11 f 31 through 11 f 3 m and 11 f 41 through 11 f 4 n. The I/O processing VWMs have one or more each of wired interconnection 11 f 11 c through 11 f 1 jc and 11 f 21 c through 11 f 2 kc. Each of the information or data processing VWMs may optionally incorporate one or more wired interconnections 11 f 31 c, 11 f 41 c that interconnect in common two or more VWMs, Wireless transmission paths 11 f 51, 11 f 52 are point-to-point interconnections between the I/O processing VWMs 11 f 11 . . . 11 f 1 j, 11 f 21 . . . 11 f 2 k and IP message information and data processing VWMs 11 f 31 . . . 11 f 3 m, 11 f 41 . . . 11 f 4 n; the as indicated wireless transmission paths 11 f 51, 11 f 52 shown in FIG. 11F are for illustrative purposes only and are not intended to be exhaustive or limiting by their presentation of a VWM wireless interconnection network. Further, wireless transmission paths can be made between the various I/O processing VWMs and between the various IP message information and data processing VWMs. An optional radiation shield 11 f 6 is used to prevent radiation from the transmissions of the VWMs from going beyond the radiation shield or allowing radiation from beyond the radiation shield from reaching the VWMs.
  • The IP communication processing switch and router of FIG. 11F is intended to perform similar operations to those of existing IP router and switching equipment but without the well known physical wiring bandwidth limitations between I/O electronics and IP message processing electronics. IP messages are received through wired interconnections, sent to an IP message information and data processing VWM for designation determination and then sent on to the appropriate I/O processing VWM for transmission over wired interconnections. It is well known that IP message traffic loading through IP switching equipment can vary widely and unpredictably and that having sufficient IP message processing and transmission data path capacity to handle such changing IP message loads has proved challenging in the design of this type of electronic equipment using wired interconnection paths. The IP processing equipment of FIG. 11F provides the novel capability of dynamically configuring IP message processing capacity and bandwidth capacity by use of reconfigurable wireless transmission paths between the I/O processing VWMs and the IP message processing VWMs. The wireless transmission data paths 11 f 51, 11 f 52 do not have to be line-of-sight paths. The IP message processing capacity of the invention can be increased with the addition of IP message processing VWMs without considerations for the ability of each VWM to accommodate an increased density of interconnection paths or the increased physical space that would be required for wired interconnection. The IP communication equipment of FIG. 11F has the novel capability for using more VWMs to process IP messages in parallel in an on demand capacity basis without consideration of whether the system will have sufficient message transmission or switching capacity. This is a result of the use of wireless interconnections which can be increased between various VWMs as needed through the reconfiguration of transmission data path and the transmission frequencies used between any two VWMs and the use of more VWMs to maintain a level of performance which is termed in the industry as “wire speed” or the processing of IP messages at the speed at which they arrive at the equipment without a throughput wait or delay in their processing. The IP message processing capacity of the equipment is not limited to the structure of VWMs 11 f 31 . . . 11 f 3 m, 11 f 41 . . . 11 f 4 n, but can take on other structures such as that shown in FIG. 11D or FIG. 11G for example. The invention uniquely enables allocation of VWM IP message processing and transmission capacity and capability without the requirement that the VWMs be designed in advance to anticipate such allocations as must be done in the case of wired systems.
  • VWM arrays can be arranged in three dimensional structures in addition to the planar structures as suggested by FIG. 11D, FIG. 11E and FIG. 11F. This enables the emergence of a new form of three dimensional IC integration wherein VWM are closely packed into the shape of various solid 3D geometric structures or arrayed in the outline of hollow 3D geometric structures such as a cube or a sphere. FIG. 11G shows a pictorial view of an array of VWMs in a cubic 11 g 2 structure. FIG. 11G shows wireless transmission paths 11 g 42, 11 g 41, 11 g 43, intended to suggest point-to-point transmission data paths between all VWMs of the 3D VWM array and between all VWMs of the 3D VWM array and two external interface processing modules 11 g 1, 11 g 3 within wireless transmission radiation shield 11 g 5 and with external I/O wired connections 11 g 1 c, 11 g 3 c; the wireless transmission paths 11 g 42, 11 g 41, 11 g 43 as indicated in FIG. 11G are for illustrative purposes only and are not intended to be exhaustive or limiting by their presentation of a VWM wireless interconnection network. Each VWM of the cubic 11 g 2 structure is interconnected with point-to-point wireless transmission paths 11 g 42. These wireless transmission paths 11 g 42 are a combination of line-of-sight and non-line-of-sight transmission paths. The wireless transmission paths shown 11 g 41, 11 g 42, 11 g 43 represent one or a group of transmission frequencies depending on the desired data path width or the desired data transmission rate between VWMs. The cubic array of FIG. 11G is shown as comprising 64 homogeneous or heterogeneous VWMs without wired interconnections; wired power distribution to the VWMs is not shown. Each VWM of the VWM array 11 g 2 is not restricted in the number of wireless transmission path capacity it may have but it is not necessary for each VWM to have sufficient wireless capacity such that each VWM be capable to simultaneously communicate with each of the VWMs of the array, however, such simultaneous communication capability, if needed, can be accomplished through integration of greater numbers of wireless transceivers. The capability for any VWM of the VWM array 11 g 2 to form a wireless interconnection to some number of other VWMs is a requirement only of the transceiver capacity of the VWM and not a capacity limitation inherent in the design of the size of the VWM array or other system level design consideration.
  • It is clear from FIG. 11G that the VWM array of 64 VWMs is a closely packed 3D structure which is not complicated by wireless interconnection circuitry or antennas required to implement the large numbers of potential wireless transmission paths that may exist at any one moment in time, nor does the physical size or volume of the array have to compensate for the wireless circuitry required for creating the transmission paths between each VWM. This is to say the physical size of an individual VWM is largely independent of the size of a VWM array of which it is in, such that the size of a VWM array can be increased without diminishing the point-to-point connectivity between the VWMs of a VWM array as the size of the VWM array is changed. Further, if the number of VWMs of the array were increased from 64 to 256, 1000, 8000, 20,000 or some other large number, the volume of the VWM array would increase as a multiple of the average volume of the VWMs used in the array, and not require an increase in the size of the individual VWM in order to accommodate the increase in the number of interconnections required to interconnect to a larger number of VWMs. This is unique to the VWMs wireless method of VWM to VWM interconnection when compared to a wired multiprocessor or cluster system with point-to-point interconnection wherein the addition of a processor would require the addition of wired interconnection couplings to each of the existing processors of the system.
  • A VWM computing system or VWM array enables the reduction of the distance necessary for information signals or data to travel between VWMs. More than approximately 50% of the delay in sending a signal between logic modules in conventional systems is due to the wired distance between them, the VWM array capability to closely space the VWMs reduces the distance signals must travel between VWMs versus conventional logic modules by 5× to more than 100×, and in consideration of some large multiple processor or supercomputer systems, more than 1000×.
  • A VWM computing system or VWM array enables fault tolerant capacity and excessive transmission path loading recovery through programming changes of the VWMs. This is novel to the VWM due to its wireless interconnection means. Fault tolerance can be implemented in a VWM system through isolation or reconfiguration of the available or useable wireless transmission paths of the VWM array by software programming means to avoid a physical failure at a VWM as it occurs. Excessive transmission path loading between any two VWMs is avoided by reconfiguration or reallocation by software programming means of the available point-to-point transceivers of the affected VWMs to create additional or multiple point-to-point transmission paths to increase the transmission capacity between the capacity affected VWMs.
  • Another aspect of the use of a VWM is in an unshielded network. In this aspect the preferred embodiment of the VWM is to have a volume of less than ten [10] cubic inches, preferably less than four [4] cubic inches and preferably less than one [1] cubic inch, water proof packaging without external contacts, powered by wireless transmission with or without an internal battery, made from one or a plurality of VSI ICs such as those shown in FIG. 3 through FIG. 6, and where a VWM operates over regulated transmission frequencies or over a sufficiently short legally proscribed distances for unregulated frequencies so that no harmful or interference effects result from VWM usage. Further, in this preferred embodiment of the VWM, the VWM may communicate wirelessly over conventional or standard wireless communication protocols and frequencies to non-VWM electronic equipment, be used by itself or with a plurality of other VWMs, and where a VWM may have dedicated functions such as mass storage, audio or video processing, for consumer appliances, home security and networking control, home appliance control, cell phone and wireless phone networking, or application specific processing functions such as language translation, voice recognition or handwriting recognition. These VWMs, like the VWMs of a VWM array previously discussed, are capable of forming self organizing wireless networks with multiple transmission paths to multiple VWMs or between any two VWMs with those VWMs that are in sufficient proximity.
  • Benefits of an information or communication processing VWM array:
      • 1. Dynamic or on demand availability or configuration of VWM-to-VWM interconnections.
      • 2. Dynamic reconfiguration of VWM-to-VWM data path bandwidth enabling the increase or decrease transmission path bandwidth capacity as required.
      • 3. A physical increase or decrease in the number of VWMs in a VWM array by more than 25% without requiring physical changes to the connectivity between VWM.
      • 4. System or equipment physical size or volume reduction through the reduction or elimination of wired interconnection connectors and cabling between VWMs.
      • 5. System processing throughput capacity increase through the reduction of the physical transmission path length separating point-to-point VWM interconnections.
      • 6. System assembly cost reduction through reduction or elimination of wired interconnection connectors and cabling means interconnecting VWMs.
      • 7. System processing capacity characterized by using VWM-to-VWM or point-to-point transmission structure for all VWMs in a VMW array regardless of the number of VWMs in the VWM array.
      • 8. System recovery from physical failures of the electronics in a VWM through isolation and reconfiguration of the failed VWM or the transceiver portion of the VWM by preventing future use of the failure affected VWM by a programming change of all other VWMs disabling wireless communication to that VWM.
      • 9. System recovery from transmission path capacity loading limitations between any two VWMs by the programmed reallocation of VWM transceivers to form additional or parallel point-to-point transmission paths to increase transmission capacity of the specific affected transmission path.
    VSI Method for Lithographic Die Size Limitations
  • The VSI fine grain vertical interconnect enables the fabrication of ICs that cannot presently be manufactured by stepped optical, UV, EUV or other EM radiation source based lithographic techniques due to the limited size of the reticle image field. Present UV stepper lithographic tools perform a 4× or 5× reduction of the mask field or reticle resulting in an imaged field of less than 30 mm by 30 mm. Therefore, the largest IC that can be fabricated is less than the size of the reticle image field, approximately 25×30 mm at present.
  • The VSI stacking of circuit layers allow the VSI components or ICs to have a circuit area that is more than the limit imposed by stepped lithographic methods through the stacking of thinned circuit layers of arbitrary size until the desired amount of circuit integration is achieved. The stacking is done in combination with fine grain vertical interconnections between all circuit layers providing an interconnection density that is equivalent or higher than that possible in planar ICs.
  • Another aspect of die size reduction through the VSI invention is the reduction of interconnection lengths versus planar circuitry. Interconnection resistance is inversely proportional to interconnection length, and therefore, the reduction of interconnection lengths resulting from the VSI invention increases the operational frequency of the VSI IC versus an equivalent planar IC. Since interconnect delay accounts for more than 50% of the delay in large planar ICs fabricated with processes with geometry feature sizes of less than 120 nm, the smaller die size of a VSI IC versus an equivalent planar IC is a distinct and novel means of increased IC performance from the VSI invention. Further, the dissipated power of a planar IC is directly related to the resistance resulting from interconnection length. The smaller die size of a VSI IC versus an equivalent planar IC is a distinct and novel means of reduction of IC power dissipation from the VSI invention.
  • V-Groove Dual Gate VSI Transistor
  • Another embodiment enabled by the VSI invention is shown in FIG. 12 and is a V-groove dual gate transistor with a non-optically formed gate channel. The transistor is formed by the epitaxial deposition of a NPN or PNP sequence of semiconductor layers where the channel is lightly doped and the adjacent layers are heavily doped. The FIG. 12 shows two anisotropically formed V- groove gates 1201 and 1202 that transect the gate channel layer 1205. Source 1203 and drain 1204 are in contact with the heavily doped layers 1206 and 1207 respectively. The V-groove gates are separated by oxide layers 1208, 1209.
  • The gate channel 1205 can be formed with an arbitrary thickness and can be formed with a thickness of over 250 nm and less than 10 nm and with a thickness of 1 nm; the channel is not formed from an optical process, and therefore, does not suffer from the current restrictions that are well known when using optical lithography equipment to form transistor features that are less than 100 nm. The forming of the channel layer and its thickness is determined by the capability of epitaxial equipment, which is known to be able to deposit semiconductor layers of 1 nm. The transistor or FIG. 12 functions when voltages are applied to one or both gates 1201 or 1202 which changes the conductive state of the low doped gate channel 1205 between the V-groove shaped gates 1201 and 1202. The operation of the transistor is similar to convention MOS transistors.
  • The transistor of FIG. 12 is fabricated by first forming a first V-groove in a first surface of the semiconductor substrate, preferably formed on a substrate with a barrier layer or buried etch stop layer such as an SOI substrate. The V-groove formation by anisotropic etching is well known. A thin layer of dielectric is then formed over the first V-groove and an electrode is deposited and patterned. The first surface is then bonded to a surface of a VSI circuit stack or is held in such a manner that the underlying substrate [not shown] can be removed to expose the lower device layer 1206 where upon a dielectric layer is deposited and a second V-groove etched such that the tip of the second V-groove is adjacent the first V-groove and transects the channel layer 1205. A dielectric layer and electrode are then fabricated in the V-groove as with the first V-groove. It is preferable that the length of gate channel separating the two V-groove tips be made as short as possible.
  • If the gate channel layer 1205 is less than 100 nm it is preferable but not necessary that the tips of the V- groove gates 1201, 1202 pass through the gate channel layer 1205, the tips need only come within less than 20 nm to cause a change in the conductance of the channel. This is due to the ability of the tip shape to create a sufficient high field when a voltage is applied. FIG. 13 shows an example of a V-groove dual gate transistor with one or both V- groove gates 1301 and 1302 not intersecting the low doped gate channel 1305. It should be noted that in this configuration of the V- groove gates 1301, 1302, the source 1308 and drain 1305 must be on opposite sides of the semiconductor layers to avoid a short circuit condition.
  • The V-groove transistors of FIG. 12 and FIG. 13 can be operated to reduce source to drain off condition leakage. This is done by operating both gates in parallel or by using one of the gates to affect the threshold switching voltage of the transistor.
  • Elimination of the Floating Body Effect in PD SOI Circuitry
  • Partial Depletion [PD] SOI MOS transistors suffer from an electrical phenomenon called the Floating-body effect. The floating-body effect is a condition of excess charging in the transistor [gate] channel and at the interface of the channel drain regions. This excess charging results from the impact ionization of hot-carriers in the channel due to high source to drain electric fields across the channel region. A further result of hot-carrier impact ionization is a rise in the temperature of the channel and this is due to the poor thermal conductivity of the buried oxide layer underlying the transistors of the SOI substrate.
  • FIG. 14 shows a typical SOI MOS transistor in a VSI circuit layer with source contact 1401, gate 1403, drain contact 1402 and channel region 1404 and drain region 1405. The transistor of FIG. 14 is shown in the preferred embodiment as integrated as part of a circuit layer in a VSI IC and with the substrate removed stopping at the buried oxide layer of the SOI substrate. The SOI buried oxide layer may be removed or reduced during VSI backside processing from its original thickness to a desired thickness by conventional semiconductor processing means. A dielectric 1406 with a higher thermal conductivity than oxide is deposited such as Al2O3 [sapphire] or diamond to a desired thickness. Optionally, a metal layer 1407 may be deposited; in the preferred VSI embodiment, a metal layer such as aluminum or copper would be used to serve as a means to form horizontal interconnection structures such as a ground plan, or vertical interconnect and bonding means between VSI circuit layers, in addition to this aspect of the invention, as a means to conduct a significant portion of the thermal energy resulting from the floating-body effect away from the transistor. It is also an aspect of the invention that the metal layer 1407 have a capacitive coupling with a portion of the back side of the transistor body such as the channel or drain region so that bias voltages can be applied to actively offset the channel charging effects. The proximity of the metal layer 1407 to the backside of the transistor also acts to assist in the thermal dissipation of the transistor due the higher thermal conductivity of metal layer versus that of dielectric 1406.
  • This invention for the elimination or reduction of the SOI floating-body effect is practiced through the VSI process because the VSI processing sequence provides, as one of its process sequence steps, for the thinning of the back side of an electronic circuit wafer to a buried etch stop layer. Further, the two novel features of this invention that enable the elimination or reduction of the SOI PD MOS transistor floating-body effect are enhanced thermal dissipation of transistors through immediate contact with the back side of the transistor body and the formation of an electrode in proximity to portions of the backside of the transistor body in order to apply a voltage bias to reduce the transistor channel charging. These two novel features of the invention can be implemented in combination or separately.
  • This method of reducing or eliminating the floating-body effect of PD SOI MOS transistors can also be implemented by thinning the SOI substrate to the buried oxide layer independently or with the SOI substrate first bonded to a holding or carrier substrate. The implementation of this method for controlling the floating-body effect of PD SOI MOS transistor is not limited in its use through the VSI invention, however, it does require the removing of the underlying substrate to the buried oxide layer 1406.
  • VSI Low Substrate Leakage Transistors
  • Substrate leakage in MOS transistors results in increased power requirements, resulting in increased circuit thermal dissipation, and is a limiting factor in the design and the integration progression of large ICs and their operating performance. The VSI invention enables the fabrication of a low substrate leakage MOS type transistor through fabrication of a Substrate Leakage Barrier [SLB] under the transistor gate and blocking the substrate leakage path between source and drain regions of the transistor.
  • FIGS. 15A and 15B show prior art transistor structure. FIG. 15A represents a logic transistor fabricated in a bulk CMOS process and FIG. 15B represents a logic transistor fabricated in a SOI CMOS process. The transistor leakage path 1501 a is shown in FIG. 15A. No such leakage path exists in FIG. 15B, a Full Depletion [FD] SOI transistor, however, to achieve this result required that the source 1501 b and drain 1502 b of the transistor be raised out of the plane of the gate 1503 b adding to the complexity of fabricating the transistor. The buried oxide layer 1504 b of the SOI substrate used in FIG. 15B is shown directly below the transistor source 1501 b, drain 1502 b and channel 1505 b.
  • The VSI SLB is formed from the backside of the transistor gate region after the substrate is thinned in accordance with the VSI processing sequence, wherein access to the underside of the gate region is had through conventional semiconductor processing. It is the preferred embodiment that the SLB be part of the VSI fabrication processing, however, the fabrication of the SLB is not limited to use with the VSI invention, but can be implemented on any appropriately thinned electronic circuit substrate.
  • The structure of the SLB 1503 c is shown in cross section in FIG. 15C. It is fabricated from a conventional bulk MOS transistor or a PD SOI transistor once the underlying substrate indicated by dashed line 1506 d has been removed to within close proximity of the back side of the transistor body drain 1504 d, channel 1501 d and source 1505 d as shown in FIG. 15D. The controlled thinning of the backside of the substrate to a specific thickness can be accomplished by various means such as grind and polishing, RIE [Reactive Ion Etch] or spray etching with such silicon selective etch agents as KOH with spray processing.
  • The backside formation of the SLB is preferably formed with use of a barrier 1502 d layer under the device layer which acts as a buried etch stop layer during substrate removal processing. Such barrier layers are present in a SOI [Silicon on Insulator] substrate; in the case of a SOI substrate, the buried oxide layer which is typically several thousand Ås in thickness can be formed in a thickness range of 50 Å to 500 Å, since the intended function of the buried oxide layer of the VSI invention is not isolation but a barrier layer or etch stop to provide a means for well controlled termination of the removal process of the semiconductor substrate; a means to achieve wafer thinning as part of the VSI wafer circuit stacking method. An alternative barrier layer is the formation of an epitaxial layer beneath a device layer. A barrier layer such as GeB [Germanium Boron] with a Boron doping concentration greater than 1020 boron atoms/cm3 and a Germanium concentration sufficient to offset lattice dislocations due to the high concentration of Boron atoms and typically in a concentration in excess of 25%. The GeB epitaxial layer has the property of etching 100 to 1,000 times slower than silicon in the presents of a selective silicon etch agent such as KOH, and enabling a well controlled means to achieving removal of the substrate. The capability of uniformly terminating the removal [thinning] process of the substrate as part of the VSI method is necessary for the correct operation of the circuit devices formed in the device layer.
  • The SLB of the VSI invention is implemented from the backside of a conventional MOS transistor structure of a thinned VSI layer as shown in FIG. 15D, in the preferred embodiment this occurs after formation of the transistor and bonding of the substrate of the transistor face down such that the backside of the substrate of the transistor is a new top surface of the VSI stack and once thinned. The VSI layer is thinned to a specific thickness either by a controlled etching process or by use of a barrier layer that acts as an etch stop. Examples of such barrier layers are the buried oxide layer of a SOI wafer, a buried nitride layer made in a similar method as the oxide layer in a SOI wafer or an epitaxially grown heavily Boron doped layer [1-2E20/cm3] with Ge doping for crystal lattice stress relief. Once thinned to the desired thickness the barrier is removed, if required or appropriate, then a hard mask is deposited such as silicon dioxide which is patterned through conventional semiconductor lithographic and RIE means to form an opening directly over and overlapping the channel region 1501 d as shown in FIG. 15D. The dimensions of this opening are such that the subsequent etch processing step of the silicon substrate through the opening of the hard mask stops on or slightly into the shoulders of the source 1508 d and the drain 1510 d doped regions. The removal of silicon directly below the source and drain shoulders eliminates the substrate leakage path under the channel region 1501 c. The SLB 1503 c of FIG. 15C is then formed by depositing dielectric material such as an oxide. The etch of the substrate below the gate channel region is preferably an anisotropic etch, spray wet etch or an etch by RIE means. The amount of the channel removed is determined by the design requirements of the transistor and will vary based on the design of the transistor. The depth of the channel remaining after the etch processing step is typically 50% or less than the channel length 1509 d.
  • The VSI SLB transistor shown in FIG. 15C is a MOS transistor of either npn or pnp type structure. The transistor shown has a source region 1504 c, source contact 1507 c, SLB 1503 c, gate structure 1508 c, gate channel region 1501 c, drain region 1505 c, drain contact 1509 c and buried oxide layer 1502 c of FIG. 15C. The substrate leakage path between the source 1504 c and drain 1505 c through the substrate region under the channel region 1501 c is prevented by the formation of the dielectric comprising the SLB 1503 c.
  • The SLB structure can also be fabricated as one of the gates of a double gate transistor or provide the dual function as a block to substrate leakage and as the gate of the transistor. A double-gate MOS transistor is shown in FIG. 15F as disposed on the upper layer of a VSI structure similar to the MOS transistor shown in FIG. 15D. The construction of this transistor uses a very lightly doped channel 1505 f formed by MBE [Molecular Bean Epitaxy] or equivalent means as described in the prior art which achieves an extremely abrupt transition to the underlying heavily doped transistor body.
  • The backside fabrication method of the SLB for the transistor of FIG. 15F is shown by FIG. 15E, wherein a source contact 1502 e and drain contact 1504 e are formed in contact with the underlying more heavily doped transistor body 1507 e gate 1503 e oxide mask 1506 e and opening 1501 e in the oxide mask 1506 e. The SLB is formed by a RIE or preferably an anisotropic etch of transistor body under the lightly doped channel terminating in the lightly doped channel 1505 e as shown in FIG. 15E. The SLB and back side gate are then formed by formation of an oxide layer 1510 f and conductive layer 1501 f which are shown in FIG. 15F.
  • The SLB 1511 f of FIG. 15F is shown to be in combination with conductive layer 1501 f and dielectric layer 1510 f allowing capacitive coupling to the conductive layer 1501 f to the transistor body 1507 f. There is no substrate leakage path from the source region beneath the source contact 1502 f to the drain region under the drain contact 1504 f. The transistor operates in a conventional manner by application of appropriate voltage levels to gates 1501 f and 1503 f in order to cause the channel 1505 f to conduct or not conduct. Either gate of the transistor 1501 f or 1503 f can also be used as a voltage source for biasing the threshold voltage of the gate region 1505 f in order to improve the performance of the transistor. The SLB of this transistor could also be formed as a dielectric without a gate function. The operation of this transistor is novel due to lack of doping profile under the full length of the gate. The channel region 1505 f is inverted by high fields created by the triangular shaped regions of the source 1508 f and drain 1509 f and their close proximity adjacent to the channel region 1505 f. This use of the SLB reduces the fabrication complexity of the gate versus prior art due to the elimination of the need for self alignment and multiple diffusion implants, while providing high performance due to the short channel region 1505 f separation between the source region 1508 f and drain region 1509 f which can be less than 25 nm due to the shape of the SLB enabled by anisotropic etching from the backside of the transistor channel region.
  • FIG. 15G shows a similar transistor to that shown in FIG. 15F without the lower gate 1503 f of FIG. 15F. FIG. 15G shows backside gate contact 1506 g, dual source contacts 1501 g, 1502 g and dual drain contacts 1503 g, 1504 g, wherein the additional source or drain contacts are enabling for the purpose of forming horizontal interconnections on either side of the VSI device layer; the source and drain contacts are formed with conventional semiconductor fabrication techniques. The SLB structure 1507 g takes the form of a gate and is formed by conventional RIE or preferably anisotropic etching stopping in the lightly doped channel 1505 g.
  • FIG. 16A shows a conventionally formed PD SOI logic transistor with source contact 1601 a, drain contact 1603 a and gate 1602 a, again as the upper layer of a VSI device layer stack with the substrate removed to a first barrier layer 1605 a allowing access to the backside of the transistor. A second barrier layer of oxide or nitride 1604 a, and indicated by a dashed line, has been implanted at a depth equal to the channel depth of the transistor. The second barrier layer 1604 a is less than 4 nm thick and preferably 0.5 to 1 nm in thickness. The purpose of the second barrier layer is to act as an etch stop for the etch processing necessary to form the SLB 1608 b as shown in FIG. 16B. The second barrier layer is sufficiently thin to be disrupted as a barrier to conduction by dopant implant processing of the source and drain regions 1609 b and 1610 b to have little effect on the conduction of the source and drain regions. Additionally, the portions of the second barrier layer 1604 b in the source and drain regions could be removed through etch processing of the source 1609 b and drain 1610 b regions from either side of the second barrier layer 1604 b and then removing the barrier layer upon which source and drain regions could be replaced with epitaxy processing. FIG. 17A shows the removed source region 1702 a and drain region 1703 a stopping on the second barrier layer 1704 a and FIG. 17B shows the source region 1702 b and drain region 1703 b replaced by epitaxy processing after the removal of the second barrier layer.
  • FIG. 16C is similar to the transistor of FIG. 16B but with the SLB formed in the transistor body 1607 c as a gate with conductive layer 1608 c and gate dielectric 1606 c resulting in a double gate transistor in combination with gate 1602 c. Additionally, the transistor of FIG. 16C is shown with dual source contacts 1601 c, 1609 c and dual drain contacts 1603 c, 1610 c which can be formed with conventional semiconductor fabrication techniques.
  • Another aspect of the low leakage SLB transistor of FIG. 15C is its embodiment as a 1T low leakage DRAM memory cell in a VSI IC where charge is held in the transistor body by using appropriate biasing of the dual gates. A significant limitation of all current DRAM memory cells is a high rate of charge leakage with increased operating temperature and the inability to reduce memory cell size in keeping with small fabrication geometries due to limitations in fabricating smaller capacitors. The SLB SOI MOS transistors of FIG. 18A are shown in cross section with a data line [bit line] of an array of memory cells using the invention. Here a conventional logic gate 1801 a has a shared data line connection 1802 a to transistor body 1804 a and SLB 1803 a formed as part of a capacitor structure consisting capacitor inner electrode contact 1810 a, capacitor body 1805 a, capacitor dielectric 1806 a, capacitor outer electrode 1807 a and outer capacitor electrode contact 1809 a. The SLB is formed by anisotropic etch of the transistor body under the gate 1801 a and extending across to one adjoining memory cell where upon a several conventional capacitor fabrication sequences can be used, wherein the capacitor body 1805 a may be formed as part of a copper electroplating process. It should be noticed that the adjoining transistors 1812 a are separated by dielectric 1811 a which is shown as a portion of the blanket deposition for the capacitor dielectric. Since the capacitor is formed on the backside of the transistor, it can be fabricated without the need to accommodate layout of the gate line [not shown] and data line 1801 a interconnection which is a design limitation in stacked capacitor planar DRAM memory cells. The backside access to either the transistor channel region 1810 a or drain region 1813 a does so without increasing the size of the memory cell beyond a minimum area represented by the crossing of only the gate line and the data line while also allowing the size of the capacitor to be as nearly as large the total layout area or foot print of the memory cell, and therefore, avoiding the area limitations present in the fabrication of current planar DRAM memory cells. Further, this memory cell design or layout enables a cell size typically of less than 5F2 and as small as the practical limitation for all such 1T memory cells of 4F2, where F is the minimum feature size used by the lithography system in the fabrication memory cell. This memory cell is also novel due to the presents of an outer electrode capacitor contact 1809 a which enables capacitors of a given gate line of memory cells to be selectively and independently biased versus the memory cells of other gate lines assisting in the performance of read and write operations of the memory cells. The outer capacitor contact 1809 a also allows the capacitor of the memory cell to be calibrated to a predetermined level of charge prior to a write operation, this allows a common voltage base reference to be used for a gate line memory cells or portion thereof when multiple data bits of information are stored per memory cell.
  • FIG. 18B shows a VSI 1T DRAM cell made from a PD SOI transistor. It is known in the art that the floating body effect of a PD SOI transistor can be used as a memory cell. The VSI invention allows this type of DRAM memory cell to be implemented with a backside capacitive coupled contact 1801 b preferably formed by anisotropic etching from the exposed backside of the transistor body and isolating the adjacent transistor drains. The backside contact 1801 b can sever as a voltage bias to drive a calibrated charging of the transistor body, and as a means to sense the voltage of the charge on the transistor. FIG. 18B shows transistor gate 1802 b, data line 1803 b, channel charge storage node 1804 b 1 or drain charge storage node 1804 b 2, and dielectric 1805 b. The backside contact 1801 b is shown as common to adjacent transistors, but could also be formed as separate contacts to each transistor without significantly affecting the small size of the memory cell which is approximately equal to 4F2, where F is the minimum feature size of the elements of the transistor.
  • FIG. 19A and FIG. 19B are dual function devices with capability to operate as a logic transistor or as an EEPROM which is enabled through use of a VSI SLB in the form of an EEPROM floating gate. The SLB 1910 a can be fabricated in the form of a floating gate to create the function of a low leakage EEPROM memory cell from a conventional MOS transistor. The FIG. 19A shows a low leakage logic transistor with SLB 1910 a formed in a similar fashion as in the transistors shown in FIG. 15C and FIG. 16C except for the fabrication of a floating gate [FG] 1901 a and a programming gate [PG] 1902 a; dielectric isolation of the FG from the transistor body is not shown. The FG and PG of the SLB structure acts to prevent substrate leakage in the conventional operation of the MOS transistor. The fabrication of the SLB 1903 a is enabled preferably as part of the VSI process wherein the backside of the transistor of FIG. 19A is accessed through conventional semiconductor processing. The dual function transistor device of FIG. 19A consists of source contact 1907 a, gate 1906 a, drain contact 1908 a channel region 1905 a, FG 1901 a and PG 1902 a. The MOS logic transistor operates in a conventional manner when there is no charge, relative to the transistor type being npn or pnp, on the FG 1901 a or when there is a biasing charge to correct for deficiencies of the operating threshold voltage of the gate 1906 a. The MOS logic transistor operates like a EEPROM devices when the FG 1901 a is charged and appropriate voltages applied to the gate 1906 a, the gate 1906 a can also be used in the reading of the EEPROM cell by providing a partial voltage and therefore enabling the FG to carry a lower effective operating charge. The charging of the FG 1901 a is accomplished by the PG 1902 a through capacitive coupling to the FG and is enhanced by the present of the electron emitting edges 1904 a of the PG on the side of the SLB which create electric fields between the PG and FG causing the injection of the electrons into the dielectric 1909 a between the PG and FG. The FG is erased by reversing the polarity of the capacitive coupling of the PG 1902 a to the FG 1901 a, this process is enhanced with the electron emitting edges 1903 a on the FG which create electric fields causing the injection of electrons into the dielectric 1909 a between the FG and PG. The transistor gate 1906 a can also be used as a second PG during the writing or erasing of the FG with application of an appropriate voltage. The FG is programmed independently of the programming voltages applied at the source or drain regions of the transistor, which allows the value of the FG to be read continuously during programming operations improving the accuracy of the applied charge to the FG and lowers the time required for programming. A further advantage of the FG and PG structure is that the FG is charged and discharged from the PG greatly reducing or eliminating the opportunity for charge trapping in the dielectric 1909 a which is known to shorten the useful life of current planar EEPROM devices, and reduces the leakage of the charge from the FG during operation of the channel due to the use of lower transistor operating voltages as a result of transistor geometry scaling. The lower leakage condition of the transistor and the FG improves the reliability of the EEPROM for use in storing multiple data bits per memory cell. The design of the MOS device of FIG. 19A enables the EEPROM memory cell to be scaled to the same geometries of logic transistors and to achieve a layout area of approximately of 4F2, where F is the minimum fabrication feature size of the device; both of which are improvements over current planar EEPROM memory cells. It should be noted that the gate structure 1906 b could be fabricated as a SLB FG structure similar to the SLB FG structure 1903 a in FIG. 19A making a dual EEPROM gate structure or the FG structure 1910 b may be fabricated as conventional gate.
  • An additional aspect of the dual function devices shown in FIG. 19A and FIG. 19B is to use the gate 1906 a or 1906 b to enable the individual EEPROM by providing a partial reference voltage sufficient to cause the EEPROM channel to conduct if the FG is charged or encoded with a data value. This allows the FG to be encoded with data values at lower charge values, and it also provides a means for lowering the probability for cross gate line induced noise in EEPROM memory arrays.
  • It is important to note that a benefit of charging and discharging the a FG 1901 a from a PG 1902 a is to minimize or prevent the accumulation of charge in the dielectric separating the FG and PG, and avoiding the opportunity for charge accumulation in the gate dielectric in contact with the channel which can bring about an unwanted change in the conductance of the channel; this results in extended programming cycles of the FG and a longer useful life of the EEPROM device. The electron emitter edges 1903 a, 1904 a formed on either side of the EEPROM gate 1910 a of the FG and PG create stronger electric fields when programming voltages are applied to the PG and since these stronger fields only exist when the PG has applied programming voltages and the voltage differences between the source and drain of an EEPROM embodiment with electron emitter edges on the FG and PG of FIG. 19A are not sufficient to effect the programmed charge of the FG during its operation at normal voltage potentials. Further, the gate dielectric over the channel of the EEPROM does not have to be made thin to support electron injection. This programming process of the FG reduces the probability that electron leakage between the gate and the source or drain is greatly reduced by the design of EEPROM gate 1910 a. It is also important to note that as a result of the lower leakage of the VSI EEPROM memory cells made with write and erase functions implemented only through a PG and not through the source and or drain regions of the EEPROM device, a calibrated or standard reference value can be used as a reliable initial memory cell value for writing or reading multiple storage values when more that one bit of data is encoded or store on a memory cell. The benefits of the invention's EEPROM extended write/erase life cycles and low FG charge leakage also apply to the structure of the V-groove EEPROM gate discussed herein for the same reasons due to the electron emitter edges present in the V-groove gate structures such as the one shown in FIG. 19B and FIG. 19C.
  • The FIG. 19B shows an alternative VSI SLB dual function logic transistor and EEPROM memory cell device with function and capability the same as the device of FIG. 19A. This device uses a conventionally formed EEPROM FG 1901 b and PG 1902 b gate stack, however, a lightly doped MBE formed channel 1903 b over a heavily doped transistor body 1904 b is used rather than conventional source drain diffusion based doping profiles. The PG has contact 1905 b. The transistor function is enabled by anisotropically formed V-grooved shaped gate 1906 b, source contact 1907 b with transistor body 1904 b and drain contact 1908 b with transistor body 1904 b. The gate 1906 b makes contact into the channel region 1903 b and creates a leakage barrier between source and drain regions of transistor body 1904 b. The FG and PG surfaces of dielectric 1909 b are shaped in the manner presented in FIG. 20F to provide electron emitter edges 2001 f through 2004 f to enhance the ability of the PG to charge and erase the FG. The design of the MOS device of FIG. 19A enables the EEPROM memory cell to be scaled to the same geometries of logic transistors and to achieve a layout area of approximately of 4F2, where F is the minimum fabrication feature size of the device; both of which are improvements over current planar EEPROM memory cell.
  • The FIG. 19C and FIG. 19D show double gate EEPROM memory cell embodiments of the VSI SLB invention. The double gate EEPROM provides a means for lowering the charge storage level per FG required to implement single and multiple bit storage capability. The gate channel 1903 d corresponds to 1903 b of FIG. 19B. In one embodiment, FG 1901 c or 1902 c of FIG. 19C, or FG 1901 d or 1902 d of FIG. 19D is given a partial charge or reference charge and the other gate is encoded with the charge level representing the data stored, and therefore, the encoded gate requires less charge in order to represent a specific data value. This is useful because lower FG charges have lower probabilities of spontaneous charge loss. This same function can also be achieved in the dual function devices shown in FIG. 19A and FIG. 19B where the gate 1906 a or 1906 b would provide a dynamic or enabling partial charge bias.
  • FIG. 19E and cross sections of FIG. 19E-AA and FIG. 19E-BB show a dual function logic transistor and EEPROM memory cell device with conventional logic gate 1902 e, 1902 e 1 and EERPOM gate 1911 e 1, wherein the EEPROM gate has FG 1908 e 1 and PG 1909 e 1. The source contacts 1901 e, 1901 e 1, 1905 e 1 and drain contacts 1903 e, 1903 e 1, 1907 e 1 contacts can be positioned on either side of the transistor body as shown with all possible contacts present. The distinction of this device is that the EEPROM can be continuously programmed while in operation. This is accomplished through programming of the FG 1908 e 2 through PG contact 1912 e 2 or by optional PG contact 1904 e 2 which are out of the line of the transistor body as shown in FIG. 19E-BB. The portion of the EEPROM gate of FIG. 19E-BB which is out of the conductive path of the device body shown in FIG. 19E-AA is enhanced with electron emitter edges 2001 f through 2004 f as shown in FIG. 20F but only over that portion of the FG and PG that are out of line of the body of the device. The unique V-groove shape of the PG 1904 e 2, the tip of which is positioned in contact with the oxide layer 1910 e 2 directly under the FG, creates a strong electric field for injection of electrons into the oxide layer 1910 e 2. The programming of this device can be done without changing the conductance of the channel 1906 e 1 allowing the continuous monitoring of channel conductance or use of that conductance wherein it can provide a continuous feed back to an analog circuit network as an analog memory device. The logic gate 1911 e 1 provides additional control of the operation of the EEPROM memory cell such as a lower range of voltages on the FG or a enabling switch.
  • FIG. 20F shows the cross section of a PG, FG and the thermal grown oxide dielectric separating a PG and FG of an EEPROM gate such as the gate 1910 b of FIG. 19B. Electron emitter edges 2001 f, 2002 f, 2003 f and 2004 f are shown which create electric fields that assist in the injection of electrons from PG 2005 f in to the oxide 2007 f during a write or charging operation or from FG 2006 f into the oxide 2007 f during an erase or discharging operation of the FG. The electron emitter edges enable lower voltages to be applied to the PG in order to charge or discharge the FG than would be necessary if the surfaces of the PG and FG were parallel surfaces. The electron emitter edges 2001 f through 2004 f are fabricated by patterning the oxide of the FG 2006 f. FIG. 20A shows the cross section of a FG 2002 a and an overlying hard mask 2001 a such as a nitride film that has been partially patterned exposing a portion of the FG 2003 a. A second lithography step completes the patterning of the hard mask 2001 b and exposes the other side of the FG 2004 b as shown in FIG. 20B. Two lithography steps are required to create the hard mask pattern 2001 b because it is assumed that the width or length of the FG is of a dimension approaching the minimum feature size of the lithography process, and therefore, could not be imaged in one step. The FG 2003 c is then oxidized creating the well known bird's beak oxide shape 2005 c and 2006 c on either side of the hard mask 2001 c as shown in FIG. 20C. The hard mask 2001 c is then stripped as shown in FIG. 20D and a second thermal oxidation step creating bird's beak oxide shapes 2001 e and 2002 e, and resulting in the formation of electron edges 2003 e and 2004 e. The thickness of the oxide at this point can be reduced or increased by etching or additional dielectric deposition to adjust what will subsequently be a vertical separation of the electron emitter edges. The PG 2005 f is then formed over the oxide and in the same step forming the electron emitter edges 2001 f and 2002 f. The vertical separation of the electron emitter edges is determined by the amount of thermal oxide grown. Thermal oxide growth is a well known and well controlled process, and therefore, the vertical separation of the electron emitter edges can be well controlled which in turn is directly related to the predictable and uniform operation of the EEPROM memory cells.
  • It is important to note that a benefit of charging and discharging the a FG 2006 f from a PG 2005 f is to minimize or prevent the accumulation of charge in the dielectric separating the FG and PG, and avoiding the opportunity for charge accumulation in the gate dielectric in contact with the channel which can bring about an unwanted change in the conductance of the channel; this results in extended programming cycles of the FG and a longer useful life of the EEPROM device. The electron emitter edges 2001 f, 2002 f, 2003 f, 2004 f of the FG and PG create stronger electric fields when programming voltages are applied to the PG and since these stronger fields only exist when the PG has applied programming voltages and the voltage differences between the source and drain of an EEPROM embodiment with electron emitter edges on the FG and PG of FIG. 20F are not sufficient to effect the programmed charge of the FG during its operation at normal voltage potentials. Further, the gate dielectric over the channel of the EEPROM does not have to be made thin to support electron injection. This programming process of the FG reduces the probability that electron leakage between the gate and the source or drain is greatly reduced by the design of EEPROM gate. It is also important to note that as a result of the lower leakage of the VSI EEPROM memory cells made with write and erase functions implemented only through a PG and not through the source and or drain regions of the EEPROM device, a calibrated or standard reference value can be used as reliable initial memory cell value for writing or reading multiple storage values when more that one bit of data is encoded or store on a memory cell. The benefits of the invention's EEPROM extended write/erase life cycles and low FG charge leakage also apply to the structure of the V-groove EEPROM gate discussed herein for the same reasons due to the electron emitter edges present in the V-groove gate structure of FIG. 19B and FIG. 19C.
  • The transistor and EEPROM structures presented herein have been shown incorporating polysilicon floating gates. This is not a limitation on those transistors or memory cells shown and the well known art of ONO [Oxide, Nitride, Oxide] used for trapping charge in EEPROM cells or other such charge trapping dielectric film stacks can also be used to instead of the polysilicon floating gate illustration.
  • It should be understood that the relative positions of transistor gate structures and that of an EEPROM gate structure on either side of a transistor body as shown in the various figures does not limit their use, and that these structures can be positioned opposite the order of that shown.
  • VSI Quad Gate Low Leakage Transistor Structure and Interconnect
  • Transistor source drain substrate leakage can be reduced by configuring the geometry of the gate so that it has more than one surface adjoining the transistor gate region. Dual-gate and triple-gate transistors are well known in the art. The dual-gate and triple-gate transistor structures have been fabricated with a planar process. The fabrication of a quad-gate transistor is a novel aspect of the VSI invention. It is not apparent that present planar processing methods can be extended to enable the fabrication of quad-gate transistors.
  • FIGS. 19F1 through 19F5 show a first preferred VSI method for the fabrication of a vertical transistor with quad gate structure. The transistor shown in FIG. 19F5 is a quad-gate transistor implemented through VSI fabrication processing methods, which enable both sides of an integrated circuit to be processed, however, the fabrication of this quad-gate transistor is not limited to the VSI fabrication processing techniques. FIG. 19F5 shows a quad-gate transistor with two gate body regions 1905 f 5, 1908 f 5, quad-gate dielectric 1913 f 5, gate electrodes 1906 f 5, 1910 f 5, gate contacts 1901 f 5, source contact 1902 f 5, source transistor body region 1904 f 5, 1907 f 5 drain transistor body region 19012 f 5, 1907 f 5, drain contact 1903 f 5 and dielectric layers 1914 f 5, 1909 f 5, 1911 f 5. The gate electrodes 1906 f 5, 1910 f 5 surround the transistor body enabling the complete inversion of the transistor gate region when a threshold voltage is applied. Although the gate electrodes are connected in common causing both gate regions to invert simultaneously, the quad-gate electrodes 1905 g 5, 1913 g 5 could also have been fabricated to operate independently as shown in FIG. 19G5. FIG. 19F5 clearly shows that the transistor body is vertical passing through the VSI circuit layer 1909 f 5, and therefore, can also provide the additional function of a vertical interconnection.
  • The fabrication sequence of the quad-gate transistor of FIG. 19F5 is shown in FIG. 19F1 through FIG. 19F4. FIG. 19F1 shows the fabrication of the quad-gate electrodes 1901 f 1 in dielectric layer 1903 f 1 overlying dielectric layer 1904 f 1 and semiconductor substrate 1905 f 1. The quad-gate electrode is further overlaid by dielectric layer 1902 f 1. The circuit fabrication shown is accomplished with established IC fabrication processes.
  • FIG. 19F2 shows a via 1901 f 2 etched through the dielectric layers 1902 f 2, 1904 f 2 and quad-gate electrodes 1903 f 2 terminating on the semiconductor substrate 1906 f 2 with substrate contact 1905 f 2. The circuit fabrication shown is accomplished with established IC fabrication processes.
  • FIG. 19F3 shows quad-gate electrodes 1907 f 3, 1908 f 3, dielectric layers 1902 f 3, 1904 f 3, 1905 f 3 and semiconductor substrate 1906 f 3 with the addition of quad-gate dielectric layer 19013 over the side walls of the via 1901 f 2 shown in FIG. 19F2. The growth or deposition of the quad-gate dielectric 1901 f 3 will cover the semiconductor substrate contact 1903 f 3 and is not shown, it is removed by use of RIE processing techniques enabling a directional or differential etch of the horizontal surface of the substrate contact 1903 f 3 versus the quad-gate dielectric 1901 f 3 on the via side wall. This etch processing removes that portion of the quad-gate dielectric from the substrate 1906 f 3 which is required to be open as a crystalline seed surface for subsequent epitaxial growth of the transistor body.
  • FIG. 19F4 shows the quad-gate electrodes 1907 f 4, dielectric layers 1902 f 4, 1913 f 4, 1911 f 4, semiconductor substrate 1912 f 4 and quad-gate dielectric 1901 f 4 with the addition of the transistor body source 1903 f 4, 1906 f 4, gate 1905 f 4, 1908 f 4, and drain 1909 f 4, 1906 f 4 regions. The transistor body regions were fabricated by convention semiconductor epitaxial controlled growth techniques. The epitaxial grown transistor body is grown from the substrate seed contact area 1910 f 4 at the bottom of the transistor via. The doping profiles of the source, gate and drain regions are formed during the epitaxial growth of the transistor body. The distance from the semiconductor contact 1910 f 4 to the gate regions 1905 f 4, 1908 f 4 are known distances along the transistor body from the semiconductor substrate 1912 f 4 and the epitaxial growth rate is used to determine the use of doping constitutes and concentrations required to form the various regions of the transistor body during epitaxial growth of the transistor body. Once the quad-gate transistors of the VSI layer are completed, overlying horizontal interconnection is formed as needed, and the circuit layer is then ready for bonding onto a another substrate and the removal of the underlying substrate 1912 f 4 up to dielectric layer 1911 f 4. This followed by backside fabrication of the drain contact 1903 f 5 and the fabrication of additional interconnection, as needed.
  • Additional quad-gate transistors could optionally be formed on the backside of the completed first quad-gate VSI circuit layer through the use of a semiconductor substrate with a dielectric barrier layer such as a SOI substrate. If this were desired, the removal or thinning of the SOI substrate would stop on the barrier layer, and the then underlying device layer of the SOI substrate could be used as a crystalline seed contact for the growth of the next layer of quad-gate transistors by repeating the fabrication sequence represented by FIGS. 19F1 through 19F4. Such backside fabrication of quad-gate transistors also assumes that a drain contact and interconnection to it was fabricated during top side first quad-gate transistor circuitry fabrication in anticipation of the backside quad-gate transistor fabrication.
  • FIG. 19G1 through FIG. 19G4 show a second VSI method for fabrication of a vertical transistor with quad gate structure. The transistors shown in FIG. 19G4 and FIG. 19G5 are quad-gate transistors implemented through VSI processing which enables fabrication access to both sides of an integrated circuit, however, the fabrication of these quad-gate transistors are not limited to the VSI fabrication processing techniques. FIG. 19G5 shows a quad-gate transistor with two gate body regions 1907 g 5, 1909 g 5, quad-gate dielectric 1912 g 5, gate electrodes 1905 g 5, 1913 g 5, gate contacts 1901 g 5, 1903 g 5, source contact 1902 g 5, source transistor body region 1906 g 5, 1908 g 5, drain transistor body region 1908 g 5, 1910 g 5, drain contact 1911 g 5 and dielectric layers 19014 g 5, 1904 g 5, 1915 g 5. The gate electrodes 1905 g 5, 1913 g 5 surround the transistor body enabling the complete inversion of the transistor gate region when a threshold voltage is applied. FIG. 19G5 clearly shows that the quad-gate transistor body is vertical passing through the VSI circuit layer 1904 g 5, and therefore, can also provide the additional function of a vertical interconnection.
  • The fabrication sequence of the quad-gate transistor shown in FIG. 19G5 is shown in FIGS. 19G1 through 19G4, or the fabrication sequence also as shown in FIG. 19F1 through FIG. 19F5. FIG. 19G1 shows the fabrication of the epitaxially grown layers 1901 g 1, 1902 g 1, 1903 g 1, 1904 g 1 on the surface of substrate 1907 g 1, dielectric barrier layer 1906 g 1 and semiconductor device layer 1905 g 1. The epitaxial layers correspond to the source 1901 g 1, 1903 g 1 and gate 1902 g 1, 1904 g 1 regions of the transistor body; the preexisting device layer 1905 g 1 corresponds to the drain region of the transistor body and is assumed to be doped appropriately or extended by epitaxial processing.
  • FIG. 19G2 shows the transistor body formed by etching away the surrounding semiconductor material to the dielectric layer 1907 g 2 overlying substrate 1908 g 2 and leaving the transistor body vertically oriented with hard dielectric etch mask 1901 g 2 overlying source 1902 g 2, 1904 g 2, gate 1903 g 2, 1905 g 2 and drain 1904 g 2, 1906 g 2 regions.
  • FIG. 19G3 shows the quad-gate transistor after fabrication of quad-gate dielectric 1910 g 3, gate electrodes 1904 g 3, 1914 g 3, gate contacts 1901 g 3, 1903 g 3 and dielectric layers 1911 g 3, 1915 g 3. The gate electrodes are deposited at a distance from the dielectric layer 1912 g 3 that corresponds to gate body regions 1906 f 3, 1908 f 3 of the quad-gate transistor body. The circuit fabrication shown is accomplished with established IC fabrication processes.
  • FIG. 19G4 shows a completed VSI quad-gate transistor with quad-gate electrodes 1903 g 4, 1912 g 4, gate contacts 1902 g 4, transistor source region 1905 g 4, 1907 g 4, gate regions 1906 g 4, 1908 g 4, drain region 1907 g 4, 1909 g 4, quad gate dielectric 1904 g 4 and the substrate removed with drain contact 1910 g 4 and optionally formed over dielectric layer 1912 g 3 shown in FIG. 19G3. The quad-gate electrodes are connected in common, but also can be fabricated to operate independently as shown in FIG. 19G5 or in numerous other single or multiple quad-gate design configurations.
  • FIG. 19H shows a VSI quad-gate 1T non-destructive read memory cell. This single transistor memory cell is composed of a quad-gate electrode 1905 h 1 surrounding the transistor body of source 1903 h 1, gate 1904 h 1 and drain 1909 h 1 regions, gate dielectric 1902 h 1, drain charging electrode 1911 h, source contact 1901 h 1, drain charging electrode contact 1912 h 1 and dielectric layers 1910 h 1, 1906 h 1, 1907 h 1, 1908 h 1, 1913 h 1. The VSI quad-gate 1T memory cell operates by storing charge in the drain region. The amount of charge in the drain region of the quad-gate transistor is determined by the voltage applied to the drain charging electrode 1911 h-i. The charge stored in the drain region 1909 h 1 can be sensed through the drain charging electrode contact 1912 h 1 enabling the memory cell to be non-destructively read. The memory cell may require periodic refresh of its stored charge depending on the rate of charge leakage that may occur from the drain, however, the quad-gate structure will act to reduce or eliminate charge leakage depending on the geometry of the transistor body and quality of its fabrication.
  • It is a further aspect of the 1T quad-gate memory cell described herein, that more than one quad-gate can be used to reduce charge leakage as shown in FIG. 19F5. It is a further aspect of the 1T quad-gate memory cell described herein, that the memory cell can be integrated with additional logic functions in the same vertical transistor body of the memory cell through the fabrication of additional gate regions and quad-gate electrodes, and additional source/drain electrodes can be added along the transistor body. It is a further aspect of the 1T quad-gate memory cell described herein, that the memory cell can be integrated as the memory element in PLD logic such as FPGA logic or programmable combinatorial logic. It is a further aspect of the 1T quad-gate memory cell described herein, that the a feed back circuit can be used to accurately monitor the charge or voltage of the memory cell to adjust the charge without a complete refresh of the charge. It is a further aspect of the 1T quad-gate memory cell described herein, that the memory cell can be used to store multiple levels of charge so that more than one bit of information can be stored in the memory cell.
  • VSI IC Yield Enhancement and Dynamic and Static Configuration
  • The VSI fine grain vertical interconnect enables novel methods of IC yield enhancement after the fabrication of an IC is completed, for ICs with large surface areas, and for ICs where the yield of even one IC per wafer would be acceptable if such yield were obtainable. The capability for post circuit wafer fabrication integration of a large number of VSI circuit layers has the advantages of simplified IC integration, improved performance, and lower overall IC costs. The yield of such highly integrated ICs is not possible without a method for configuration of the IC to avoid various expected physical defects in the circuitry of the IC.
  • Full or multiple redundancy of complete VSI circuit layers enables higher net VSI IC yields independent of circuit die size. This method of VSI IC yield enhancement offers unique net VSI IC circuit yield advantages when a specific net circuit yield is an application requirement or even the yield of one IC would be acceptable. Fine grain vertical interconnect implements this method of yield enhancement with a small number of vertical interconnects sufficient to separately enable and power each redundant circuit layer. An example of yield enhancement with this aspect of the VSI invention is a circuit layer with an expected planar IC yield of 80% if made fully redundant in a VSI component would have an effective yield of approximately 96%, similarly, an IC with an expected planar IC yield of 60% if made triply redundant in a VSI component would have an effective yield of approximately 93%. It is important to point out that the cost of packaging often is greater than the cost of a planar die being packaged, and in certain circuit applications packaging costs are several times greater than planar die cost, and therefore, VSI circuit layer redundancy can be cost effective through reduction of packaging costs. Circuit test costs are reduced in a similar manner, wherein the cost of testing one VSI IC is less than the cost of testing a number of planar ICs that are equivalent to the VSI IC.
  • Circuit configuration or reconfiguration control circuitry is located on one or more VSI circuit layers separate from the circuit layers to be configured through VSI vertical interconnections. The redundant VSI circuit layers are connected enabling or disabling configuration circuitry by as many fine grain vertical VSI interconnections as may be required to achieve the desired control over the use of these circuit layers, and may range from 100s to 10,000s or more interconnects. The configuration of a VSI IC or component can be implemented with programmable circuitry such as PLD or FPGA circuitry using dynamic memory means, for example SRAM or Flash devices or static means such as fuse or anti-fuse devices, all presently used programming means in planar PLD circuits. An example of this type of VSI IC is shown in FIG. 5.
  • This aspect of the VSI invention dramatically impacts computer architecture by enabling the integration of heretofore more circuitry than is presently possible with existing IC technologies and without regard to the incompatible characteristics of the disparate semiconductor processes and technologies that may be used or the yield of any particular circuit layer. The primary objectives of this aspect of the VSI invention are higher IC performance with lower cost through reduction of conventional package and testing costs, and performance delays due to packaging interconnections.
  • VSI ASIC Manufacturing Inventory Method
  • The VSI integration and yield enhancement methods when combined with standardized die sizes, and circuit interfaces, communication protocols, layout and placement of fine grain vertical interconnections enable the fabrication of circuit layers that have a varying range of utilization for a broad range of end-user or OEM [Original Equipment Manufacturer] applications. This combination of the VSI IC manufacturing integration and design methods enables the novel method for integrating completed VSI circuit wafers from an inventory of various circuit wafer designs. This offers two heretofore unavailable IC manufacturing capabilities:
  • [1] a method for the manufacturing of completed circuit wafers as integral components or sub-systems prior to subsequent use in multiple application circuits that differ by one or more circuit features such as processing capacity, storage capacity, design, method of use or intended use; and,
  • [2] a method for inventory control for reuse of fabrication completed IC circuitry prior to final circuit integration fabrication.
  • VSI Stacked Wafer Alignment Method
  • Another aspect of the VSI invention includes methods for achieving high precision wafer to wafer bonding alignment with tolerances below current available capabilities of ±1 μm physical alignment [4 μm vertical contact pitch] and as low as approximately ±5 nm physical alignment. The objective of achieving greater alignment precision of wafers prior to bonding is to be able to provide a vertical interconnection pitch that scales with or is compatible with the pitch of the last horizontal interconnections of a circuit device layer. This is enabled by the use of specific alignment wafer processing steps in combination with the use of established micro-lithographic alignment means conventionally used in currently available stepper scanner lithography equipment to align exposure masks to a wafer or substrate.
  • The alignment accuracy for of the invention is similar to the alignment accuracy achieved with established lithography alignment equipment and has the capability to scale with ever decreasing circuit geometries. This degree of wafer alignment precision also requires that the individual lithographic images that are printed across the surface of a VSI circuit wafer be placed at placement tolerance relative to each other that is typically less than 50% of the minimum feature size of the vertical interconnect patterns on the bond surface layer and preferably less than 25% of such minimum feature sizes. State of the art micro-lithography equipment are capable of layer to layer alignment of less than 20 nm., however, such equipment when first stepping across a wafer [so called blind step] exhibit center to center placement of adjacent stepped circuit images of nominally 100 nm or greater.
  • The wafers or circuit layers or MEMS device layers used in making a VSI IC are composed of rows and columns of circuits or MEMS and each of said circuit or MEMS must be fabricated with a circuit placement accuracy relative to each other such that all vertical interconnections from one VSI IC layer to the next VSI circuit layer can be aligned properly. The cumulative placement variance from first to last stepped image in either row or column can currently be as much as 2 μm. It is the objective of the VSI substrate alignment method that the placement of die images relative to each other [in x, y & theta] across a wafer not vary by more than 50% of the minimum feature size of the last imaged layer or bonding layer. This is to say the center to center placement of any image on the wafer to any other image on the wafer not vary by preferably more than 50% of the final substrate bonding alignment budget. This presently is not done by as part of lithography processing. This is accomplished by use of the following processing steps:
      • 1. Printing a first image with alignment marks on substrate [so called blind step image] wherein the placement of any image relative to any other image has a center to center placement variance when added to the substrate bonding alignment tolerance of less than the final substrate to substrate alignment budget. The first printed image may be limited to a first set of alignment marks prior to the printing of the first circuit image layer using pattern generator equipment or direct write on wafer equipment such as those presently used for making circuit masks using E-beam or optical exposure means. The accuracy of the placement of blind step images on a substrate is presently controlled by a precision mechanical substrate stage with current nominal image to image stepping motion control of less than 50 nm and with a substrate temperature controlled to approximately 1° C. and often to 0.1° C. This does not provide sufficient placement accuracy for VSI alignment method objectives of less than ±250 nm and preferably less than 100 nm due to insufficient substrate temperature control. If a value of 4 is used as the CTE for a silicon substrate, then at 0.1° C. an additional 80 nm of placement variance is incorporated into the mechanical substrate stage placement accuracy for a 200 mm wafer and more for a 300 mm wafer. The lithography for planar circuitry does not require a high precision first image placement alignment control tolerance. A majority of current lithography stepper and pattern generation equipment have the capability to adjust exposure image placement with a high accuracy of less than 1 nm. The VSI alignment method uses this current image exposure placement adjustment capability in combination with the measurement from the substrate stage interferometer [such interferometers have measurement tolerances of less than 1 nm] and with immediate measurements of the wafer or substrate surface temperature to an accuracy of less than 0.1° C. and preferably to 0.001° C. or less. The exposure image adjustment demagnification means is then further compensated by a first image placement adjustment calculation for exposure placement that includes the wafer or substrate expansion or contraction as a function of its CTE. The temperature measurement of the wafer or substrate can be either an average physical temperature measurement calculated from one or more physical measurements of the top or backside of the wafer or substrate, or preferably, a distribution of physical temperature measurements taken over either the front or back surfaces and wherein the physical position of the temperature measurement is used as part of the image demagnification and placement adjustment calculation. The image placement calculation methods are derived from established physical methods. The blind step or first on wafer step image exposure demagnification and placement compensation for all initial image exposures is a combination of interferometer measurement and substrate temperature measurement as a function of substrate CTE will enable an image placement accuracy of less than 10 nm and typically less than 5 nm. The use of substrate temperature measurement to increase image placement accuracy also allows the temperature control of the substrate to be less demanding than current lithography temperature control methods.
      • 2. Printing the wafer bond layer [last imaged layer] aligned to alignment marks of a lower layer and preferably the marks used to align the first image layer. This may require that all or nearly all image layers be aligned to the alignment marks on a lower layer or preferably the first imaged layer.
      • 3. Through substrate interferometer or AFM [Atomic Force Microscope] alignment as explained below.
  • The true placement of all first images on a wafer or substrate are primarily affected by mechanical wafer or substrate stage motion error and relative expansion or contraction of the wafer of substrate due to it temperature; there are other placement error factors but there are existing methods for minimizing these placement error factors. The VSI first on wafer or substrate imaging alignment budget for all first printed images is composed of two components: an image placement adjustment by the image exposure means of the lithography tool of the mechanical substrate stage position based on the true stage position measurement from the stage interferometer; and, an image placement adjustment by the image exposure means of the lithography tool based on immediate or in situ temperature measurements of the wafer or substrate surface wherein additional image placement adjustment is calculated from these temperature measurements using the CTE for the wafer or substrate material. This VSI first on wafer or substrate lithographic imaging method enables all printed images to have a relative placement alignment budget to all other printed images of less than 10 nm and or 5 nm. This image placement alignment budget is a result of the capability of current lithographic imaging means to make image placement adjustments to an accuracy of less than 1 nm from stage interferometer position measurements and substrate surface temperature measurements. The VSI first on wafer or substrate image alignment budget objective is an image to image alignment of all images printed on the substrate relative to each other all such placements being relative to or initialized from the placement of the first image on the wafer or substrate; it is understood that the initial printed image on the wafer or substrate is printed by those means presently available and that the placement of all other first on wafer or substrate images are relative to the initial printed image.
  • The VSI invention stacked wafer to wafer alignment process is a combination of the alignment accuracy of the image placement of the last or top printed image on the wafer of substrate and the VSI means for aligning the wafer or substrate images on the bonding surfaces on wafers or substrates to be bonded. The on wafer or substrate image placements using the VSI image placement method described herein enables an image placement alignment budget of less than 50 nm and or less than 10 nm. The VSI wafer to wafer or substrate to substrate alignment means described herein enables a alignment budget of less than 50 nm and or less than 10 nm. The combination of these two alignment budgets enables a final alignment budget for all images on a wafer or substrate corresponding to images on a second wafer or substrate to be less than 100 nm and or less than 20 nm. This final alignment budget enables wafer or substrates that are to be bonded to be aligned with an accuracy that is compatible with the pitch of the last metallization horizontal interconnection layer of a circuit layer presently in the nominal range of 0.5 μm to 2 μm.
  • FIG. 21A and FIG. 21B show an embodiment of the VSI invention stacked wafer to wafer alignment process. This embodiment is accomplished by either pre-thinning the semiconductor substrate portion 2103 a of a circuit wafer to be bonded to a thickness of less than 25 μm and preferably less than 10 μm as shown in FIG. 21A, or by etching a minimum of two openings, only one shown as 2100 b, in the backside of the semiconductor portion of a circuit wafer to be bonded such that at the bottom of the etched openings less than 10 μm of the semiconductor portion of the circuit wafer remains, as shown in FIG. 21B, or a combination of both subsequent substrate thinning and etch of openings. The remaining semiconductor portion of the circuit wafer to be bonded when thinned to less than 25 μm and preferably less than 10 μm is sufficiently transparent to view conventional alignment marks formed on the bonding surfaces of the wafers being bonded. Once the backside wafer thinning is done or openings are made, wafer to wafer alignment can be done by established means as those used conventionally in lithography wafer processing tools for alignment of a mask and a circuit wafer being fabricated, FIG. 21D shows an example of a split field optics alignment method used to align a VSI circuit wafer to a VSI circuit wafer stack.
  • In all embodiments of this invention, the upper alignment marks 2101 a, 2101 b are suspended on or in a layer of transparent dielectric which allows the clear and unobstructed viewing of all upper and lower alignment marks. In the circumstance where a thin layer of semiconductor substrate remains before the dielectric layer, it is thin enough to be transparent. A wafer silicon semiconductor material when thinned to 10 μm or less becomes transparent.
  • The alignment of VSI substrates is similar in method to contact printing methods. The VSI substrates are brought in contact and alignment verification is performed. However, wherein contact printing the contact the mask and substrate must be separated again if additional alignment adjustments are required, this is not the case with VSI alignment. The VSI substrates during alignment adjustment can remain in contact due to low surface to surface frictional forces because their surfaces are smooth and free of adhesives agents such as photo-resist as in the case of contact printing, and under little or no pressure load for bring the surfaces into contact. This enables the alignment of the substrates to be held in place since no additional motion of the substrates is necessary once their alignment is completed. Once alignment of the in contact substrates 2103 a, 2104 a or 2103 b, 2105 b is completed, the pressure load on the substrates is increased holding their placement to prevent subsequent loss of alignment due to mechanical vibration due to the substrate bond processing.
  • The preferred embodiment of this wafer to wafer alignment invention for aligning a circuit wafer to a circuit wafer stack is by opening two or more openings in the back side of the wafer stack to expose the alignment marks formed on the backside of the of the last bonded circuit wafer as shown in FIG. 21C. The backside alignment openings 2105 c of the wafer stack are extended through VSI circuit layers 2106 c by conventional etching methods down to the alignment marks 2102 c printed on a dielectric layer deposited on the backside of the last thinned wafer bonded to the wafer stack 2107 c. The extension of the backside opening 2105 c of the wafer stack removes the previous alignment mark used to align the most recent bonded circuit layer to the circuit layer stack 2106 c (this most recent aligned and bonded circuit wafer is now the last circuit wafer on the wafer stack 2107, its has an alignment mark which will be used for alignment of the next circuit wafer to be bonded), the dielectric layers supporting the previous alignment mark 2102 c and any remaining semiconductor substrate, then stopping on the deposited dielectric layers on the bonded side of the circuit wafer most recently bonded to the wafer stack.
  • An alternative alignment method to the alignment embodiments of FIG. 21A through 21D is to use a mechanical atomic force microscope [AFM] or such similar measurement tool as are presently in common use for imaging surfaces on an Angstrom or nanometer scale. This type of alignment could optionally follow an initial gross optical alignment of the two circuit layers to be aligned. The probe 2203 b as shown in FIG. 22B, and the probe's position corresponding to the cross-section line BB of FIG. 22A, reaches through a backside opening 2208 b of the circuit stack substrate 2205 b and through alignment mark openings 2201 a, 2202 a, 2203 a, 2204 a and images the overlap displacement or position of the alignment cross mark 2201 b of the top circuit layer of the circuit stack 2210 b and the alignment cross mark 2202 b of the circuit layer 2206 b to be bonded by reaching through openings 2204 b, 2209 b on either side of the alignment cross marks 2201 b, 2202 b. The type of alignment marks are not limited to cross alignment marks, the use of cross alignment marks is only for the purpose of illustration.
  • The AFM probe simultaneously images the physical positions of the alignment marks 2202 b on the wafer or substrate 2206 b to be bonded and the alignment cross marks 2201 b of the top circuit layer of a VSI circuit stack 2205 b onto which the wafer or substrate 2206 b is to be bonded with respect to each other. Once this measurement is made, a calculation is made from this measurement by which the relative position of the two wafers or substrates 2205 b, 2206 b, is changed, if needed, to bring the alignment marks into alignment, and therefore, wafers or substrates to be bonded. The alignment measurement procedure may subsequently be repeated, as needed, until the desired alignment accuracy is achieved.
  • This alignment procedure requires additional process steps to allow access to the bottom wafer alignment marks 2201 b so that they can be imaged by the atomic level probe 2203 b. This step is the selective etching by conventional means such as RIE of the dielectric on which the metal pattern that is the alignment mark was formed. It is assumed that the layout of the alignment mark is so designed that once the dielectric around the alignment mark is removed it has sufficient support to remain suspended in the alignment opening 2208 b which is part of the of the top circuit layer 2210 b on the wafer or substrate 2205 b, as shown for example by the suspended alignment mark 2201 b in FIG. 22B. The process of simultaneously imaging both alignment marks with the atomic level probe provides measurement data on the relative position of one alignment mark to the other; this imaging of alignment marks is preferably done at two locations of the wafers or substrates to be bonded in order to measure angular displacement, and is a process similar to that used in split filed optical alignment methods. Typically only one of the wafers or substrates is then moved in x, y and angular directions in accordance with the measured differences between the respective alignment marks. This alignment procedure takes only a few seconds or less when automated and can be repeated to verify that the desired alignment has been achieved. The capability of this alignment procedure enables wafers to be aligned to an accuracy approaching the resolution of the measurement probe; the probes available today are able to achieve resolutions of less than 2 nm, and therefore, wafer to wafer alignment mark positioning accuracies of less than ±5 nm can be achieved after assuming an allowance for alignment mark edge roughness nominally of less than 5 nm resulting from present pattern processing methods.
  • An another alternative alignment method to the above preferred alignment embodiments is to use electro-magnetic proximity sensing through a wire coil pattern. This method of alignment is consistent with the invention provided in applicant's U.S. Pat. No. 5,354,695 42:39-44:9 with FIG. 28A and FIG. 28B, and is included by reference. One coil is a closed loop pattern in the position of the alignment mark on the wafer to be bonded and a second and a third open loop coil is in the opening of the bottom wafer again in the position of the alignment mark. Two probes are used to make contact with one of the open loop coils for propagating a signal through the coil which induces a signal in the closed loop coil of the wafer to be bonded. Two probes are used to make contact to the other open loop coil as a means to sense the signal induced in the closed loop coil. The strength of the sense signal is maximized by adjusting the position of either the closed loop coil or the open loop coils. As part of the fabrication of these coils they are approximately 2,500 Å below the surface of the dielectric film they are part of or embedded; this is done to prevent shorting of the coils during the alignment process and provides an approximate measure of the separation distance between the closed and open loop coils. Alternately one open loop coil may be used, in this case there is an electrical load induced on the signal of the open loop coil by the closed loop coil; this method requires a greater degree of signal processing to determine when the desired alignment is achieved.
  • VSI Method for the Reduction of Distributed Circuitry
  • The VSI component vertical circuit structure enables a novel design method for the reduction of the distributed circuitry of a planar circuit design or layout. An example of this are sense amps in a memory array. Sense amps are distributed through out a planar circuit layout as a result of the electrical necessity to be physically close to a memory bit [data] line in order to determine its state. This distribution of sense amps is not necessary for the memory array circuit layers of a VSI IC because the sense amps can be placed on a separate circuit layer and shared among multiple memory bit lines on separate circuit layers in direct close coupling [through fine grain vertical interconnections] without addition of significant wiring length between a sense amp and associated memory bit lines. A second example are the signal repeaters necessary to propagate a signal over a long distance across a circuit, such as is the case in PLD circuits. The VSI IC fine grain vertical interconnection lengths of nominally less than 200 μm eliminate the need for all or most of such repeater circuits over the planar circuit layer when used with a smaller die size.
  • VSI Ate System on Wafer Processing Method
  • Another embodiment or aspect of the VSI invention is its use in the fabrication of a full on-wafer or substrate ATE [Automatic Test Equipment] system. The objective of the VSI ATE system is to provide the capability to test all circuits on a wafer or substrate at their maximum possible speed, to test such circuits during a burn-in procedure, to program such circuits based on test data for the purpose of configuration of the circuit due to circuit defects and to program such circuits with data for their subsequent application use.
  • FIG. 23A shows in cross section a VSI ATE system 2301 a. The VSI ATE system is a wafer or substrate stack 2302 a composed of circuit layers or groups of circuit layers that provide the pin electronics 2305 a, programmable tester logic and external communication circuitry 2304 a, yield enhancement testing and reconfiguration logic 2306 a and tester memory 2303 a for circuit test vectors. Optional support substrate 2309 a may be used as means for handling and or connecting to the VSI ATE system; such optional support substrate being made from such flexible materials as polysilicon or graphite with a thickness of less than 4 mm and preferably less than 500 μm. FIG. 23A also shows DUT [Device Under Test] contact means 2307 a as part of the bottom circuit layer 2308 a of the VSI ATE system 2302 a. These contact means are fabricated in accordance with inventor's U.S. Pat. Nos. 5,323,035 and 5,4543,404 and included herein by reference. The various circuit layers or groups of circuit layers are intended to be modular in design such that depending on the type of DUT pin or programmable tester logic layers of generic or specific design required for the DUT circuit type, such logic layers can be inserted into the VSI ATE system wafer stack, or further, the amount of memory required for the test of a specific DUT type can be varied to meet the testing requirements.
  • The VSI ATE system incorporates yield enhancement circuitry 2306 a which allows the defective pin or tester circuitry to be replaced by spare circuitry or not to be used. The VSI ATE system yield enhancement circuitry is implemented from circuitry such as FPGA or fuse circuitry which allows dynamic or static implementation of the interconnection routing of various circuit blocks of the VSI ATE system electronics. The majority of the circuitry of a VSI ATE system is devoted to circuitry referred to in the ATE industry as pin electronics 2305 a. With each DUT IC on the surface of a circuit wafer or substrate there is some number of I/O contact pads or pins on that IC to be tested and pin electronics of the VSI ATE system are provided for all or some number of an IC contact pads. The pin electronics do not take significant circuit area and since the pin electronics are in immediate physical location to the pads of the DUT there is little or no requirement for additional drive and timing circuitry to be associated with the pin electronics further reducing the power required for the pin electronics. The VSI ATE system in its preferred embodiment simultaneously tests a plurality of ICs or DUTs on a wafer or substrate while in contact with all or the majority of ICs to be tested. The method and rate of in which the VSI ATE system performs DUT testing is a manner of how it is programmed to operate. A VSI ATE system can also be designed to test by contacting only a limited portion of ICs on a wafer or substrate at one time whereupon such a VSI ATE system would be stepped over the surface of the DUT wafer to make contact with all the ICs to be tested.
  • The benefit of the VSI ATE system is at-speed IC testing and burn-in of ICs, while the ICs are in substrate form or prior to their separation from the wafer or substrate upon which they where fabricated, and early IC process yield knowledge. Current ATE systems are large programmable electronic assemblies of PCBs and because of their size do not presently have the capability to propagate test signals at a level of performance to test most ICs at their full rated speed while on wafer or substrate. Secondly, present ATE systems are expensive and have the ability to test one or a limited number of IC on a wafer simultaneously. The VSI ATE system may be fabricated with as few as 4 circuit layers or more than 32 circuit layers with a cost that is approximately less than 25% of the current IC testing costs. A further benefit of the VSI ATE system is that it enables testing ICs with large numbers of I/Os with contact pitch of 10 μm or less without an increase in cost, since the probe point contact means 2307 a of the VSI ATE system are lithographically formed and programming of interconnections of the pin electronics can be routed dynamically from one IC to another should the ICs of a substrate require more pin electronics than are available to meet the requirement for testing all ICs simultaneously.
  • The input and output of data to the VSI ATE system is accomplished by making electrical or optical contact to the VSI ATE system wafer or substrate stack or by wireless or radio means or a combination. The VSI ATE system may be bonded to a support substrate for the purpose of mechanical manipulation and or a means through which electrical contacts can be made to the VSI ATE system wafer stack. FIG. 23B shows in cross section a VSI ATE system 2301 b bonded to a flexible supporting substrate 2302 b preferably made from polysilicon or graphite material and with a thickness of less than 4 mm and preferably less than 500 μm [0.5 mm]. The support substrate 2302 b may optionally make external electrical contact to the VSI ATE system 2301 b bring those contacts to the edge of the support substrate 2303 b. The support substrate 2302 b may optionally be used to mount fiber optical connections 2304 b, 2305 b, 2306 b allowing optical signals to pass through the support substrate either to optical wave guides or directly to optical transmission transceivers on one or more of the circuit layers of the VSI ATE system 2301 b. Programming and data for the VSI ATE system 2301 b may be also be transmitted or received by optical fiber connections, wired or wireless means.
  • The FIG. 23C shows in cross section a flexible support substrate made from materials like those of FIG. 23B with an open internal area 2304 c wherein the VSI ATE system 2301 c is exposed and is bonded only at its edges to the supporting substrate 2302 c. Electrical contacts 2303 c may be fabricated on the support substrate that brings contacts from the VSI ATE system 2301 c to the edge of the support substrate 2302 c. The support substrate 2302 c may optionally be used to mount optical fiber connections 2305 c, 2306 c near the inner edge of the support substrate allowing optical signals to pass through the support substrate to either to optical wave guides or directly to optical transmission transceivers on one or more of the circuit layers of the VSI ATE system 2301 c.
  • The VSI ATE system is intended for use by equipment means in accordance with inventor's U.S. Pat. No. 6,288,561 and included herein by reference, but is not limited in use to such equipment means. Programming and test data for the VSI ATE system 2301 a, 2301 b, 2301 c may be transmitted or received by electronic, optical fiber connections or wireless means.
  • VSI Dynamic Memory Reconfiguration and Self Test
  • Another embodiment or aspect of the VSI invention is its use as a stacked memory that has the capability of self test and reconfiguration as a means of recovering from logic and memory circuit defects, and further, the integration as desired in combination with the other embodiments or aspects of the VSI invention presented herein. The yield and utility of a VSI IC memory is enhanced by the capability to internally self test and reconfigure the internal physical access to various portions of its memory cells and thereby maintain the external appearance of a continuous memory address space and the continued utility or availability of the remaining correctly working memory cells of the VSI IC. This embodiment of the VSI invention is in accordance with inventor's U.S. Pat. No. 6,551,857 and application Ser. Nos. 10/222,816 and 10/143,200, and are herein included by reference.
  • The primary objectives of this invention versus the current state of the art electronic memories is the reduction of the cost of electronic memory on a per bit basis through increased yield, increased serial and parallel memory access performance, increased operational utility, and increased reliability enabled by internal failure detection and failure recovery reconfiguration circuitry. The objective of dynamic or transparent failure tolerant operation can also be further enhanced by the invention through use of its internal failure detection circuitry and programming of the invention's controller circuitry to operate with multiple copies of stored data. Failure recovery may be also assisted by external controlling means upon a request for intervention by the invention's controller circuitry. A further objective is the making of high density memory systems with a net successful operating circuit yield probability in excess of 95% and in excess of 99%, therefore, enabling the option for vertical integration with other technologies of a near arbitrary range of electronic and optical circuits and MEMS as shown in FIG. 3 without significant reduction in the overall yield of such circuitry.
  • This type of memory IC accepts a logical address from a data processing IC such as a microprocessor, a graphics processor, the memory controller of another memory circuit, or a database processor as examples. The address is called a logical address because it is subsequently translated or mapped into a physical address by the VSI IC memory invention in the process of accessing the memory location represented by the logical address. The logical address is an external address used by a data processing IC to access a memory location within the invention over some known available range of logical addresses recognized by a specific incarnation of the invention. The use of logical addressing by external circuitry enables the addresses used by the external circuitry to appear contiguous over some range when the internal storage over the logical address range may not be contiguous, and the ability to simultaneously operate multiple addressing ranges and overlapping addressing ranges on a as needed or on demand basis through an external controlling means.
  • Additional primary objectives of the invention are to determine what quantity of memory storage it has that correctly operates and can make available for external use even in the presents of some number of memory cell failures, and accept an arbitrary logical address range assignment for that quantity of memory storage from an external IC or by internal pre-assignment. This external address operating range is also referred to as an address window and there may be more than one address window that the invention can be programmed to recognize. The use of multiple windows may be used to provide simultaneous access in a multiple port memory circuit or as a means to carry on parallel operations interior to the memory circuit. As a result of these primary objectives of the invention, the individual storage capacity of each of the various IC incarnations of the invention may vary and over the useful life of such ICs the storage capacity may change. This is a very valuable capability of the invention, because the failure of a small percentage of memory cells does not result in the scrapping of the IC, and perhaps of even greater value, as memory cell failures occur during the useful life of an IC of the invention, such failure can be transparent to its use and not result in its replacement where the cost to perform such a replacement can easily be far greater than the original cost of the IC of the invention.
  • The primary objectives of the invention are enabled by the use of internal self test, error detection, programmable logic and memory fault descriptors. An embodiment of the invention as a planar circuit is shown in FIG. 24A and for the purpose of this discussion is presented as a DRAM type memory consisting of a memory array 2401 a, and the remaining circuitry logic consisting of a controller, Error Correcting Circuitry [ECC], Sense Amplifiers, programmable logic router, Cache, address select circuitry and memory cell fault descriptor storage. An example of a block layout of the VSI IC is shown in FIG. 24B, wherein each block such as 2402 b, 2403 b, 2404 b are able to perform memory operations independently of each other. However, a memory array block is not limited to DRAM type cells, but could include any type of memory that could be integrated onto planar IC such as ROM, EEPROM, magnetic or SRAM. The memory array is composed of gate lines and data lines which are placed in an orthogonal orientation with respect to each other and at the respective crossings of the gate lines and data line are located memory cells of the array as shown by the memory array 2410 a of FIG. 24A.
  • The failure recovery capability of the invention enables the yield for its circuitry to be defined as a net successful operating circuit yield as opposed to the conventional definition used for circuit yield of defect free. The invention enables the amount of physical storage of the circuit to be several times greater than conventional memory circuitry for equivalent fabrication technology by use of a plurality of memory layers and such storage cell capacity enhancements as multi-level storage. It is due to this large storage capacity capability of the invention and its novel controller circuitry that circuit yield is redefined in terms of net successful operation per available memory storage capacity.
  • The circuitry of the VSI configurable memory invention is shown in FIG. 24A in a planar circuit layout with only one memory array 2401 a and associated control and processing logic. The preferred embodiment of the VSI configurable memory system or subsystem is shown in FIG. 24B as a VSI IC circuit layer stack, diced from a wafer stack, consisting of one or more logic or controller layers 2401 b used in implementing the memory circuit and one or more memory layers such as 2402 b, 2403 b, 2404 b. One or more other data processing layers may also be present as represented as 2405 b and may appear at either end of the memory circuit stack as required by a given overall circuit design. Further, 2405 a could also be a layer of additional memory controller circuitry such as redundant circuitry of the controller. The preferred VSI embodiment of the invention is to organize the memory circuit layers into a plurality of memory blocks 2401 c, 2402 c as shown in FIG. 24C, which become multiple stacks internal to the VSI configurable memory IC and preferably each memory stack would have control circuitry on one or more circuit layers that would allow each such memory stack to have the capability of independent operation with respect to other memory stacks or blocks, and thereby, its own effective memory circuit yield. This structure of independently operating memory blocks 2401 c, 2402 c increases the expected total circuit yield by localizing the effect of major circuit defects where the loss of a complete memory stack associated with memory block 2401 c does not cause the loss of the complete VSI IC and would allow the option for use the VSI IC with a diminished capacity.
  • The invention consists of one or more and preferably a plurality of memory circuit layers 2402 b, 2403 b, 2404 b as shown in FIG. 24B stacked vertically with respect to one or more layers of non-memory circuitry 2401 b, 2405 b except where such non-memory circuitry is expressly placed on a memory circuit layer; examples of non-memory include more than logic circuitry such as optical transmission circuitry, sensor devices or MEMS devices. Although the invention can be implemented as a planar IC, the preferred embodiment is as a stacked IC and preferably consistent with the VSI stacking processes. There are several advantages to implementing the invention as a stacked memory IC which relate to higher performance and lower cost. The principal advantages are segregation of logic and memory fabrication processes to separate layers, shared utilization of several memory layers to one or two logic layers, design control over die size to achieve a smaller die size, and at the same time, a nearly arbitrary IC memory density.
  • The operation of the invention consists of accessing memory layers as memory read or write operations. Before the memory can be operated the controller logic performs an internal test of the memory storage cells to determine which are defective if any. In the process of performing the internal memory test the programmable logic is used to configure the memory so that defective memory storage cells are either not used or their use is avoided during memory accessing operations. Memory defects consist of single defective memory cells, up to one or more defective gate lines or data lines. During the operation of the memory a portion of the test circuitry, such as the Error Correcting Code [ECC] circuitry, is used to verify that a failure does not occur, and if it does, an attempt is made to dynamically correct for the failure if possible. It is a primary objective of the invention to provide various levels of error free operation, which is based on the intended use of the memory. The invention may be configured from a range of operating capabilities, from reporting the occurrence of an error, to correcting certain classes of error as with ECC circuitry, to the use of completely redundant multiple memory windows whereby memory operations are duplicated in all windows using a combination of error detection and data comparison mechanisms to guarantee a state of error free operation which is referred to as Fault Tolerant.
  • The testing process of the invention consists of at a minimum of writing and reading bit patterns to memory storage locations and verifying that the written pattern is the same as the read pattern over some extended period of operation. When a memory IC is first fabricated, it goes through a circuit verification step generally referred to as burn-in. Burn-in is a lengthy process during which an effort is made to determine the maximum operating speed of the IC and if there are memory cell failures that are intermittent or a result of extended operation. The result of the burn-in process is information, which is used to determine the maximum operating speed of the memory IC by using various clocking rates that may be generated either within or externally to the IC and the maximum available useable memory of the IC. A circuit of the invention will be scrapped should the number of internal memory cell defects exceed a predetermined number. It is a unique attribute of the invention that a memory IC can have a range of memory capacity whereas presently memory ICs are scrapped should there be a capacity variance from a fixed capacity requirement of present memory ICs.
  • The preferred embodiment for reconfiguration processing of the invention uses a programmable gate line router on each memory layer, one programmable data line router located on a controller or logic layer, test and error correcting circuitry, spare programmable gate line selector circuits, spare gate lines and spare data lines. Associative address circuits may also be part of the reconfiguration circuitry as a means to add capacity to the gate line or data line reconfiguration circuitry, this circuitry will preferably use a write once type programmable logic means.
  • There is gate line select circuitry used in common for all gate lines on all memory layers which is located on a logic layer and is used for the selection of gate lines on the various memory layers. The gate line selector may be made from circuitry that is programmable multiple times, one time programmable or have a fixed address recognition value, since the value of a gate line selector is not likely to change from an initial assignment. There are a number of spare gate line selector circuits that can be programmed to replace a defective gate line selector circuit which is programmed to select a specific gate line. The use of a common gate line selection circuit versus one for each memory layer conserves significant circuit area. The gate line selector circuit couples to vertical interconnections to the various memory layers.
  • A programmable gate line router on each memory layer 2404 d, as shown in FIG. 24D, provides the necessary reconfiguration capacity to interface a common set of gate line selectors 2402 d and reconfigurable interconnections 2401 d to a plurality of sets of gate lines 2403 d one each on a memory layer. The gate line router is programmable logic such as that commonly used in a PLD [Programmable Logic Device] and preferably uses a program once technology such as a fuse technology in order to route new connections or reconfigure connections permanently preventing the use defective gate lines or defective gate line selection circuitry. This programmable router is programmed by the controller as a defect is found either during manufacturing [burn-in testing] or during period test verifications over its useful life. Since the expected numbers of such defects are few, the reconfiguration capacity of this gate line router or the number of gate lines that it can separately route is also limited based on the expectation of the number of defects, and therefore, is physically small in area. If the capacity of a given gate line router is exhausted by the number of defects, then a reduced memory storage capacity may result such as eliminating the use of the memory layer, or the use of an associative address circuit routes address requests for only defective gate lines of the memory layer to a spare gate line of the memory layer or an alternative memory layer. The associative address circuit is not shown, but would be located as part of the controller logic.
  • The data line programmable router 2404 e shown in FIG. 24E, is part of the controller logic circuitry, also uses PLD type circuitry, configures the routing of data from data lines when coupled to the memory cells of a selected gate line. The data line router is reconfigured during every memory cycle by reconfiguration directives that cause data lines coupled to defective memory cells to be rerouted to spare or defect free data lines; this is done by setting dynamic switches 2405 e or coupling circuits at various crossing points of the defective data line 2406 e, router line 2403 e, and spare data line 2407 e as shown in FIG. 24E. If the memory block used more than one vertical access bus for all of the circuit layers, then two reconfiguration router circuits 2401 e, 2402 e would be required in order to have simultaneous memory access cycles in the memory block. The data line router is configured during each memory cycle because the defects are defined on the basis of a memory access cycle, which is per selected gate line and per selected memory layer. The data line reconfiguration directives are created during the burn-in process, maintenance of the memory such as part of the system startup sequence or as part of a system directed maintenance, or part of a operational memory access cycle. These reconfiguration directives are stored in associative address circuits that are typically write once programmable logic. When a gate line on a specific memory layer is selected, all reconfiguration directives for that gate line are read causing the data line router to be configured specific to the defects of the selected gate line and data lines of the selected memory layer. The data line reconfiguration directives at least are specific for the replacement routing of a data line when coupled to single defective memory cell of a specific gate line, or in the case of a defective data line where one directive causes all memory cells that would couple to a specific data line to be replaced by routing to a spare data line.
  • The cache is part of a logic layer and acts as an associative memory buffer and referenced by logical addresses. The cache has the capacity to retain the data from one or more selected gate lines from each memory layer. The cache is organized for some number or depth of selected gate lines by the number data lines of the array, and by a second organization by depth and some word length, which is required to move data over the I/O interface to the memory block 2401 a of the cache. The second organization may be programmable to provide various methods of assembling and de-assembling words for transfer to and from an individual memory block. Further, the preferred cache structure has at least two ports in order to support simultaneous transfers of data between memory layers and the cache, and between the cache and one or more data requesters.
  • The preferred embodiment of the invention performs a memory access upon receiving a logical address at the controller, the controller determines if the storage locations of the requesting address is in the memory layers with which it is associated. The control then translates the logical address into a gate line select address, a layer enable address and the portion of the data lines that are to be used in the access cycle with respect to writing or reading data. In a read access cycle a selected gate line of a memory layer is coupled with the data lines of that layer passing to the Sense Amplifiers and then through a programmable data line router which is configured as part of the read access cycle by data line directives read from configuration memory. The resulting data from the data line router pass through the test and error correction circuitry and then to a cache from which the read data is passed on to the requesting source through a programmable I/O interface. If a failure is detected and not corrected, the read cycle is stopped and the status of the failure is reported to the controller, which in turn makes the existence of the failure known to the requesting source. In a write access performed to the cache, the data is passed from the cache to error coding circuitry and then through the data line router to the data lines where the data is stored in memory cells coupled to the data lines and the selected gate line. If a recoverable memory cell failure is detected during a read or write memory access cycle, the specific cell failure is passed on to the controller which in turn saves this information for subsequent determination of reconfiguration of the IC or passes it onto a second control logic which makes this determination and directs the controller to make such changes during the normal operation or during a startup or maintenance period.
  • The stacked structure of the invention through vertical interconnections enables shorter high density interconnect access to and simplified organization of the various portions of circuitry from several aspects such as common access to a central controller or for a plurality of circuit elements with common shared interconnections. Vertical interconnections are also an enabling aspect for the VSI implementation of reconfiguration router circuitry to achieve fail safe error recovery from memory failures during operation in either a dynamic or delayed method. This is the case because in planar memory circuitry the physical layout implementation of reconfiguration circuitry would increase in the number of horizontal interconnection layers such that doing so would go beyond present capabilities of semiconductor fabrication or the operational performance of the circuit would be unacceptable due to delays resulting from the length of interconnections. It is also clear that the added reconfiguration circuitry adds cost to the fabrication of a circuit, this added cost is offset by a high density of fine grain vertical interconnections therein enabling the reconfiguration circuitry to be cost effectively utilized or shared among the memory circuit layers of an IC due to a near equal physical proximity to all circuitry segments. Another example of shared circuit utilization in the invention is the shared use of control logic functions such as sense amplifiers among the memory arrays of each memory layer wherein a planar memory IC a separate set of sense amplifiers would be required for each memory array on the IC which adds significantly to the cost of planar memory ICs.
  • The invention enables a low cost high density high performance multiple port memory VSI IC that can be vertically integrated with multiple layers of logic for data processing such as microprocessors, graphic processors, database processors, FPGA logic, ASIC logic and other generic or application specific logic or optical or MEMS device layers. The use of fine grain vertical interconnections to a plurality of memory layers allows for the efficient shared use and near equal distance location of control and reconfiguration logic to the memory array of each memory layer. The shared use of logic enables approximate 50% cost reduction in manufacturing costs on a per memory cell basis versus conventional planar memory fabrication methods due to the inventions ability to increase the density of memory cells per IC, therefore, lower the ratio of control circuitry per memory cell; a 50% cost reduction is achieved typically when the number of memory layers is four or greater, and thereby, the ratio of control logic circuit area per memory cell of the invention is 20% versus a nominal 50% in planar DRAM or flash circuits. The performance of the invention is nominally 2 to 5 times faster than conventional planar memory circuits due to capability of the invention to use higher performance logic circuit fabrication processes than those known to be presently used in planar memory circuits because the logic layers physical separate from the memory layers, and therefore, do not require the use of merged fabrication processes which is known to compromise the performance of present DRAM and flash planar memory circuits. The performance of the invention is further enhanced versus planar circuit fabrication for example through use of parallel memory operations in blocks, multi port blocks, gate line segmentation, low leakage memory cell process implementation through SOI [Silicon on Insulator] and differential data line sense amplifier circuits; the use of such performance enhancement techniques when applied to planar memory ICs have been shown not provide the desired level of performance or have to come at too high a cost.
  • The controller circuit when implemented for a DRAM memory provides background or internal refresh. DRAM circuits require that their memory cells be refreshed periodically. Refresh is accomplished by concurrently performing refresh operations in memory arrays stacks that are not in use in an ongoing memory access or through multiple ports of a memory array stack. Refresh may also be accomplished by increasing the size of the memory stack cache allowing refresh cycles to be performed while memory operations are deferred to the cache.
  • The benefits of the invention's internal self testing and programmable reconfiguration capability are lower testing costs, higher circuit yields and lower failures during the operating life time of the IC. The internal test and reconfiguration capability of the invention lowers test cost by eliminating the current requirement of memory circuits such as DRAM that several separate tests of the circuit be performed by expensive external equipment called Automatic Test Equipment. Higher circuit yields result from the use of novel reconfiguration and error correction circuitry that allow a greater number of defective memory cells to be present than would be the case with present memory ICs.
  • The discussion of the embodiment of the invention is with respect to memories of common use such as DRAM and flash memories. The invention is not limited to such embodiments, but can be applied to a wider range of memory circuit types such as the anticipated MRAM [Magnetic Random Access Memory]. Although MRAM circuits are read by sensing the resistance of a memory cell versus charge or potential of the more common memory types, MRAM memory cells still have need of test, error detection, error recovery and reconfiguration circuitry, and high precision circuitry for operation as single or multi-level storage cells.
  • VSI Card PC and Workstation Form Factor
  • Another embodiment and aspect of the VSI invention is a hand insertion and portable package form factor for VSI IC systems and subsystems. There presently is in use microcontrollers and limited amounts of electronic storage embedded in credit card type packages or form factors and are referred to as SmartCards. There also has been speculation that a PC [Personal Computer] will eventual be reduced to this scale and referred to as SmartCard PC or Pocket PC, however, no such reduction of a general purpose computing system such as a PC to a PC SmartCard like form factor has been demonstrated. The VSI invention enables the electronic data processing and storage circuitry of what is today referred to as a workstation, desktop computer or a lap top computer to be reduced in physical volume so that it can be packaged in the form factor of a credit card or similarly sized cards that are commonly used today for commercial transactions. This form factor will herein be referred to simply as the VSI Card or Card packaging form factor. This VSI Card method of packaging the electronics of a computer system enables novel utility in terms of the portability of the information stored and processing capability therein that is not presently possible. The VSI Card form factor of the invention uses one or more VSI ICs wherein the stacked VSI IC or ICs contain at least a microprocessor or logic circuitry for data processing or computing, memory of volatile and or non-volatile types, and preferably, high speed wireless communication. The VSI Card is a complete computing environment sufficient to replace the electronics of what is now a set of planar ICs assembled on PCBs. The VSI Card reduces the I/O count, power dissipation, packaging costs in comparison to current PCB equivalents, but most importantly, the VSI Card form factor enables the computing hardware and software environment of the user to be independent of and detachable from peripheral equipment so the VSI Card may be used with numerous and varying sets of peripherals. The VSI Card can integrate optical and MEMS functions not only as part of the VSI IC integration but as part of the physical card packaging such as optical input or output through the surface of the package. The VSI Card is plugged and unplugged into peripherals as needed enhancing the utility and security of the information on the VSI Card. The transmission of signals to and from the Card, or I/O, is through a physical contact or wireless in the form of radio frequency or optical wavelengths.
  • The Card packaging of such a significant amount of computing electronics is enabled by the high circuit densities of the VSI invention. It should be noted that the electronic content of computing systems generally referred to as PCs [Personal Computers] or workstations has risen in a directly proportional manner to the rise of planar integrated circuit density and is expected to continue to do so. And, as of the present, the amount of circuitry necessary to provide the computing performance and capability of these computing systems currently comprise so many planar ICs that the insertion into a card package cannot be enabled from a physical or operational perspective. The VSI Card reduces the I/O interconnections versus planar ICs through vertical interconnections between circuit layers. The Card reduces power also through the reduction in the number of I/Os, since I/O drives constitute a significant percentage of the power dissipation of a planar IC. The Card reduces cost by eliminating or reducing the packaging, testing and handling of planar ICs.
  • There are three principle benefits provided as a result of the capability to reduce the number of ICs of present and future state of the art computing systems such as PCs or workstations that are derived through the use of the VSI invention to enable a VSI Card packaging form factor. These are portability, power reduction and lower computing equipment utilization cost.
  • The benefit of Card portability is a capability that enables the computing electronics to be plugged into various types of peripheral equipment which presently require that the computing electronics be embedded with such peripheral equipment. Separating what is currently the control electronics of a computing system such as a PC, work station or other such computing electronics from the peripherals of that computing system allows the sharing of both the processing capability and the memory among as many such computing systems that are designed to receive this card. Examples of such peripheral equipment are personal computer or workstation display monitors, keyboards, storage devices, etc., cellular phones, Personal Digital Assistances [PDAs], TVs, audio and video equipment, consumer appliances. Such portability enables the personal data that is stored on the card to be used or modified at multiple physical locations and enables a level of physical security of the information stored on the card that does not exist presently.
  • The benefit of Card power reduction is derived from the reduction or elimination of signal drivers from the ICs of the card. Signal drivers on present day microprocessors, graphics processors, encryption, voice analysis and memory circuitry can number in the thousands and contribute a majority of the power that must be dissipated from planar ICs. Whereas power reduction is widely recognized as an IC operating benefit presently, the ability to increase the amount of circuitry per unit volume while reducing power, due to I/O power reductions, is unique to the VSI invention and specifically to the application of high performance card computing form factors.
  • The benefit of Card computing equipment utilization results from the physical separation of the computing electronics from the peripheral equipment normally associated with what is presently a computer system or more broadly equipment that performs a function be it a desktop computer, a car, an airplane, manufacturing equipment or sensing and analysis equipment to name a few examples. Presently computing electronics is embedded or integrally assembled as part of a wide range of equipment. In general today the cost of computing electronics, without including the cost of the power supply and box enclosure presently used, is less than the peripheral equipment with which it is associated. Therefore, in the case where equipment is used by multiple individuals or users and these users have unique electronically stored information necessary to operate the equipment which would normally make the equipment captive or nearly so to one single user, this would be no longer the case. The equipment now has a higher potential utilization by virtue of its separation from any one user, and therefore, a lower cost of utilization per user because it can be shared or it has a shared utilization. Secondly, obsolescence of peripheral equipment is often the result of the obsolescence of the computing electronic assembled with them, therefore, the separation of the computing electronics into the VSI Card packaging form factor enables longer utilization of the peripheral equipment.
  • Such peripheral equipment, or simply equipment, presently can be disk storage devices, networking equipment with wired [metal and optical] or wireless interfaces, faxing, copying, imaging, scanning, photo reproduction, etc. The VSI Card and peripheral equipment separation benefit also includes equipment relating to manufacturing and industrial equipment where computing equipment is used to control the equipment as in the examples of robot assembly, inspection, semiconductor processing equipment, chemical processing equipment, etc. This separation benefit also includes equipment for monitoring and control of a facility such as an office building, industrial plant or home; here computing equipment is used to monitor and control peripheral equipment such as video cameras, door access security, elevators, furnaces, air conditioners, air quality sensors, etc. The separation benefit enables the computing electronics to be exchanged or up graded for such reasons as performance, maintenance, security or improved capabilities without affecting the physical cabling or fixtures used presently with computing equipment that uses the well know box or electronic stack form factor.
  • The VSI Card separation benefit enables multiple Card computing electronics to use the same peripherals in either in a serial or cluster manner. This allows the cost of peripheral equipment to be shared over several Card computing users serially or from time to time as needed or concurrently where some number of Cards on a given instance are connected to the same peripheral equipment. The concurrent use aspect of the Card computing electronics enables a form of what is now commonly referred to as cluster or multiprocessor computing where multiple Cards use the peripheral equipment they are inserted into as a means to communicate between themselves to perform specific tasks.
  • The VSI Card can be used as a packaging means for the selling of software to prevent its fraudulent use on other than the intended computing system or as means to guarantee to the customer certain performance and or operating capabilities. Software is presently sold on passive media or over the internet and its use thereafter is beyond the control of the software manufacturer. The use of the VSI Card as a packaging means of the software allows the software manufacturer to control the hardware environment wherein the product software is used. This means that the use of software manufacturers product software cannot be done except through use of the VSI Card and that it can only be updated through a VSI Card that packages the software, this is a degree of security for the software manufacturers that does not presently exist.
  • VSI Image and E-M Sensor Component
  • The VSI invention enables the making of high speed, low noise and lithographically limited high resolution image or EM [Electro-Magnetic] radiation sensors. This is done by separating the imaging array [CCD, photodiode or wavelength sensitive element array] as a top circuit layer or layers of a VSI Component and using fine grain vertical interconnections to connect image or EM sensing elements individually or larger organizations such as a line of imaging sensor elements to analog and digital circuitry on circuit layers directly below the imaging or EM sensor layer.
  • In this VSI invention CCD, photodiode or wavelength sensitive sensor elements or devices can be closely coupled to processing circuitry such as A/Ds, analog circuitry, and digital logic which can be made from IC processes independent of the processes used to make the image or EM sensor layer, and therefore, enabling design of such VSI components as photo imaging electronic components or communication Optical-Electronic converters that couple image or EM sensor elements with processing circuitry for any number of possible design objectives such as cost, performance or image or wavelength resolution without the manufacturing limitations that presently exist regarding the integration of the sensor elements with the type of processing electronics selected. Secondly, the amount or density of processing circuitry for processing the output from a single or group of image or EM sensors can be increased over the present art with the addition beneath the image or EM sensor layer of more processing circuit layers. Further, large amounts of memory circuitry can also be integrated into a VSI image processing component, the ability to buffer the image data on the VSI image component allows the image data to be internally processed or down loaded in a non real time rate or a rate other than the rate of EM sensor data generation.
  • The separation of the image or EM sensor layer[s], and the EM sensor interface and processing circuitry on independent circuit layers provides a unique level of noise isolation versus planar image sensors where noise generated by the processing circuitry directly couples through the common substrate of a planar IC to the image sensor elements. Further, additional noise isolation enhancement can be employed through the choice of semiconductor process and technology used to fabricate the EM sensor processing circuitry such as BiCMOS process or GaAs technology versus again the common substrate and fabrication technology used to make a planar image or EM sensor IC.
  • The resolution of the image or EM sensor is a direct result of the size of the individual sensor elements and the number of sensors in the EM sensing array. The scaling of the size of the EM sensing elements of the VSI invention is uniquely limited only by fabrication process capability and not proximity to processing circuitry as in planar EM sensor circuits. Secondly, the number of image or EM sensing elements is a design choice since sensor processing circuitry for any number of sensor elements used is immediately below the sensor elements, further the distance of separation of each image or EM sensor element or organization of elements in constant no matter how large the image array.
  • Additionally, the distance from the a single EM sensor element or organization of elements to processing circuitry is no longer a result of the row and column size of the image array, but is determined by design choice, since all image processing circuitry can be positioned immediately below and as close as a few microns in vertical interconnection distance through one or a few circuit layers.
  • FIG. 25A shows a cross-section of a VSI EM sensor component with image or EM sensors 2501 a, 2502 a separated by a dielectric and or EM isolation trench 2503 a and sensor signal output contact 2504 a formed preferably during bonding of the sensor layer as a vertical interconnection to processing electronic and or memory circuit layers 2505 a. The EM sensors of FIG. 25A and FIG. 25B have applications not only for imaging applications but also communications. Wherein in the components shown in these figures can be used in communication systems for single wavelength Optical to Electronic conversion or DWDM [Dense Wavelength Division Multiplexing] where a number of wavelength signals are simultaneously converted from optical to electronic form.
  • A further advantage of the VSI invention is the ability to use multiple EM radiation sensor circuit layers of varying materials that are sensitive to different radiation wavelengths such as Infrared, visible, Ultraviolet and X-ray wherein such wavelength absorbing materials are stacked to form an imaging sensor and where the order of the stacking of these materials is done in a manner to optimize the preference to their relative radiation wavelength absorption and transmission rates to an incoming radiation source. This is to say that the material with the higher transmission rates relative to the other wavelengths of interest will be positioned to be exposed first to the radiation source.
  • Wavelength or wave band specific EM radiation sensor elements on separate and adjacent layers is shown in cross-section in FIG. 25 b. Radiation sensor layers 2501 b, 2502 b, 2503 b are bonded in the same manner as other circuit layers and through which separate vertical interconnections 2504 b, 2505 b and 2506 b from the image sensor elements in an image array layer to processing circuitry 2507 b that enables the output of the image devices to be processed simultaneously or serially as preferred by the design of the circuitry. Electrical and EM isolation trench 2511 b separate each sensor stack. The bonding of the sensor element layers is preferably done by metal diffusion bonding; which would also during the bond process form the vertical interconnections 2508 b, 2509 b, 2510 b junctions between each image sensor layer. An example of a VSI component using an array of multiple visible image sensor layers is a video imaging component; and, an example of a VSI component using multiple waveband specific EM sensor array, is an optical fiber communications where an information signals are transmitted at multiple wavelengths and referred to as WDM or DWDM.
  • VSI FPGA IC and Method of Making
  • The VSI invention enables the making of high density FPGA [Field Programmable Gate Arrays] by the structural separation of its common planar circuit constituents of configurable logic, IP [Intellectual Property] logic, configurable routing logic and or programming memory into two or more VSI circuit layers. Present planar FPGA technology is restricted in gate density per IC due to lithographic die image fabrication process restrictions, the density of configuration routing circuitry, the incorporation of IP and the amount of programming context memory. The rapidly increasing cost of semiconductor fabrication masks is presently limiting the number or variety of planar FPGA designs that are fabricated or brought to market; the high fabrication mask costs cannot be recovered from most low volume FPGA designs. This aspect of the VSI invention is not limited to the FPGAs but applies to the broader class of programmable logic referred to as PLDs [Programmable Logic Devices], and including CPLDs [Complex Programmable Devices].
  • Planar FPGA circuits can be thought to consist of configurable logic, configurable routing interconnections and programming context memory, programming context memory will be hereafter referred to as context memory. Context memory circuitry holds the programming or configuration state information for configuring the logic functions and routing interconnections and is also referred to as context information or data. The context memory circuitry may be implemented in a ROM, a write once technology or a rewriteable memory technology. Planar FPGA circuits are complex circuits. A primary value of planar FPGA circuits is that because they are programmable they have broad application range or utilization, and therefore, have a lower unit cost resulting from higher production volumes due to their broader application range or utilization. A well known restriction of planar FPGA circuits is that all FPGA circuit designs have a limited range in the amounts of programmable logic, routing and memory resources; and if the FPGA circuit is a physically large die, the performance of the FPGA is reduced due to signal transmission delay across the die. Due to high development costs, there are few application specific planar FPGA circuits [so called low volume FPGA circuits] or FPGA circuits that include application specific hardwired IP functions. The inclusion of hardwired IP functions reduces the configurable logic resources, breath of application utilization and results in significant increased costs per circuit due lower production volumes.
  • VSI FPGA components enable the programmable gate density, configurable routing interconnections and context memory to be separated as circuit layers, and therefore, the design and capacity of gate density, configurable routing interconnect and context memory can be implemented independently per circuit layer. Further, the addition of IP per layer can be added. Of first importance, if the circuit layers to be used to fabricate a VSI FPGA IC for a specific application have completed physical designs or already have been fabricated or exist as inventory wafers of library as part of a FPGA development platform, then the VSI FPGA can be fabricated without requiring circuit design, mask tooling [NRE None Recurring Engineering] charges or a semiconductor fabrication cycle. The VSI FPGA eliminates and or reduces four primary problems facing FPGA planar IC which are development time, gate and routing density, custom design NRE and low volume fabrication costs. The VSI approach to FPGA or ASIC IC development and fabrication is significantly at variance to conventional planar engineering and fabrication methods with the important benefits of significant reductions in costs and time to market [wherein time to market time savings result from elimination of conventional IC development delays and reduction of fabrication delay]. The VSI invention achieves these results through its capability to separate or partition circuitry types to separate circuit layers, the VSI fabrication process simplicity which does not require planar circuit fabrication processing and the VSI fabrication process capability to use existing or inventory circuit wafers. The VSI FPGA IC may take the form of a standalone IC or component, or it may be incorporated into a larger VSI component with other electronic, optical circuit layers or MEMS device layers.
  • The primary objective of the VSI FPGA invention is to decouple the hardware IC design and fabrication process from the design of an application specific FPGA IC. The preferred embodiment is that all of the circuit layers of a VSI FPGA be available for use from an inventory source. It is also an embodiment of the VSI FPGA that one or more circuit layers may require design and fabrication, however, this still results in significant application IC development time and fabrication cost reductions. Other objectives of the VSI FPGA invention are variable programmable gate density per VSI component through the use of multiple programmable gate circuit layers, higher density programmable routing per VSI component through vertical interconnection gate routing and backside horizontal interconnections, option to change or vary without affecting hardware design per VSI the use of IP such as microprocessor, DSPs, CAM, or SERDES, and the capability to increase the available context memory per VSI component to enable in circuit programming changes of the programmable gates or routing interconnections.
  • Even through the VSI FPGA is composed of numerous circuit layers the benefit of the VSI fabrication process for the VSI FPGA component is an integration density that is greater than a planar circuit equivalent, and therefore, higher performance due to shorter interconnection lengths, and lower power due to shorter interconnection lengths and the need for fewer I/O off-chip circuit drivers. The need for fewer I/O off-chip circuit drivers resulting from the level of integration of the VSI FPGA, and therefore, the need to connect to fewer separate planar ICs. The preferred embodiment of the VSI FPGA uses thermal diffusion high precision alignment circuit substrate bonding and sub 2 μm pitch fine grain vertical interconnections enable the VSI FPGA integration.
  • The VSI FPGA routing interconnect density can provide an increase of 2× to 4× more than planar routing due to the inventions ability to fabricate horizontal interconnect on the backside of each circuit layer equal to the same density as on the front side, and the ability to fabricate fine grain vertical interconnections several times the density of horizontal interconnection layers, and therefore, allowing the implementation of such vertical interconnect structures as datapaths or buses with more than 512 transmission lines and in excess of 4,000 transmission lines without increasing the number of horizontal interconnection layers. This is done by using the VSI fabrication process to form fine grain vertical interconnections to form local and global busing between programmable logic blocks of an arbitrary number circuit layers of programmable logic blocks. Further, local vertical interconnections are used to couple circuitry on two or more circuit layers to form a logic block spanning vertically two or more circuit layers or for busing between vertically adjacent logic blocks. FPGA programming information can be held on separate memory register circuit layers with the capability through global fine grain vertical bussing to provide access to a range of memory register locations which can be addressed and read selectively into specific FPGA logic blocks and thereby change their functions.
  • The VSI FPGA invention also enables the inclusion of self test or ATE circuit layers and yield enhancement error reconfiguration circuit layers. These circuit layers in the preferred embodiment can be added to the VSI FPGA component without affecting the design of the existing VSI FPGA circuit layers. These circuit layers allow for a greater level of circuit testing at a fixed cost and the ability to reconfigure programmable gate and routing interconnection circuit layers when circuit defects are detected in a manner that is transparent or nearly so to the subsequent use of the IC. The error reconfiguration circuit layers provide redundant routing interconnection circuitry that can be substituted for defective portions of a programmable gate or routing interconnection circuit layer. The capability of the VSI FPGA to add self test and reconfiguration circuit layers becomes most important as the number of circuit layers used in the VSI FPGA increase and thereby increase the IC test duration and adversely affect the IC yield. The error reconfiguration circuit layers are programmed to replace various defective portions of programmable gate or routing interconnection circuit layers as a result of the test data derived from the self test or ATE circuit layer. The programming of the error reconfiguration circuit layer or layers is performed by control circuitry on the error reconfiguration circuit layer, but this control circuitry may alternately be part of the self test or ATE circuit layers.
  • It is important to point out that in the preferred embodiment of the VSI FPGA, the number of programmable gate circuit layers, IP circuit layers, programmable routing circuit layers and context memory circuit layers can be increased or decreased as required to meet application specific requirements without affecting the design of these circuit layers. Since only the VSI fabrication process steps are required in order to fabricate a VSI FPGA component or circuit layer group for subsequent insertion into a layer VSI component, there is not the existing requirement for circuit design changes, NRE tooling or circuit fabrication. Further, the insertion of self test and error reconfiguration circuit layers can be done in a similar manner with the benefit realized through the short VSI fabrication cycle and additional IC capability.
  • The circuit layers needed for a VSI FPGA may not all be available from the library of a VSI development platform or an inventory of VSI FPGA circuit layers, and therefore, the design and fabrication of one or more VSI FPGA circuit layers may be necessary. When this is the case, the design of such a circuit layer is clearly less complex and less costly than the complexity of integrating such additional circuitry into a planar design which affects the physical layout design of the exist circuitry of the planar IC. The VSI FPGA capability to vary its circuit resource capacities with little or no requirement for circuit layer design and fabrication has the following novel results: it uniquely reduces IC fabrication cycle time and cost of the VSI IC fabrication process which are significantly less; it enables lower volume application specific FPGA circuits to be designed and fabricated that for the present cost structure of FPGA manufacturing is development time and cost prohibitive; and, it enables low cost IC development experimentation or “what if,” engineering exercises which are so very important in lowering the risk to the development of new product ideas and new business growth.
  • FIG. 26A shows in cross-section a VSI FPGA component IC 2603 a wherein programmable logic, IP and programmable routing interconnections consist of two or more circuit layers 2601 a and context memory consists of one or more volatile and or non-volatile memory circuit layers 2602 a. There may be memory cells incorporated in with the programmable logic layers 2601 a, the context memory circuit layers are used to retain various programming states which can be loaded at high speed through dense vertical interconnections as needed into the programmable logic or routing interconnections. The FIG. 26A circuit may also incorporate ATE and reconfiguration circuit layers for yield enhancement of the total VSI component. The VSI circuit layers shown in FIG. 26A can be used as a sub-system and incorporated into a larger VSI component IC or be a member of a VSI platform library as post fabricated VSI circuit wafer inventory for integration into a future VSI IC or VSI ASIC.
  • FIG. 26B shows in cross-section a VSI FPGA component IC 2604 b wherein programmable logic circuit layer types and IP consist of several circuit layers 2601 b, programmable routing interconnections consist of several circuit layers 2602 b and context memory consists of several circuit layers or memory circuit group incorporating yield enhancement circuitry 2603 b. The FIG. 26B circuit may also incorporate ATE and reconfiguration circuit layers for yield enhancement of the total VSI component.
  • The VSI invention further enables the use of fuses and, anti-fuses or other type of write once technology for the programming the functions of interconnections between logic blocks implemented in the logic layers or the routing interconnection layers or both; the use of one time programmable technology may replace the use of all or part of the memory circuit layers or to be used in combination. This is accomplished by using fuse programming circuit layers and fine grain vertical interconnections to enable the blowing specific fuses on at specific locations on one or more logic block circuit layers. This uniquely enables the interconnection and circuit logic structures of the fuse blowing circuit layers to be used to program an arbitrary number of logic block circuit layers.
  • VSI Internet Protocol [IP] Communication System
  • Conventional Internet Protocol [IP] processors [referred to as routers or network processors] analyze the pack messages in coming from one high speed connection [typically a fiber optic transmission line] and as a result of the analysis collect information about the message and determine an out going communication line to forward the message. This message is then transferred to the appropriate out going transmission line or lines by means of a switch which may be implemented based on a multiple port memory or as a n×n non-blocking cross bar switch.
  • The VSI IP communication processor component or IC shown in FIG. 27 combines in the preferred embodiment as one die, one or more IP network processors 2701, multiple circuit layers of FPGA logic 2702, multiple circuit layers of CAM [Content Addressable Memory] 2703, conventional random access memory layers 2704, serial I/O serializer deserializer logic layer or layers 2705, and two or more very wide fine grain vertical buses 2706 connecting the IP VSI component circuit layers where each vertical bus may consist of several hundred to several thousand bus lines. The VSI IP communication processor shown as a VSI IC circuit layer structure in FIG. 27, is novel because it combines into one die all the fundamental functional circuit types traditionally used to route and switch an IP message in circuit densities per circuit type that are several times greater than that presently possible with planar IC technology, and heretofore such implementations have only been possible as multiple planar ICs if at all. The VSI IP communication processor incorporates optical serial line input and output, incorporating the traditionally separate switching logic. The use of both network processors and FPGA circuit layers is an implementation decision and is not a limitation on the IP VSI component illustrated herein.
  • The VSI IP communication processor can incorporate varying amounts of CAM and conventional memory to process an IP message depending on the design capacity objective of the VSI IP component and beyond any such capacity available from a planar IC for any future point in the integration progression for ICs. The preferred embodiment of the VSI IP communication processor incorporates on a separate layer multiple serial input and output logic for internal circuit serializing and deserializing IP messages from communication lines; by doing so, this greatly reduces the total pin count and power dissipation of the VSI component versus such a system implemented as some number of planar ICs. The preferred embodiment of the VSI IP communication processor achieves its most significant advantage through the use of multiple arbitrary wide high speed vertical buses 2706 that allow for a large number of paths from IP message processors to memory and to serial I/O, and VSI test and yield enhancement logic 2707. The VSI IP communication processor also may have conventional busing interfaces for wired or wireless communications to additional VSI IP communication processors and conventional subsystem components.
  • The benefits of the VSI IP communication processor are nominally a cost reduction of 10× versus equivalent circuit implementations by multiple planar IC IP communication electronic assemblies, a 5× performance enhancement, and a 10× reduction in power dissipation. These primary benefits are a direct result of the multiple wide high bandwidth fine grain internal vertical buses, fewer off-chip I/O circuit drivers, net shorter interconnection lengths between and yield enhancement reconfiguration circuit logic.
  • VSI n×n Cross Bar Integration
  • The mention herein of an integrated n×n non-blocking crossbar deserves a little additional discussion. Larger systems like multi-processors or internet IP routers are collections of separately packaged and interconnected high integration processor ICs where the most significant portion of the manufacturing cost of these systems is the wiring interconnections [datapaths] between these ICs consisting of packages, sockets, low integration crossbar control circuits, PCBs and PCB connectors. The implementation of these high cost low integration datapaths are reduced to less than 1 mm2 for each VSI processor circuit layer, so for the interconnection of 16 processor system with a integrated 16×16 non-blocking cross bar interconnection, the cost is about $2-3, or approximately a 1000× cost reduction, not to mention significant power dissipation reduction and performance improvement.
  • Integration of multiple processors with a n×n crossbar bus system is an integration challenge for planar IC technology even without consideration of the requirements for embedded processor memory. This type of data path interconnect problem also is a characteristic of internet switches & routers, and the VSI invention enables the fabrication of a single chip high-end router.
  • A VSI n×n non-blocking crossbar switch is implemented as a vertically interconnecting set of buses where processing logic of each circuit layer that is a port to the crossbar switch has interconnect access to any of the n-ports of the switch. In present planar IC implementation of n×n crossbar switches data processing circuitry has access to only one or two ports of the n×n switch. The VSI n×n switch simplifies access to the switch by providing access to all or most of the ports of the switch. The VSI n×n switch increases throughput performance by enabling access to more than one switch port at one time to achieve a higher bandwidth per transmission.
  • VSI Passive and Analog Device Programmable Array
  • The VSI invention uniquely enables a method of making programmable passive and analog stacked circuits. This is done by fabricating one or more circuit layers of passive devices [resistors, capacitors and inductors] and vertically interconnecting these devices to one or more circuit layers of programmable routing interconnections designed for making and or remaking interconnections between the passive devices and the circuitry on other circuit layers. This aspect of the VSI invention is herein called a programmable passive array or PPA. Passive circuit elements may be fabricated from MEMS processes such as in the fabrication of inductors. The passive circuit elements are preferably fabricated on a semiconductor substrate which would allow fabrication of active circuit elements if desired such as SOI, GaAs, InP or GaN.
  • This same approach can be used to make programmable analog circuit arrays. This is done by fabricating one or more circuit layers of analog elements and vertically interconnecting these elements to one or more circuit layers of programmable routing interconnections designed for making and or remaking interconnections between the analog devices and the circuitry on other circuit layers. This herein is called a programmable analog array or PAA.
  • It is also an aspect of the VSI invention that PPA and PAA circuit layers be combined in a common VSI component or circuit stack, wherein the same programmable routing interconnections circuit layers can be used to form vertical interconnections to both the passive and analog circuit elements. The various passive and analog circuit elements that may be integrated into an IC are often fabricated with processes that cannot be combined in the fabrication of a planar IC, this is often the primary limitation for the fabrication of a class of planar ICs that are broadly referred to as a SoC or System on Chip. The VSI process allows the various fabrication processes to be used to their full extent but segregated to separate circuit layers. In this manner the benefit of a fabrication process can be realized as needed without comprise or added fabrication complexity that would result if these processes were merged on one substrate.
  • It is also an aspect of the VSI invention that in the design of PPA and PAA circuit layers a redundant or spare passive, analog and routing interconnections be used to either increase the yield of the PPA and PAA circuit layers or to provide a means to tune the final circuit by changing the selection of PPA or PAA elements with the expectation that the various individual passive or analog elements will have operational or performance variations. The yield of the PPA or PAA circuit layers would be improved through use of a test means such as an internal self test or ATE circuit layer which would test PPA, PAA and routing interconnections to determine if circuit defects are present. The use of defective PPA or PAA elements is avoided by changing the programmable routing interconnections from a defective element to its spare. The use of defective routing interconnections is avoided by changing the programmable routing interconnections to an alternate interconnection path or by use of spare programmable routing interconnections corresponding to the defective one.
  • It is also an aspect of the VSI invention of the PPA circuit layers that the passive elements be fabricated with conventional IC fabrication means on the backside of either an analog circuit layer or the programmable routing interconnection circuit layers. Backside fabrication may not be possible for certain passive element types due to an incompatibility of certain process steps with respect to an existing set of circuit layers of a VSI substrate stack, and therefore, may require the fabrication of the passive electronic circuitry before the fabrication of the active circuitry on the same substrate and before its addition to a VSI IC stack.
  • It is important to also keep in mind that not all PPA and PAA fabrication processes have the same cost, and that there is a wide range in costs of such fabrication processes. It is therefore another aspect of the VSI invention that due to its capability to separate fabrication processes per circuit layer then process costs are minimized to an as need fabrication sequence. An example in a planar circuit is the fabrication of an IC wherein approximately one half of the IC area uses a lower cost process and the other half of area uses a premium cost process, since the cost of a fabrication process is based on unit area, the cost of the planar IC could be approximately twice the cost of two separate fabricated circuit layers each of area approximately one half of the planar IC.
  • Further, it is also an aspect of the VSI invention that passive and analog circuit layers be connected without a programmable routing interconnection wherein the VSI fabricated vertical interconnections are dedicated from passive and or analog circuit layers to other circuit layers of a VSI component. The use of a switching circuit layer with passive and analog arrays is not required, if there is no need that the vertical interconnections to various passive or analog elements of one circuit layer from other circuit layers to be alterable. This is another embodiment of the VSI invention wherein vertical interconnections from passive or analog circuit layers have fixed interconnections to other circuit layers. These fixed interconnections may be formed at the time the passive or analog circuit substrate is fabricated or at a subsequent time such as during backside processing wherein vertical and horizontal interconnections may be fabricated for an application specific design.
  • It will be appreciated by those of ordinary skill in the art that the invention can be embodied in other specific forms without departing from the spirit or essential character thereof. The presently disclosed embodiments are therefore considered in all respects to be illustrative and not restrictive. The scope of the invention is indicated by the appended claims rather than the foregoing description, and all changes which come within the meaning and range of equivalents thereof are intended to be embraced therein.

Claims (14)

1. A method of using a stacked integrated circuit comprising:
placing the stacked integrated circuit in proximity to at least one other stacked integrated circuit, thereby causing wireless communication between the stacked integrated circuits to occur.
2. The method of claim 1, wherein one of the stacked integrated circuits comprises a wireless interconnection processor, comprising the wireless interconnection processor interogating at least one other stacked integrated circuit in proxmity thereto.
3. The method of claim 2, wherein said at least one other stacked integrated circuit comprises a visual indicator, comprising activating the visual indicator in response to said interogating.
4. The method of claim 3, comprising a user recognizing activation of the visual indicator and in response thereto placing the at least one stacked integrated circuit in operating relation to an electronic device.
5. The method of claim 1, wherein at least one of the stacked integrated circuits comprises IC devices, said IC devices comprising at least one of the following: transistors, memory cells, resistors, capacitors, inductors, radio frequency antennas and horizontal interconnections.
6. The method of claim 5, wherein the IC devices comprise at least two of the following: transistors, memory cells, resistors, capacitors, inductors, radio frequency antennas and horizontal interconnections.
7. The method of claim 5, wherein the IC devices comprise all of the following: transistors, memory cells, resistors, capacitors, inductors, radio frequency antennas and horizontal interconnections.
8. The method of claim 1, wherein, for at least one of the stacked integrated circuits, the stacked integrated circuit is made without fabrication of a circuit layer specific to the function of the particular stacked integrated circuit.
9. The method of claim 1, wherein, for at least one of the stacked integrated circuits, fewer than all of the circuit layers are fabricated for the specific function of the particular stacked integrated circuit.
10. The method of claim 1, wherein at least one of the stacked integrated circuits comprises a plurality of circuit layers, IC device fabrication having been performed on the backside of one or more of said circuit layers.
11. The method of claim 1, wherein at least one of the stacked integrated circuits comprises a plurality of circuit layers, the circuit layers having been bonded using bonding layers made from two or more metal films one of which has a lower melting temperature and which will diffuse with an immediately adjacent film.
12. The method of claim 1, wherein, for at least one of the stacked integrated circuits, an application-specific function of the stacked integrated circuit derives from the choice and quantity of circuit layers chosen from previously-fabricated, non-application-specific circuit layers.
13. The method of claim 1, wherein at least one of the stacked integrated circuits comprises an IC substrate and interconnections formed between circuitry on a frontside of the IC substrate with the circuitry formed on a backside of the IC substrate.
14. The method of claim 1, wherein at least one of the stacked integrated circuits comprises a stacked programmable logic device having at least one of a programmable gate circuit layer and a memory circuit layer.
US12/143,772 2002-08-08 2008-06-21 Vertical system integration Abandoned US20080284611A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US12/143,772 US20080284611A1 (en) 2002-08-08 2008-06-21 Vertical system integration
US14/143,385 US20140134950A1 (en) 2002-08-08 2013-12-30 Vertical System Integration

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US40211202P 2002-08-08 2002-08-08
PCT/US2003/025048 WO2004015764A2 (en) 2002-08-08 2003-08-08 Vertical system integration
US10/485,046 US7402897B2 (en) 2002-08-08 2003-08-08 Vertical system integration
USPCT/US03/25048 2003-08-08
US12/143,772 US20080284611A1 (en) 2002-08-08 2008-06-21 Vertical system integration

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/485,046 Division US7402897B2 (en) 2002-08-08 2003-08-08 Vertical system integration

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/143,385 Continuation US20140134950A1 (en) 2002-08-08 2013-12-30 Vertical System Integration

Publications (1)

Publication Number Publication Date
US20080284611A1 true US20080284611A1 (en) 2008-11-20

Family

ID=31715790

Family Applications (10)

Application Number Title Priority Date Filing Date
US10/485,046 Expired - Fee Related US7402897B2 (en) 2002-08-08 2003-08-08 Vertical system integration
US12/118,582 Expired - Fee Related US8587102B2 (en) 2002-08-08 2008-05-09 Vertical system integration
US12/143,774 Expired - Fee Related US8269327B2 (en) 2002-08-08 2008-06-21 Vertical system integration
US12/143,772 Abandoned US20080284611A1 (en) 2002-08-08 2008-06-21 Vertical system integration
US12/143,773 Expired - Fee Related US8080442B2 (en) 2002-08-08 2008-06-21 Vertical system integration
US12/417,022 Abandoned US20090194768A1 (en) 2002-08-08 2009-04-02 Vertical system integration
US14/032,844 Abandoned US20140021639A1 (en) 2002-08-08 2013-09-20 Vertical System Integration
US14/143,385 Abandoned US20140134950A1 (en) 2002-08-08 2013-12-30 Vertical System Integration
US15/600,327 Abandoned US20180132055A1 (en) 2002-08-08 2017-05-19 Vertical system integration
US15/646,864 Abandoned US20180132056A1 (en) 2002-08-08 2017-07-11 Vertical system integration

Family Applications Before (3)

Application Number Title Priority Date Filing Date
US10/485,046 Expired - Fee Related US7402897B2 (en) 2002-08-08 2003-08-08 Vertical system integration
US12/118,582 Expired - Fee Related US8587102B2 (en) 2002-08-08 2008-05-09 Vertical system integration
US12/143,774 Expired - Fee Related US8269327B2 (en) 2002-08-08 2008-06-21 Vertical system integration

Family Applications After (6)

Application Number Title Priority Date Filing Date
US12/143,773 Expired - Fee Related US8080442B2 (en) 2002-08-08 2008-06-21 Vertical system integration
US12/417,022 Abandoned US20090194768A1 (en) 2002-08-08 2009-04-02 Vertical system integration
US14/032,844 Abandoned US20140021639A1 (en) 2002-08-08 2013-09-20 Vertical System Integration
US14/143,385 Abandoned US20140134950A1 (en) 2002-08-08 2013-12-30 Vertical System Integration
US15/600,327 Abandoned US20180132055A1 (en) 2002-08-08 2017-05-19 Vertical system integration
US15/646,864 Abandoned US20180132056A1 (en) 2002-08-08 2017-07-11 Vertical system integration

Country Status (3)

Country Link
US (10) US7402897B2 (en)
AU (1) AU2003255254A1 (en)
WO (1) WO2004015764A2 (en)

Cited By (199)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070226092A1 (en) * 2006-03-16 2007-09-27 Lucent Technologies Inc. Systems and methods for managing cost reduction projects to increase cost savings for replacement subcomponents
US20070226091A1 (en) * 2006-03-16 2007-09-27 Lucent Technologies Inc. Systems and methods for determining cost targets for cost reduction projects
US20080251941A1 (en) * 2002-08-08 2008-10-16 Elm Technology Corporation Vertical system integration
US20090051378A1 (en) * 2006-05-09 2009-02-26 Formfactor, Inc. Air Bridge Structures And Methods Of Making And Using Air Bridge Structures
US20090057680A1 (en) * 2005-05-31 2009-03-05 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US20090174082A1 (en) * 1997-04-04 2009-07-09 Glenn J Leedy Three dimensional structure memory
US20100060312A1 (en) * 2008-09-09 2010-03-11 Qualcomm Incorporated Testing Circuit Split Between Tiers of Through Silicon Stacking Chips
US20100100857A1 (en) * 2008-10-16 2010-04-22 Seagate Technology Llc Generic non-volatile service layer
US20100180150A1 (en) * 2009-01-12 2010-07-15 Micron Technology, Inc. Systems and methods for monitoring a memory system
US20100242603A1 (en) * 2009-03-24 2010-09-30 Freescale Semiconductor, Inc. Vertically integrated mems sensor device with multi-stimulus sensing
US20100312468A1 (en) * 2009-06-03 2010-12-09 Honeywell International Inc. Integrated micro-electro-mechanical systems (mems) sensor device
US20110035043A1 (en) * 2009-08-07 2011-02-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for wireless transmission of diagnostic information
US20110233617A1 (en) * 2009-10-12 2011-09-29 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8203148B2 (en) 2010-10-11 2012-06-19 Monolithic 3D Inc. Semiconductor device and structure
US8237228B2 (en) 2009-10-12 2012-08-07 Monolithic 3D Inc. System comprising a semiconductor device and structure
US8273610B2 (en) 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US8362800B2 (en) 2010-10-13 2013-01-29 Monolithic 3D Inc. 3D semiconductor device including field repairable logics
US8362482B2 (en) 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US8373439B2 (en) 2009-04-14 2013-02-12 Monolithic 3D Inc. 3D semiconductor device
US8373230B1 (en) 2010-10-13 2013-02-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8378715B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method to construct systems
US8378494B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8379458B1 (en) 2010-10-13 2013-02-19 Monolithic 3D Inc. Semiconductor device and structure
US8384426B2 (en) 2009-04-14 2013-02-26 Monolithic 3D Inc. Semiconductor device and structure
US8405420B2 (en) 2009-04-14 2013-03-26 Monolithic 3D Inc. System comprising a semiconductor device and structure
US8427200B2 (en) 2009-04-14 2013-04-23 Monolithic 3D Inc. 3D semiconductor device
US8440542B2 (en) 2010-10-11 2013-05-14 Monolithic 3D Inc. Semiconductor device and structure
US8450804B2 (en) 2011-03-06 2013-05-28 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8461035B1 (en) 2010-09-30 2013-06-11 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US20130163310A1 (en) * 2004-04-06 2013-06-27 Bao Tran Resistive memory
US8476145B2 (en) 2010-10-13 2013-07-02 Monolithic 3D Inc. Method of fabricating a semiconductor device and structure
US8492886B2 (en) 2010-02-16 2013-07-23 Monolithic 3D Inc 3D integrated circuit with logic
US8536023B2 (en) 2010-11-22 2013-09-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device and structure
US8541819B1 (en) 2010-12-09 2013-09-24 Monolithic 3D Inc. Semiconductor device and structure
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8574929B1 (en) 2012-11-16 2013-11-05 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US8581349B1 (en) 2011-05-02 2013-11-12 Monolithic 3D Inc. 3D memory semiconductor device and structure
US8642416B2 (en) 2010-07-30 2014-02-04 Monolithic 3D Inc. Method of forming three dimensional integrated circuit devices using layer transfer technique
US8653377B2 (en) 2011-04-05 2014-02-18 Raytheon Company Microelectronic assemblies
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US8686428B1 (en) 2012-11-16 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US8687399B2 (en) 2011-10-02 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US8709880B2 (en) 2010-07-30 2014-04-29 Monolithic 3D Inc Method for fabrication of a semiconductor device and structure
US8742476B1 (en) 2012-11-27 2014-06-03 Monolithic 3D Inc. Semiconductor device and structure
US8753913B2 (en) 2010-10-13 2014-06-17 Monolithic 3D Inc. Method for fabricating novel semiconductor and optoelectronic devices
US8754533B2 (en) 2009-04-14 2014-06-17 Monolithic 3D Inc. Monolithic three-dimensional semiconductor device and structure
US8803206B1 (en) 2012-12-29 2014-08-12 Monolithic 3D Inc. 3D semiconductor device and structure
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US8901613B2 (en) 2011-03-06 2014-12-02 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US20140361806A1 (en) * 2013-03-13 2014-12-11 Glenn J. Leedy Configurable Vertical Integration
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US9000557B2 (en) 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
US9029173B2 (en) 2011-10-18 2015-05-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
US9197804B1 (en) 2011-10-14 2015-11-24 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US9219005B2 (en) 2011-06-28 2015-12-22 Monolithic 3D Inc. Semiconductor system and device
US9266717B2 (en) 2013-03-15 2016-02-23 Versana Micro Inc Monolithically integrated multi-sensor device on a semiconductor substrate and method therefor
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US9577642B2 (en) 2009-04-14 2017-02-21 Monolithic 3D Inc. Method to form a 3D semiconductor device
US9711407B2 (en) 2009-04-14 2017-07-18 Monolithic 3D Inc. Method of manufacturing a three dimensional integrated circuit by transfer of a mono-crystalline layer
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10127344B2 (en) 2013-04-15 2018-11-13 Monolithic 3D Inc. Automation for monolithic 3D devices
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US10515981B2 (en) 2015-09-21 2019-12-24 Monolithic 3D Inc. Multilevel semiconductor device and structure with memory
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11937422B2 (en) 2021-07-04 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure

Families Citing this family (349)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5354695A (en) * 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US6714625B1 (en) * 1992-04-08 2004-03-30 Elm Technology Corporation Lithography device for semiconductor circuit pattern generation
US7633162B2 (en) * 2004-06-21 2009-12-15 Sang-Yun Lee Electronic circuit with embedded memory
US5915167A (en) * 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
US8166361B2 (en) * 2001-09-28 2012-04-24 Rambus Inc. Integrated circuit testing module configured for set-up and hold time testing
US7404117B2 (en) 2005-10-24 2008-07-22 Inapac Technology, Inc. Component testing and recovery
US8286046B2 (en) 2001-09-28 2012-10-09 Rambus Inc. Integrated circuit testing module including signal shaping interface
US8001439B2 (en) * 2001-09-28 2011-08-16 Rambus Inc. Integrated circuit testing module including signal shaping interface
US20040004251A1 (en) * 2002-07-08 2004-01-08 Madurawe Raminda U. Insulated-gate field-effect thin film transistors
US7673273B2 (en) * 2002-07-08 2010-03-02 Tier Logic, Inc. MPGA products based on a prototype FPGA
US7112994B2 (en) * 2002-07-08 2006-09-26 Viciciv Technology Three dimensional integrated circuits
US7129744B2 (en) * 2003-10-23 2006-10-31 Viciciv Technology Programmable interconnect structures
US6992503B2 (en) * 2002-07-08 2006-01-31 Viciciv Technology Programmable devices with convertibility to customizable devices
US7154886B2 (en) * 2002-07-22 2006-12-26 Qlogic Corporation Method and system for primary blade selection in a multi-module fiber channel switch
US7230929B2 (en) * 2002-07-22 2007-06-12 Qlogic, Corporation Method and system for dynamically assigning domain identification in a multi-module fibre channel switch
US7397768B1 (en) 2002-09-11 2008-07-08 Qlogic, Corporation Zone management in a multi-module fibre channel switch
US8643162B2 (en) 2007-11-19 2014-02-04 Raminda Udaya Madurawe Pads and pin-outs in three dimensional integrated circuits
US7812458B2 (en) * 2007-11-19 2010-10-12 Tier Logic, Inc. Pad invariant FPGA and ASIC devices
JP4244382B2 (en) * 2003-02-26 2009-03-25 セイコーエプソン株式会社 Functional material fixing method and device manufacturing method
US7453802B2 (en) * 2003-07-16 2008-11-18 Qlogic, Corporation Method and apparatus for detecting and removing orphaned primitives in a fibre channel network
US7388843B2 (en) * 2003-07-16 2008-06-17 Qlogic, Corporation Method and apparatus for testing loop pathway integrity in a fibre channel arbitrated loop
US7620059B2 (en) * 2003-07-16 2009-11-17 Qlogic, Corporation Method and apparatus for accelerating receive-modify-send frames in a fibre channel network
US7355966B2 (en) * 2003-07-16 2008-04-08 Qlogic, Corporation Method and system for minimizing disruption in common-access networks
US7463646B2 (en) * 2003-07-16 2008-12-09 Qlogic Corporation Method and system for fibre channel arbitrated loop acceleration
US7525910B2 (en) * 2003-07-16 2009-04-28 Qlogic, Corporation Method and system for non-disruptive data capture in networks
US7471635B2 (en) * 2003-07-16 2008-12-30 Qlogic, Corporation Method and apparatus for test pattern generation
US7447224B2 (en) * 2003-07-21 2008-11-04 Qlogic, Corporation Method and system for routing fibre channel frames
US7894348B2 (en) * 2003-07-21 2011-02-22 Qlogic, Corporation Method and system for congestion control in a fibre channel switch
US7466700B2 (en) * 2003-07-21 2008-12-16 Qlogic, Corporation LUN based hard zoning in fibre channel switches
US7430175B2 (en) * 2003-07-21 2008-09-30 Qlogic, Corporation Method and system for managing traffic in fibre channel systems
US7573909B2 (en) * 2003-07-21 2009-08-11 Qlogic, Corporation Method and system for programmable data dependant network routing
US7477655B2 (en) * 2003-07-21 2009-01-13 Qlogic, Corporation Method and system for power control of fibre channel switches
US7684401B2 (en) * 2003-07-21 2010-03-23 Qlogic, Corporation Method and system for using extended fabric features with fibre channel switch elements
US7583597B2 (en) * 2003-07-21 2009-09-01 Qlogic Corporation Method and system for improving bandwidth and reducing idles in fibre channel switches
US7512067B2 (en) * 2003-07-21 2009-03-31 Qlogic, Corporation Method and system for congestion control based on optimum bandwidth allocation in a fibre channel switch
US7406092B2 (en) * 2003-07-21 2008-07-29 Qlogic, Corporation Programmable pseudo virtual lanes for fibre channel systems
US7420982B2 (en) * 2003-07-21 2008-09-02 Qlogic, Corporation Method and system for keeping a fibre channel arbitrated loop open during frame gaps
US7525983B2 (en) * 2003-07-21 2009-04-28 Qlogic, Corporation Method and system for selecting virtual lanes in fibre channel switches
US7646767B2 (en) 2003-07-21 2010-01-12 Qlogic, Corporation Method and system for programmable data dependant network routing
US7522529B2 (en) * 2003-07-21 2009-04-21 Qlogic, Corporation Method and system for detecting congestion and over subscription in a fibre channel network
US7558281B2 (en) * 2003-07-21 2009-07-07 Qlogic, Corporation Method and system for configuring fibre channel ports
US7522522B2 (en) * 2003-07-21 2009-04-21 Qlogic, Corporation Method and system for reducing latency and congestion in fibre channel switches
US7580354B2 (en) * 2003-07-21 2009-08-25 Qlogic, Corporation Multi-speed cut through operation in fibre channel switches
US7792115B2 (en) * 2003-07-21 2010-09-07 Qlogic, Corporation Method and system for routing and filtering network data packets in fibre channel systems
US20050016446A1 (en) * 2003-07-23 2005-01-27 Abbott John S. CaF2 lenses with reduced birefringence
JP2005124018A (en) * 2003-10-20 2005-05-12 Tdk Corp Electronic component and manufacturing method therefor
US7442932B2 (en) 2003-11-18 2008-10-28 Halliburton Energy Services, Inc. High temperature imaging device
AU2004300123B2 (en) * 2003-11-18 2010-12-23 Halliburton Energy Services, Inc. A high temperature memory device
US6909176B1 (en) * 2003-11-20 2005-06-21 Altera Corporation Structure and material for assembling a low-K Si die to achieve a low warpage and industrial grade reliability flip chip package with organic substrate
US7030651B2 (en) 2003-12-04 2006-04-18 Viciciv Technology Programmable structured arrays
KR100568511B1 (en) * 2003-12-30 2006-04-07 삼성전자주식회사 Semiconductor Devices Having A Phase-Change Layer Pattern And Fabrication Methods Thereof
US7564789B2 (en) * 2004-02-05 2009-07-21 Qlogic, Corporation Method and system for reducing deadlock in fibre channel fabrics using virtual lanes
US7480293B2 (en) * 2004-02-05 2009-01-20 Qlogic, Corporation Method and system for preventing deadlock in fibre channel fabrics using frame priorities
KR100564611B1 (en) * 2004-02-14 2006-03-29 삼성전자주식회사 Damping structure for hard disk drive
JP4529493B2 (en) * 2004-03-12 2010-08-25 株式会社日立製作所 Semiconductor device
US7357293B2 (en) * 2004-03-24 2008-04-15 Intel Corporation Soldering an electronics package to a motherboard
TWI248681B (en) * 2004-03-29 2006-02-01 Imec Inter Uni Micro Electr Method for fabricating self-aligned source and drain contacts in a double gate FET with controlled manufacturing of a thin Si or non-Si channel
US7930377B2 (en) * 2004-04-23 2011-04-19 Qlogic, Corporation Method and system for using boot servers in networks
US7340167B2 (en) * 2004-04-23 2008-03-04 Qlogic, Corporation Fibre channel transparent switch for mixed switch fabrics
US7489164B2 (en) * 2004-05-17 2009-02-10 Raminda Udaya Madurawe Multi-port memory devices
US7337425B2 (en) * 2004-06-04 2008-02-26 Ami Semiconductor, Inc. Structured ASIC device with configurable die size and selectable embedded functions
US8716814B2 (en) * 2004-07-14 2014-05-06 Semiconductor Energy Laboratory Co., Ltd. Wireless processor, wireless memory, information system, and semiconductor device
US7404020B2 (en) * 2004-07-20 2008-07-22 Qlogic, Corporation Integrated fibre channel fabric controller
DE102004037403B4 (en) * 2004-07-30 2008-11-20 Certess S.A. Method for evaluating the quality of a computer program
US8214786B2 (en) * 2004-09-08 2012-07-03 Hewlett-Packard Development Company, L.P. Scalable, component-accessible, and highly interconnected three-dimensional component arrangement within a system
US7590918B2 (en) * 2004-09-10 2009-09-15 Ovonyx, Inc. Using a phase change memory as a high volume memory
US7380030B2 (en) * 2004-10-01 2008-05-27 Qlogic, Corp. Method and system for using an in-line credit extender with a host bus adapter
US7411958B2 (en) * 2004-10-01 2008-08-12 Qlogic, Corporation Method and system for transferring data directly between storage devices in a storage area network
US8295299B2 (en) 2004-10-01 2012-10-23 Qlogic, Corporation High speed fibre channel switch element
US7593997B2 (en) * 2004-10-01 2009-09-22 Qlogic, Corporation Method and system for LUN remapping in fibre channel networks
EP1812877A1 (en) * 2004-10-04 2007-08-01 University College Dublin A method and processor for power analysis in digital circuits
US7228154B2 (en) * 2004-11-03 2007-06-05 Sony Corporation Method and system for processing wireless digital multimedia
US7676782B2 (en) * 2004-12-29 2010-03-09 Dhabalendu Samanta Efficient method for mapping a logic design on field programmable gate arrays
US7519058B2 (en) * 2005-01-18 2009-04-14 Qlogic, Corporation Address translation in fibre channel switches
US20060193356A1 (en) * 2005-01-18 2006-08-31 Robert Osiander Die level optical transduction systems
WO2006080908A1 (en) * 2005-01-25 2006-08-03 Chien-Chiang Chan A single chip having a magnetoresistive memory
US20070187844A1 (en) 2006-02-10 2007-08-16 Wintec Industries, Inc. Electronic assembly with detachable components
US7928591B2 (en) * 2005-02-11 2011-04-19 Wintec Industries, Inc. Apparatus and method for predetermined component placement to a target platform
JP2006229227A (en) * 2005-02-14 2006-08-31 Samsung Electronics Co Ltd Resistive memory element
JP4745697B2 (en) * 2005-03-29 2011-08-10 富士通セミコンダクター株式会社 Terminal layer setting method of semiconductor circuit having a plurality of wiring layers, terminal layer setting program, wiring terminal extension processing program, and terminal extension component used for setting the terminal layer
US7389215B2 (en) * 2005-04-07 2008-06-17 International Business Machines Corporation Efficient presentation of functional coverage results
US7241693B2 (en) * 2005-04-18 2007-07-10 Macronix International Co., Ltd. Processing method for protection of backside of a wafer
US6975802B1 (en) * 2005-05-26 2005-12-13 The United States Of America As Represented By The Secretary Of The Air Force Damascene optical waveguides
JP4755855B2 (en) * 2005-06-13 2011-08-24 株式会社東芝 Inspection method of semiconductor wafer
JP2007004585A (en) * 2005-06-24 2007-01-11 Toshiba Corp Verification method of mask pattern data, manufacturing method of mask, and verification program of mask pattern data
GB0513253D0 (en) * 2005-06-29 2005-08-03 Oceanscan Ltd Improved acoustic sensor and method
US7451426B2 (en) * 2005-07-07 2008-11-11 Lsi Corporation Application specific configurable logic IP
DE102005037236A1 (en) * 2005-08-08 2007-02-15 Robert Bosch Gmbh Device and method for configuring a semiconductor circuit
JP4844045B2 (en) * 2005-08-18 2011-12-21 Tdk株式会社 Electronic component and manufacturing method thereof
US8145851B2 (en) * 2005-09-07 2012-03-27 Sony Corporation Integrated device
JP5017971B2 (en) * 2005-09-07 2012-09-05 ソニー株式会社 Accumulator
US7382154B2 (en) * 2005-10-03 2008-06-03 Honeywell International Inc. Reconfigurable network on a chip
US7586193B2 (en) * 2005-10-07 2009-09-08 Nhew R&D Pty Ltd Mm-wave antenna using conventional IC packaging
US7913202B2 (en) * 2005-10-07 2011-03-22 International Business Machines Corporation Wafer level I/O test, repair and/or customization enabled by I/O layer
US7779311B2 (en) * 2005-10-24 2010-08-17 Rambus Inc. Testing and recovery in a multilayer device
JP4790386B2 (en) * 2005-11-18 2011-10-12 エルピーダメモリ株式会社 Stacked memory
FI119729B (en) * 2005-11-23 2009-02-27 Vti Technologies Oy A method of making a microelectromechanical component and a microelectromechanical component
US7335955B2 (en) * 2005-12-14 2008-02-26 Freescale Semiconductor, Inc. ESD protection for passive integrated devices
US7762463B2 (en) * 2006-01-05 2010-07-27 Lockheed Martin Corporation MEMS-based security system
US7609561B2 (en) * 2006-01-18 2009-10-27 Apple Inc. Disabling faulty flash memory dies
US20110228506A1 (en) * 2006-02-10 2011-09-22 Kong-Chen Chen Electronic assembly with detachable components
US20110222252A1 (en) * 2006-02-10 2011-09-15 Kong-Chen Chen Electronic assembly with detachable components
US20110222253A1 (en) * 2006-02-10 2011-09-15 Kong-Chen Chen Electronic assembly with detachable components
US20110223695A1 (en) * 2006-02-10 2011-09-15 Kong-Chen Chen Electronic assembly with detachable components
US8008137B2 (en) 2006-03-15 2011-08-30 Marvell World Trade Ltd. Method for fabricating 1T-DRAM on bulk silicon
CN101421650B (en) * 2006-04-14 2014-05-14 欧姆龙株式会社 Optical transmission module, connecting part, and electronic device having optical transmission module
US8179679B2 (en) * 2006-04-27 2012-05-15 Netapp, Inc. Airflow guides using silicon walls/creating channels for heat control
US20070274059A1 (en) * 2006-05-25 2007-11-29 Chennupati Raghuram Siva Apparatus and method for shielding of electromagnetic interference of a memory module
US7615863B2 (en) * 2006-06-19 2009-11-10 Northrop Grumman Space & Missions Systems Corp. Multi-dimensional wafer-level integrated antenna sensor micro packaging
US7376303B2 (en) * 2006-07-20 2008-05-20 Hewlett-Packard Development Company, L.P. Optical coupling assembly
US7574686B1 (en) * 2006-08-11 2009-08-11 Cadence Design Systems, Inc. Method and system for implementing deterministic multi-processing
FR2905519B1 (en) * 2006-08-31 2008-12-19 St Microelectronics Sa PROCESS FOR MANUFACTURING INTEGRATED CIRCUIT WITH COMPLETELY DEPLETED AND PARTIALLY DEPLETED TRANSISTORS
WO2008037267A1 (en) * 2006-09-25 2008-04-03 Siemens Aktiengesellschaft Routing facility for a subsea electronics module
US8013332B2 (en) * 2006-10-20 2011-09-06 Sandisk Technologies Inc. Portable memory devices
US7928010B2 (en) * 2006-10-20 2011-04-19 Sandisk Corporation Method for producing portable memory devices
DE102006061386B3 (en) * 2006-12-23 2008-06-19 Atmel Germany Gmbh Integrated assembly, its use and method of manufacture
US20080157267A1 (en) * 2006-12-29 2008-07-03 Texas Instruments Stacked Printed Devices on a Carrier Substrate
US8462109B2 (en) 2007-01-05 2013-06-11 Invensense, Inc. Controlling and accessing content using motion processing on mobile devices
US7934423B2 (en) 2007-12-10 2011-05-03 Invensense, Inc. Vertically integrated 3-axis MEMS angular accelerometer with integrated electronics
US8952832B2 (en) 2008-01-18 2015-02-10 Invensense, Inc. Interfacing application programs and motion sensors of a device
US8250921B2 (en) 2007-07-06 2012-08-28 Invensense, Inc. Integrated motion processing unit (MPU) with MEMS inertial sensing and embedded digital electronics
JP5130719B2 (en) * 2007-01-12 2013-01-30 富士通セミコンダクター株式会社 Wiring design method
US20080181558A1 (en) * 2007-01-31 2008-07-31 Hartwell Peter G Electronic and optical circuit integration through wafer bonding
JP4792143B2 (en) * 2007-02-22 2011-10-12 株式会社デンソー Semiconductor device and manufacturing method thereof
US7827515B2 (en) * 2007-03-15 2010-11-02 Oracle America, Inc. Package designs for fully functional and partially functional chips
US7763995B2 (en) * 2007-03-21 2010-07-27 Physical Sciences, Inc. Intelligent, universal, reconfigurable electromechanical interface for modular systems assembly
US7899407B2 (en) * 2007-05-01 2011-03-01 Broadcom Corporation High frequency signal combining
DE102007021561A1 (en) * 2007-05-08 2009-01-15 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Design tool for the type and shape of a circuit realization
US8513791B2 (en) * 2007-05-18 2013-08-20 International Business Machines Corporation Compact multi-port CAM cell implemented in 3D vertical integration
US20080288720A1 (en) * 2007-05-18 2008-11-20 International Business Machines Corporation Multi-wafer 3d cam cell
DE102007024903B4 (en) * 2007-05-29 2009-05-07 Pyreos Ltd. Device with sandwich structure for the detection of heat radiation, method for producing and using the device
FR2917233B1 (en) * 2007-06-07 2009-11-06 Commissariat Energie Atomique 3D INTEGRATION OF VERTICAL COMPONENTS IN RECONSTITUTED SUBSTRATES.
US7477545B2 (en) * 2007-06-14 2009-01-13 Sandisk Corporation Systems for programmable chip enable and chip address in semiconductor memory
US7715255B2 (en) * 2007-06-14 2010-05-11 Sandisk Corporation Programmable chip enable and chip address in semiconductor memory
US7825681B2 (en) * 2007-06-25 2010-11-02 Giga-Byte Technology Co. Common modules for DDRII SDRAM and DDRIII SDRAM
US7772880B2 (en) * 2007-09-12 2010-08-10 Neal Solomon Reprogrammable three dimensional intelligent system on a chip
US8042082B2 (en) * 2007-09-12 2011-10-18 Neal Solomon Three dimensional memory in a system on a chip
US8415783B1 (en) * 2007-10-04 2013-04-09 Xilinx, Inc. Apparatus and methodology for testing stacked die
US8064739B2 (en) * 2007-10-23 2011-11-22 Hewlett-Packard Development Company, L.P. Three-dimensional die stacks with inter-device and intra-device optical interconnect
US8059443B2 (en) * 2007-10-23 2011-11-15 Hewlett-Packard Development Company, L.P. Three-dimensional memory module architectures
US7787309B2 (en) * 2007-11-01 2010-08-31 Jonker Llc Method of operating integrated circuit embedded with non-volatile one-time-programmable and multiple-time programmable memory
US7701252B1 (en) * 2007-11-06 2010-04-20 Altera Corporation Stacked die network-on-chip for FPGA
US7876615B2 (en) * 2007-11-14 2011-01-25 Jonker Llc Method of operating integrated circuit embedded with non-volatile programmable memory having variable coupling related application data
JP5554714B2 (en) * 2007-11-14 2014-07-23 インヴェンサス・コーポレイション Integrated circuit incorporated in non-volatile programmable memory with variable coupling
US8580622B2 (en) * 2007-11-14 2013-11-12 Invensas Corporation Method of making integrated circuit embedded with non-volatile programmable memory having variable coupling
US7852672B2 (en) * 2007-11-14 2010-12-14 Jonker Llc Integrated circuit embedded with non-volatile programmable memory having variable coupling
US7787295B2 (en) * 2007-11-14 2010-08-31 Jonker Llc Integrated circuit embedded with non-volatile multiple-time programmable memory having variable coupling
US20090128189A1 (en) * 2007-11-19 2009-05-21 Raminda Udaya Madurawe Three dimensional programmable devices
US7635988B2 (en) * 2007-11-19 2009-12-22 Tier Logic, Inc. Multi-port thin-film memory devices
US7781238B2 (en) * 2007-12-06 2010-08-24 Robert Gideon Wodnicki Methods of making and using integrated and testable sensor array
US8134425B2 (en) * 2007-12-13 2012-03-13 Broadcom Corporation Method and system for filters embedded in an integrated circuit package
US8384500B2 (en) 2007-12-13 2013-02-26 Broadcom Corporation Method and system for MEMS switches fabricated in an integrated circuit package
US20100263999A1 (en) * 2007-12-13 2010-10-21 Dimitrios Peroulis Low-cost process-independent rf mems switch
US7859360B2 (en) * 2007-12-13 2010-12-28 Broadcom Corporation Method and system for controlling MEMS switches in an integrated circuit package
US8115567B2 (en) * 2007-12-13 2012-02-14 Broadcom Corporation Method and system for matching networks embedded in an integrated circuit package
US7573294B2 (en) * 2007-12-26 2009-08-11 Tier Logic, Inc. Programmable logic based latches and shift registers
US7602213B2 (en) * 2007-12-26 2009-10-13 Tier Logic, Inc. Using programmable latch to implement logic
US7795913B2 (en) * 2007-12-26 2010-09-14 Tier Logic Programmable latch based multiplier
US7573293B2 (en) * 2007-12-26 2009-08-11 Tier Logic, Inc. Programmable logic based latches and shift registers
KR100954923B1 (en) * 2007-12-26 2010-04-27 주식회사 동부하이텍 Internal pressure current modeling method of Electro Static Discharge protection device
US8789060B1 (en) 2007-12-27 2014-07-22 Cadence Design Systems, Inc. Deterministic, parallel execution with overlapping regions
US8044792B2 (en) * 2008-01-30 2011-10-25 Hewlett-Packard Development Company, L.P. System and method for controlling remote sensors
JP5301299B2 (en) * 2008-01-31 2013-09-25 株式会社半導体エネルギー研究所 Semiconductor device
JP2009205669A (en) * 2008-01-31 2009-09-10 Semiconductor Energy Lab Co Ltd Semiconductor device
US20110173577A1 (en) * 2008-02-01 2011-07-14 International Business Machines Corporation Techniques for Pattern Process Tuning and Design Optimization for Maximizing Process-Sensitive Circuit Yields
US7859359B2 (en) * 2008-02-25 2010-12-28 Broadcom Corporation Method and system for a balun embedded in an integrated circuit package
US7863998B2 (en) * 2008-02-25 2011-01-04 Broadcom Corporation Method and system for processing signals via directional couplers embedded in an integrated circuit package
US20090219908A1 (en) * 2008-02-29 2009-09-03 Ahmadreza Rofougaran Method and system for processing signals via diplexers embedded in an integrated circuit package
US7888758B2 (en) * 2008-03-12 2011-02-15 Aptina Imaging Corporation Method of forming a permanent carrier and spacer wafer for wafer level optics and associated structure
US8269344B2 (en) * 2008-03-28 2012-09-18 Broadcom Corporation Method and system for inter-chip communication via integrated circuit package waveguides
US7982555B2 (en) * 2008-03-28 2011-07-19 Broadcom Corporation Method and system for processing signals via power splitters embedded in an integrated circuit package
US20090251960A1 (en) * 2008-04-07 2009-10-08 Halliburton Energy Services, Inc. High temperature memory device
US7575948B1 (en) * 2008-05-16 2009-08-18 Art Talent Industrial Limited Method for operating photosensitive device
US8274147B2 (en) * 2008-06-19 2012-09-25 Broadcom Corporation Method and system for intra-printed circuit board communication via waveguides
US7969001B2 (en) * 2008-06-19 2011-06-28 Broadcom Corporation Method and system for intra-chip waveguide communication
US8384596B2 (en) * 2008-06-19 2013-02-26 Broadcom Corporation Method and system for inter-chip communication via integrated circuit package antennas
US8450846B2 (en) * 2008-06-19 2013-05-28 Broadcom Corporation Method and system for communicating via flip-chip die and package waveguides
US7846772B2 (en) 2008-06-23 2010-12-07 Headway Technologies, Inc. Layered chip package and method of manufacturing same
US7868442B2 (en) * 2008-06-30 2011-01-11 Headway Technologies, Inc. Layered chip package and method of manufacturing same
US7767494B2 (en) * 2008-06-30 2010-08-03 Headway Technologies, Inc. Method of manufacturing layered chip package
JP2010015618A (en) * 2008-07-01 2010-01-21 Nitto Denko Corp Suspension board with circuit, and method for manufacturing the same
US8476686B2 (en) * 2008-07-09 2013-07-02 Infineon Technologies Ag Memory device and method for making same
DE102008040758B4 (en) * 2008-07-28 2017-05-18 Robert Bosch Gmbh Micromechanical structures and methods for producing micromechanical structures
US8014166B2 (en) * 2008-09-06 2011-09-06 Broadpak Corporation Stacking integrated circuits containing serializer and deserializer blocks using through silicon via
US8230375B2 (en) 2008-09-14 2012-07-24 Raminda Udaya Madurawe Automated metal pattern generation for integrated circuits
JP5385575B2 (en) * 2008-09-29 2014-01-08 ラピスセミコンダクタ株式会社 Semiconductor memory device
AT507468B1 (en) * 2008-10-15 2010-10-15 Dtg Int Gmbh DETERMINATION OF CHARACTERISTICS OF AN ELECTRICAL DEVICE
US8305805B2 (en) * 2008-11-03 2012-11-06 Invensas Corporation Common drain non-volatile multiple-time programmable memory
US20100123477A1 (en) * 2008-11-20 2010-05-20 Shih-Wei Sun Programmable array module
US20100140750A1 (en) * 2008-12-10 2010-06-10 Qualcomm Incorporated Parallel Plane Memory and Processor Coupling in a 3-D Micro-Architectural System
US8203861B2 (en) * 2008-12-30 2012-06-19 Invensas Corporation Non-volatile one-time—programmable and multiple-time programmable memory configuration circuit
CN102292801B (en) * 2009-01-22 2013-11-06 松下电器产业株式会社 Field effect transistor and method for manufacturing same
US8456880B2 (en) * 2009-01-30 2013-06-04 Unity Semiconductor Corporation Multiple layers of memory implemented as different memory technology
US8238842B2 (en) * 2009-03-03 2012-08-07 Broadcom Corporation Method and system for an on-chip and/or an on-package transmit/receive switch and antenna
JP5578797B2 (en) 2009-03-13 2014-08-27 ルネサスエレクトロニクス株式会社 Semiconductor device
US8378448B2 (en) * 2009-03-18 2013-02-19 International Business Machines Corporation Chip inductor with frequency dependent inductance
US8018752B2 (en) 2009-03-23 2011-09-13 Micron Technology, Inc. Configurable bandwidth memory devices and methods
US8232625B2 (en) * 2009-03-26 2012-07-31 International Business Machines Corporation ESD network circuit with a through wafer via structure and a method of manufacture
US8580596B2 (en) * 2009-04-10 2013-11-12 Nxp, B.V. Front end micro cavity
US8816460B2 (en) * 2009-04-06 2014-08-26 Nokia Corporation Image sensor
US20110031997A1 (en) * 2009-04-14 2011-02-10 NuPGA Corporation Method for fabrication of a semiconductor device and structure
US20110199116A1 (en) * 2010-02-16 2011-08-18 NuPGA Corporation Method for fabrication of a semiconductor device and structure
TWI384426B (en) * 2009-04-29 2013-02-01 Nat Univ Tsing Hua A sense method for an improved sense module
JP2012528335A (en) * 2009-05-27 2012-11-12 キング アブドゥーラ ユニバーシティ オブ サイエンス アンド テクノロジー MEMS mass-spring-damper system using out-of-plane suspension system
US8660500B2 (en) * 2009-06-09 2014-02-25 Broadcom Corporation Method and system for a voltage-controlled oscillator with a leaky wave antenna
US8054597B2 (en) * 2009-06-23 2011-11-08 International Business Machines Corporation Electrostatic discharge structures and methods of manufacture
US9390974B2 (en) 2012-12-21 2016-07-12 Qualcomm Incorporated Back-to-back stacked integrated circuit assembly and method of making
US9466719B2 (en) 2009-07-15 2016-10-11 Qualcomm Incorporated Semiconductor-on-insulator with back side strain topology
US9496227B2 (en) 2009-07-15 2016-11-15 Qualcomm Incorporated Semiconductor-on-insulator with back side support layer
US9029201B2 (en) 2009-07-15 2015-05-12 Silanna Semiconductor U.S.A., Inc. Semiconductor-on-insulator with back side heat dissipation
US8921168B2 (en) 2009-07-15 2014-12-30 Silanna Semiconductor U.S.A., Inc. Thin integrated circuit chip-on-board assembly and method of making
US9343463B2 (en) * 2009-09-29 2016-05-17 Headway Technologies, Inc. Method of high density memory fabrication
US8053898B2 (en) * 2009-10-05 2011-11-08 Samsung Electronics Co., Ltd. Connection for off-chip electrostatic discharge protection
US8183593B2 (en) 2009-10-16 2012-05-22 Oracle America, Inc. Semiconductor die with integrated electro-static discharge device
US7915083B1 (en) * 2009-10-28 2011-03-29 Headway Technologies, Inc. Method of manufacturing layered chip package
US8063424B2 (en) * 2009-11-16 2011-11-22 International Business Machines Corporation Embedded photodetector apparatus in a 3D CMOS chip stack
US9367462B2 (en) * 2009-12-29 2016-06-14 Empire Technology Development Llc Shared memories for energy efficient multi-core processors
US9608119B2 (en) * 2010-03-02 2017-03-28 Micron Technology, Inc. Semiconductor-metal-on-insulator structures, methods of forming such structures, and semiconductor devices including such structures
US20110317325A1 (en) * 2010-06-18 2011-12-29 Northwestern University Electrodes to improve reliability of nanoelectromechanical systems
JP5172904B2 (en) * 2010-07-13 2013-03-27 株式会社東芝 Wide gap semiconductor substrate and method of manufacturing semiconductor device using the same
US8196086B2 (en) 2010-07-21 2012-06-05 Lsi Corporation Granular channel width for power optimization
US8227879B2 (en) * 2010-07-29 2012-07-24 Honeywell International Inc. Systems and methods for mounting inertial sensors
US8988103B2 (en) 2010-09-15 2015-03-24 David K. Y. Liu Capacitively coupled logic gate
US9397729B2 (en) * 2010-11-15 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Through chip coupling for signal transport
US8159265B1 (en) 2010-11-16 2012-04-17 Raminda Udaya Madurawe Memory for metal configurable integrated circuits
US8159266B1 (en) 2010-11-16 2012-04-17 Raminda Udaya Madurawe Metal configurable integrated circuits
US8159268B1 (en) 2010-11-16 2012-04-17 Raminda Udaya Madurawe Interconnect structures for metal configurable integrated circuits
US9624096B2 (en) 2010-12-24 2017-04-18 Qualcomm Incorporated Forming semiconductor structure with device layers and TRL
WO2012087580A2 (en) 2010-12-24 2012-06-28 Io Semiconductor, Inc. Trap rich layer for semiconductor devices
US8481405B2 (en) 2010-12-24 2013-07-09 Io Semiconductor, Inc. Trap rich layer with through-silicon-vias in semiconductor devices
US8536021B2 (en) 2010-12-24 2013-09-17 Io Semiconductor, Inc. Trap rich layer formation techniques for semiconductor devices
US9553013B2 (en) 2010-12-24 2017-01-24 Qualcomm Incorporated Semiconductor structure with TRL and handle wafer cavities
US9754860B2 (en) 2010-12-24 2017-09-05 Qualcomm Incorporated Redistribution layer contacting first wafer through second wafer
JP2012151169A (en) * 2011-01-17 2012-08-09 Toshiba Corp Semiconductor memory device
US20120207426A1 (en) * 2011-02-16 2012-08-16 International Business Machines Corporation Flip-chip packaging for dense hybrid integration of electrical and photonic integrated circuits
US8587088B2 (en) * 2011-02-17 2013-11-19 Apple Inc. Side-mounted controller and methods for making the same
US8952418B2 (en) 2011-03-01 2015-02-10 Micron Technology, Inc. Gated bipolar junction transistors
CN102689512B (en) * 2011-03-23 2015-03-11 研能科技股份有限公司 Ink gun structure
US9432298B1 (en) 2011-12-09 2016-08-30 P4tents1, LLC System, method, and computer program product for improving memory systems
US8701057B2 (en) * 2011-04-11 2014-04-15 Nvidia Corporation Design, layout, and manufacturing techniques for multivariant integrated circuits
WO2012154973A1 (en) 2011-05-10 2012-11-15 Jonker, Llc Zero cost nvm cell using high voltage devices in analog process
US8923776B1 (en) * 2011-05-17 2014-12-30 Bae Systems Information And Electronic Systems Integration Inc. Short loop connection method
US9024326B2 (en) 2011-07-18 2015-05-05 Bae Systems Information And Electronic Systems Integration Inc. Method and design of an RF thru-via interconnect
US8486814B2 (en) * 2011-07-21 2013-07-16 International Business Machines Corporation Wafer backside defectivity clean-up utilizing selective removal of substrate material
US8446772B2 (en) 2011-08-04 2013-05-21 Sandisk Technologies Inc. Memory die self-disable if programmable element is not trusted
US8599599B2 (en) * 2011-09-01 2013-12-03 Micron Technology, Inc. Method, system, and device for phase change memory switch wall cell with approximately horizontal electrode contact
US9417418B2 (en) 2011-09-12 2016-08-16 Commscope Technologies Llc Flexible lensed optical interconnect device for signal distribution
TW201327567A (en) 2011-09-16 2013-07-01 Mosaid Technologies Inc Memory system with a layer comprising a dedicated redundancy area
KR20130032772A (en) * 2011-09-23 2013-04-02 삼성전자주식회사 Hybrid memory device, computer system including the same, and method of reading and writing data in the hybrid memory device
US8381155B1 (en) * 2011-10-03 2013-02-19 The Regents Of The University Of Michigan Vertical interconnect patterns in multi-layer integrated circuits
DE112011105747T5 (en) 2011-10-19 2014-09-25 Hewlett-Packard Development Company, L.P. Material with signal pass and signal blocking strands
US8716876B1 (en) 2011-11-11 2014-05-06 Altera Corporation Systems and methods for stacking a memory chip above an integrated circuit chip
US8270465B1 (en) 2011-11-15 2012-09-18 Xw Llc Timing and time information extraction from a phase modulated signal in a radio controlled clock receiver
US9496255B2 (en) * 2011-11-16 2016-11-15 Qualcomm Incorporated Stacked CMOS chipset having an insulating layer and a secondary layer and method of forming same
US9117610B2 (en) 2011-11-30 2015-08-25 General Electric Company Integrated micro-electromechanical switches and a related method thereof
US20130191584A1 (en) * 2012-01-23 2013-07-25 Honeywell International Inc. Deterministic high integrity multi-processor system on a chip
US9013425B2 (en) 2012-02-23 2015-04-21 Cypress Semiconductor Corporation Method and apparatus for data transmission via capacitance sensing device
JP2013250133A (en) * 2012-05-31 2013-12-12 Seiko Epson Corp Electronic device, method of manufacturing electronic device, and electronic apparatus
US8779578B2 (en) * 2012-06-29 2014-07-15 Hewlett-Packard Development Company, L.P. Multi-chip socket
DE102012212445A1 (en) * 2012-07-17 2014-01-23 Robert Bosch Gmbh Micromechanical structure, in particular sensor arrangement, and corresponding operating method
CN104854494B (en) * 2012-09-28 2017-10-03 泰科电子英国有限公司 The manufacture and test of fiber termination box
AU2013323659B2 (en) 2012-09-28 2017-06-29 Commscope Asia Holdings B.V. Fiber optic cassette
US9223094B2 (en) 2012-10-05 2015-12-29 Tyco Electronics Nederland Bv Flexible optical circuit, cassettes, and methods
US9053255B2 (en) * 2012-10-12 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of generating masks for making integrated circuit
US8872322B2 (en) 2012-10-22 2014-10-28 International Business Machines Corporation Stacked chip module with integrated circuit chips having integratable built-in self-maintenance blocks
US8853847B2 (en) 2012-10-22 2014-10-07 International Business Machines Corporation Stacked chip module with integrated circuit chips having integratable and reconfigurable built-in self-maintenance blocks
CN103020333B (en) * 2012-11-21 2016-01-06 广东依顿电子科技股份有限公司 A kind of automation control method manufacturing line film
US9194912B2 (en) 2012-11-29 2015-11-24 International Business Machines Corporation Circuits for self-reconfiguration or intrinsic functional changes of chips before vs. after stacking
US9123492B2 (en) 2012-12-19 2015-09-01 International Business Machines Corporation Three-dimensional inter-chip contact through vertical displacement MEMS
US9111597B2 (en) * 2012-12-20 2015-08-18 Macronix International Co., Ltd. Memory device structure with decoders in a device level separate from the array level
US9471793B2 (en) 2013-01-07 2016-10-18 Infineon Technologies Ag System on chip with embedded security module
KR101996825B1 (en) * 2013-01-18 2019-10-01 삼성전자 주식회사 Method and system for designing 3D Semiconductor package
US9141743B1 (en) * 2013-03-15 2015-09-22 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for providing evolving information in generating a physical design with custom connectivity using force models and design space decomposition
US8918751B1 (en) 2013-03-15 2014-12-23 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for implementing physical design decomposition with custom connectivity
US9043742B1 (en) 2013-03-15 2015-05-26 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for implementing physical design using force models with custom connectivity
US9098667B1 (en) 2013-03-15 2015-08-04 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for implementing physical designs with force directed placement or floorplanning and layout decomposition
US9059061B2 (en) 2013-03-15 2015-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for 3D image sensor
US9449196B1 (en) 2013-04-22 2016-09-20 Jasper Design Automation, Inc. Security data path verification
US20140375784A1 (en) * 2013-06-21 2014-12-25 Omnivision Technologies, Inc. Image Sensor With Integrated Orientation Indicator
KR20150005113A (en) * 2013-07-04 2015-01-14 에스케이하이닉스 주식회사 Semiconductor package with optical signal path
KR102099878B1 (en) 2013-07-11 2020-04-10 삼성전자 주식회사 Semiconductor Package
JP6111335B2 (en) * 2013-08-08 2017-04-05 シャープ株式会社 Semiconductor element substrate and manufacturing method thereof
TWI518847B (en) * 2013-08-23 2016-01-21 Eorex Corp Sequential series of multi - chip memory structure
US9485869B2 (en) 2013-08-23 2016-11-01 Raytheon Company RF printed circuit board including vertical integration and increased layout density
US9383143B2 (en) * 2013-09-26 2016-07-05 Micro Cooling Concepts, Inc. Metallic thin-film bonding and alloy generation
US8972909B1 (en) * 2013-09-27 2015-03-03 Taiwan Semiconductor Manufacturing Co., Ltd. OPC method with higher degree of freedom
US9059163B2 (en) 2013-10-17 2015-06-16 International Business Machines Corporation Structure for logic circuit and serializer-deserializer stack
US9209201B2 (en) 2013-10-23 2015-12-08 Taiwan Semiconductor Manufacturing Company Limited Systems and methods for integrating different channel materials into a CMOS circuit by using a semiconductor structure having multiple transistor layers
US9269640B2 (en) * 2013-10-31 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Repairing monolithic stacked integrated circuits with a redundant layer and lithography process
US9502315B2 (en) * 2013-12-04 2016-11-22 Taiwan Semiconductor Manufacturing Company Limited Electrical component testing in stacked semiconductor arrangement
US9208854B2 (en) * 2013-12-06 2015-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Three dimensional dual-port bit cell and method of assembling same
US9773754B2 (en) 2014-12-05 2017-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Input output for an integrated circuit
US9330224B2 (en) * 2014-04-30 2016-05-03 Oracle International Corporation Method and apparatus for dummy cell placement management
US9343369B2 (en) * 2014-05-19 2016-05-17 Qualcomm Incorporated Three dimensional (3D) integrated circuits (ICs) (3DICs) and related systems
US9604842B2 (en) 2014-05-27 2017-03-28 Stmicroelectronics S.R.L. System for driving an array of MEMS structures and corresponding driving method
US9659668B2 (en) * 2014-05-30 2017-05-23 Micron Technology, Inc. Via stack fault detection
US9515181B2 (en) 2014-08-06 2016-12-06 Qualcomm Incorporated Semiconductor device with self-aligned back side features
US9442906B2 (en) * 2014-10-09 2016-09-13 Wrap Media, LLC Wrap descriptor for defining a wrap package of cards including a global component
US10097182B2 (en) * 2014-12-31 2018-10-09 Stmicroelectronics, Inc. Integrated circuit layout wiring for multi-core chips
US10102327B2 (en) 2014-12-31 2018-10-16 Stmicroelectronics, Inc. Integrated circuit layout wiring for multi-core chips
US10994473B2 (en) 2015-02-10 2021-05-04 Optomec, Inc. Fabrication of three dimensional structures by in-flight curing of aerosols
WO2016134167A1 (en) * 2015-02-18 2016-08-25 Optomec, Inc. Additive fabrication of single and multi-layer electronic circuits
CN107431073A (en) * 2015-03-25 2017-12-01 3B技术公司 Using the three dimensional integrated circuits of thin film transistor (TFT)
US9791502B2 (en) * 2015-04-30 2017-10-17 Globalfoundries Inc. On-chip usable life depletion meter and associated method
US9886193B2 (en) 2015-05-15 2018-02-06 International Business Machines Corporation Architecture and implementation of cortical system, and fabricating an architecture using 3D wafer scale integration
US9969614B2 (en) 2015-05-29 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS packages and methods of manufacture thereof
US9449320B1 (en) * 2015-06-08 2016-09-20 Vantiv, Llc Closed-loop testing of integrated circuit card payment terminals
US9853112B2 (en) * 2015-07-17 2017-12-26 Qualcomm Incorporated Device and method to connect gate regions separated using a gate cut
US9940419B1 (en) * 2015-08-05 2018-04-10 The United States Of America As Represented By The Secretary Of The Army Post design integrated circuit manufacturing obfuscation
US10248520B2 (en) * 2015-09-25 2019-04-02 Oracle International Corporation High speed functional test vectors in low power test conditions of a digital integrated circuit
EP3153851A1 (en) * 2015-10-06 2017-04-12 Carrier Corporation Mems die with sensing structures
US9624094B1 (en) * 2015-11-13 2017-04-18 Cypress Semiconductor Corporation Hydrogen barriers in a copper interconnect process
US9916195B2 (en) * 2016-01-12 2018-03-13 International Business Machines Corporation Performing a repair operation in arrays
US9653575B1 (en) 2016-05-09 2017-05-16 International Business Machines Corporation Vertical transistor with a body contact for back-biasing
US20180068047A1 (en) * 2016-09-08 2018-03-08 Mapper Lithography Ip B.V. Method and system for fabricating unique chips using a charged particle multi-beamlet lithography system
US10013519B2 (en) * 2016-09-20 2018-07-03 Globalfoundries Inc. Performance matching in three-dimensional (3D) integrated circuit (IC) using back-bias compensation
US10854591B2 (en) * 2016-11-04 2020-12-01 Samsung Electronics Co., Ltd. Semiconductor device including a repeater/buffer at upper metal routing layers and methods of manufacturing the same
US10614357B2 (en) 2017-04-17 2020-04-07 Cerebras Systems Inc. Dataflow triggered tasks for accelerated deep learning
US11157806B2 (en) 2017-04-17 2021-10-26 Cerebras Systems Inc. Task activating for accelerated deep learning
US11488004B2 (en) 2017-04-17 2022-11-01 Cerebras Systems Inc. Neuron smearing for accelerated deep learning
US10446606B2 (en) 2017-07-19 2019-10-15 International Business Machines Corporation Back-side memory element with local memory select transistor
EP3692404A4 (en) 2017-10-02 2021-06-16 Commscope Technologies LLC Fiber optic circuit and preparation method
US10727835B2 (en) * 2017-10-10 2020-07-28 Tacho Holdings, Llc Three-dimensional logic circuit
EP3723909B1 (en) 2017-11-13 2023-10-25 Optomec, Inc. Shuttering of aerosol streams
US10623000B2 (en) * 2018-02-14 2020-04-14 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips
WO2020036631A2 (en) * 2018-03-06 2020-02-20 The Regents Of The University Of California Network on interconnect fabric
WO2020044238A1 (en) * 2018-08-29 2020-03-05 Cerebras Systems Inc. Processor element redundancy for accelerated deep learning
US10877089B2 (en) * 2018-09-24 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor wafer testing system and related method for improving external magnetic field wafer testing
US10923502B2 (en) 2019-01-16 2021-02-16 Sandisk Technologies Llc Three-dimensional ferroelectric memory devices including a backside gate electrode and methods of making same
KR20210124273A (en) * 2019-02-05 2021-10-14 페이스북 테크놀로지스, 엘엘씨 Process Flow for a Hybrid TFT-Based Micro Display Projector
US11355665B2 (en) 2019-06-19 2022-06-07 Facebook Technologies, Llc Process flow for hybrid TFT-based micro display projector
JP7331119B2 (en) * 2019-04-15 2023-08-22 長江存儲科技有限責任公司 Integration of three-dimensional NAND memory devices with multiple functional chips
CN111033728A (en) 2019-04-15 2020-04-17 长江存储科技有限责任公司 Bonded semiconductor device with programmable logic device and dynamic random access memory and method of forming the same
CN110870062A (en) 2019-04-30 2020-03-06 长江存储科技有限责任公司 Bonded semiconductor device with programmable logic device and NAND flash memory and method of forming the same
US11264361B2 (en) 2019-06-05 2022-03-01 Invensas Corporation Network on layer enabled architectures
EP3909078A4 (en) * 2019-06-27 2022-12-21 Yangtze Memory Technologies Co., Ltd. Marking pattern in forming staircase structure of three-dimensional memory device
US11295053B2 (en) * 2019-09-12 2022-04-05 Arm Limited Dielet design techniques
US10832790B1 (en) * 2019-09-26 2020-11-10 Western Digital Technologies, Inc. Performance of non data word line maintenance in sub block mode
KR20210048637A (en) 2019-10-23 2021-05-04 삼성전자주식회사 Variable resistance memory device
CN111063659B (en) * 2019-11-28 2022-08-19 福建省福联集成电路有限公司 Passive device with double-layer structure and manufacturing method
CN111223871B (en) * 2020-01-14 2023-07-04 长江存储科技有限责任公司 Preparation method of memory device and memory device
EP4249999A3 (en) * 2020-04-24 2023-12-13 Genxcomm, Inc. Solid-state device with optical waveguide as floating gate electrode
DE102020132547A1 (en) * 2020-06-04 2021-12-09 Taiwan Semiconductor Manufacturing Co., Ltd. STORAGE DEVICE, IC COMPONENT AND METHOD
US11428646B2 (en) * 2020-08-28 2022-08-30 Openlight Photonics, Inc. Loss monitoring in photonic circuit fabrication
US11450575B2 (en) * 2020-10-05 2022-09-20 Western Digital Technologies, Inc. System and method for die crack detection in a CMOS bonded array
CN113013260B (en) * 2021-02-23 2022-08-23 温州大学 Photosensitive SiC heterogeneous junction multi-potential-barrier varactor
CN113257702B (en) * 2021-05-12 2023-06-02 西安微电子技术研究所 Method for improving application reliability of standardized module based on PoP process
US11747555B2 (en) 2021-10-04 2023-09-05 Eagle Technology, Llc Optical assembly having commonly-shaped optical modules and associated methods
CN117118902A (en) * 2022-04-29 2023-11-24 华为技术有限公司 Communication chip and data exchange device

Citations (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3430835A (en) * 1966-06-07 1969-03-04 Westinghouse Electric Corp Wire bonding apparatus for microelectronic components
US3559282A (en) * 1967-04-25 1971-02-02 Motorola Inc Method for making thin semiconductor dice
US3560364A (en) * 1968-10-10 1971-02-02 Ibm Method for preparing thin unsupported films of silicon nitride
US3636358A (en) * 1968-12-02 1972-01-18 Siemens Ag Integrated optical-electronic solid-state system having two superimposed circuit planes linked by optical and/or electronic and horizontal and/or vertical connections
US3716429A (en) * 1970-06-18 1973-02-13 Rca Corp Method of making semiconductor devices
US3868565A (en) * 1973-07-30 1975-02-25 Jack Kuipers Object tracking and orientation determination means, system and process
US3932932A (en) * 1974-09-16 1976-01-20 International Telephone And Telegraph Corporation Method of making multilayer printed circuit board
US4070230A (en) * 1974-07-04 1978-01-24 Siemens Aktiengesellschaft Semiconductor component with dielectric carrier and its manufacture
US4131985A (en) * 1976-08-31 1979-01-02 Itt Industries, Inc. Thin silicon devices
US4142004A (en) * 1976-01-22 1979-02-27 Bell Telephone Laboratories, Incorporated Method of coating semiconductor substrates
US4196232A (en) * 1975-12-18 1980-04-01 Rca Corporation Method of chemically vapor-depositing a low-stress glass layer
US4249302A (en) * 1978-12-28 1981-02-10 Ncr Corporation Multilayer printed circuit board
US4251909A (en) * 1976-06-29 1981-02-24 U.S. Philips Corporation Method of manufacturing a target assembly for a camera tube
US4262631A (en) * 1979-10-01 1981-04-21 Kubacki Ronald M Thin film deposition apparatus using an RF glow discharge
US4500905A (en) * 1981-09-30 1985-02-19 Tokyo Shibaura Denki Kabushiki Kaisha Stacked semiconductor device with sloping sides
US4566037A (en) * 1981-12-25 1986-01-21 Nippon Kogaku K.K. Solid-state area imaging apparatus
US4585991A (en) * 1982-06-03 1986-04-29 Texas Instruments Incorporated Solid state multiprobe testing apparatus
US4721938A (en) * 1986-12-22 1988-01-26 Delco Electronics Corporation Process for forming a silicon pressure transducer
US4724328A (en) * 1985-02-12 1988-02-09 Siemens Aktiengesellschaft Lithographic apparatus for the production of microstructures
US4810673A (en) * 1986-09-18 1989-03-07 Texas Instruments Incorporated Oxide deposition method
US4810889A (en) * 1985-12-27 1989-03-07 Canon Kabushiki Kaisha Fine circuit pattern drawing apparatus and method
US4825277A (en) * 1987-11-17 1989-04-25 Motorola Inc. Trench isolation process and structure
US4841483A (en) * 1986-12-15 1989-06-20 Kabushiki Kaisha Toshiba Semiconductor memory
US4892753A (en) * 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4897708A (en) * 1986-07-17 1990-01-30 Laser Dynamics, Inc. Semiconductor wafer array
US4919749A (en) * 1989-05-26 1990-04-24 Nanostructures, Inc. Method for making high resolution silicon shadow masks
US4983251A (en) * 1985-06-20 1991-01-08 U.S. Philips Corporation Method of manufacturing semiconductor devices
US4990462A (en) * 1989-04-12 1991-02-05 Advanced Micro Devices, Inc. Method for coplanar integration of semiconductor ic devices
US4994336A (en) * 1988-05-31 1991-02-19 Siemens Aktiengesellschaft Method for manufacturing a control plate for a lithographic device
US4994735A (en) * 1988-05-16 1991-02-19 Leedy Glenn J Flexible tester surface for testing integrated circuits
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5008619A (en) * 1988-11-18 1991-04-16 Amp-Akzo Corporation Multilevel circuit board precision positioning
US5010024A (en) * 1987-03-04 1991-04-23 Advanced Micro Devices, Inc. Passivation for integrated circuit structures
US5045921A (en) * 1989-12-26 1991-09-03 Motorola, Inc. Pad array carrier IC device using flexible tape
US5098865A (en) * 1989-11-02 1992-03-24 Machado Jose R High step coverage silicon oxide thin films
US5103557A (en) * 1988-05-16 1992-04-14 Leedy Glenn J Making and testing an integrated circuit using high density probe points
US5188706A (en) * 1989-03-18 1993-02-23 Kabushiki Kaisha Toshiba Method of manufacturing an x-ray exposure mask and device for controlling the internal stress of thin films
US5203731A (en) * 1990-07-18 1993-04-20 International Business Machines Corporation Process and structure of an integrated vacuum microelectronic device
US5278839A (en) * 1990-04-18 1994-01-11 Hitachi, Ltd. Semiconductor integrated circuit having self-check and self-repair capabilities
US5279865A (en) * 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
US5283107A (en) * 1991-05-03 1994-02-01 International Business Machines Corporation Modular multilayer interwiring structure
US5284804A (en) * 1991-12-31 1994-02-08 Texas Instruments Incorporated Global planarization process
US5284796A (en) * 1991-09-10 1994-02-08 Fujitsu Limited Process for flip chip connecting a semiconductor chip
US5293457A (en) * 1989-05-15 1994-03-08 Mitsubishi Denki Kabushiki Kaisha Neural network integrated circuit device having self-organizing function
US5357473A (en) * 1990-08-09 1994-10-18 Mitsubishi Denki Kabushiki Kaisha Semiconductor storage system including defective bit replacement
US5374569A (en) * 1992-09-21 1994-12-20 Siliconix Incorporated Method for forming a BiCDMOS
US5374564A (en) * 1991-09-18 1994-12-20 Commissariat A L'energie Atomique Process for the production of thin semiconductor material films
US5385909A (en) * 1993-11-22 1995-01-31 American Home Products Corporation Heterocyclic esters of rapamycin
US5385632A (en) * 1993-06-25 1995-01-31 At&T Laboratories Method for manufacturing integrated semiconductor devices
US5399505A (en) * 1993-07-23 1995-03-21 Motorola, Inc. Method and apparatus for performing wafer level testing of integrated circuit dice
USRE34893E (en) * 1988-06-08 1995-04-04 Nippondenso Co., Ltd. Semiconductor pressure sensor and method of manufacturing same
US5480842A (en) * 1994-04-11 1996-01-02 At&T Corp. Method for fabricating thin, strong, and flexible die for smart cards
US5481133A (en) * 1994-03-21 1996-01-02 United Microelectronics Corporation Three-dimensional multichip package
US5489554A (en) * 1992-07-21 1996-02-06 Hughes Aircraft Company Method of making a 3-dimensional circuit assembly having electrical contacts that extend through the IC layer
US5502667A (en) * 1993-09-13 1996-03-26 International Business Machines Corporation Integrated multichip memory module structure
US5512397A (en) * 1988-05-16 1996-04-30 Leedy; Glenn J. Stepper scanner discretionary lithography and common mask discretionary lithography for integrated circuits
US5592007A (en) * 1992-04-08 1997-01-07 Leedy; Glenn J. Membrane dielectric isolation transistor fabrication
US5595933A (en) * 1991-02-25 1997-01-21 U.S. Philips Corporation Method for manufacturing a cathode
US5606186A (en) * 1993-12-20 1997-02-25 Mitsubishi Denki Kabushiki Kaisha Semiconductor integrated circuit including opposed substrates of different semiconductor materials and method of manufacturing the semiconductor integrated circuit
US5615163A (en) * 1993-12-21 1997-03-25 Kabushiki Kaisha Toshiba Semiconductor memory device
US5620915A (en) * 1995-07-12 1997-04-15 United Microelectronics Corporation Method for bypassing null-code sections for read-only memory by access line control
US5703747A (en) * 1995-02-22 1997-12-30 Voldman; Steven Howard Multichip semiconductor structures with interchip electrostatic discharge protection, and fabrication methods therefore
US5715144A (en) * 1994-12-30 1998-02-03 International Business Machines Corporation Multi-layer, multi-chip pyramid and circuit board structure
US5725995A (en) * 1988-05-16 1998-03-10 Elm Technology Corporation Method of repairing defective traces in an integrated circuit structure
US5733814A (en) * 1995-04-03 1998-03-31 Aptek Industries, Inc. Flexible electronic card and method
US5736448A (en) * 1995-12-04 1998-04-07 General Electric Company Fabrication method for thin film capacitors
US5745673A (en) * 1994-09-21 1998-04-28 Texas Instruments Incorporated Memory architecture for solid state discs
US5856695A (en) * 1991-10-30 1999-01-05 Harris Corporation BiCMOS devices
US5870176A (en) * 1996-06-19 1999-02-09 Sandia Corporation Maskless lithography
US5868949A (en) * 1994-11-14 1999-02-09 Hitachi, Ltd. Metalization structure and manufacturing method thereof
US5880010A (en) * 1994-07-12 1999-03-09 Sun Microsystems, Inc. Ultrathin electronics
US5882532A (en) * 1996-05-31 1999-03-16 Hewlett-Packard Company Fabrication of single-crystal silicon structures using sacrificial-layer wafer bonding
US6017658A (en) * 1992-05-13 2000-01-25 The United States Of America As Represented By The Secretary Of The Navy Lithographic mask and method for fabrication thereof
US6020257A (en) * 1995-06-07 2000-02-01 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US6045625A (en) * 1996-12-06 2000-04-04 Texas Instruments Incorporated Buried oxide with a thermal expansion matching layer for SOI
US6050832A (en) * 1998-08-07 2000-04-18 Fujitsu Limited Chip and board stress relief interposer
US6194245B1 (en) * 1996-03-18 2001-02-27 Sony Corporation Method for making thin film semiconductor
US6197456B1 (en) * 1999-01-19 2001-03-06 Lsi Logic Corporation Mask having an arbitrary complex transmission function
US6208545B1 (en) * 1997-04-04 2001-03-27 Glenn J. Leedy Three dimensional structure memory
US20010013423A1 (en) * 1996-10-31 2001-08-16 Hormazdyar M. Dalal Flip chip attach on flexible circuit carrier using chip with metallic cap on solder
US6335491B1 (en) * 2000-02-08 2002-01-01 Lsi Logic Corporation Interposer for semiconductor package assembly
US6355976B1 (en) * 1992-05-14 2002-03-12 Reveo, Inc Three-dimensional packaging technology for multi-layered integrated circuits
US20030011032A1 (en) * 2000-12-14 2003-01-16 Taku Umebayashi Semiconductor device and it's manufacturing method
US6518073B2 (en) * 1996-01-25 2003-02-11 Kabushiki Kaisha Toshiba Method for testing semiconductor memory devices, and apparatus and system for testing semiconductor memory devices
US20040000708A1 (en) * 2001-10-26 2004-01-01 Staktek Group, L.P. Memory expansion and chip scale stacking system and method
US6714625B1 (en) * 1992-04-08 2004-03-30 Elm Technology Corporation Lithography device for semiconductor circuit pattern generation
US6838896B2 (en) * 1988-05-16 2005-01-04 Elm Technology Corporation Method and system for probing, testing, burn-in, repairing and programming of integrated circuits in a closed environment using a single apparatus
US20050023656A1 (en) * 2002-08-08 2005-02-03 Leedy Glenn J. Vertical system integration
US7474004B2 (en) * 1997-04-04 2009-01-06 Elm Technology Corporation Three dimensional structure memory
US20110042829A1 (en) * 2008-12-12 2011-02-24 Qualcomm Incorporated IC Interconnect

Family Cites Families (248)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US558687A (en) * 1896-04-21 Apparatus f
US724328A (en) * 1902-06-05 1903-03-31 Maurice Pivert Mixing-valve for explosion-engines.
US2915722A (en) 1957-05-13 1959-12-01 Inductosyn Corp Pattern for slider of position measuring transformer
GB914656A (en) * 1959-11-10
NL6706735A (en) 1967-05-13 1968-11-14
US3780352A (en) 1968-06-25 1973-12-18 J Redwanz Semiconductor interconnecting system using conductive patterns bonded to thin flexible insulating films
US3615901A (en) 1969-12-01 1971-10-26 Gustav K Medicus Method of making a plastically shapeable cathode material
US3777227A (en) 1972-08-21 1973-12-04 Westinghouse Electric Corp Double diffused high voltage, high current npn transistor
US3922705A (en) 1973-06-04 1975-11-25 Gen Electric Dielectrically isolated integral silicon diaphram or other semiconductor product
US3997381A (en) 1975-01-10 1976-12-14 Intel Corporation Method of manufacture of an epitaxial semiconductor layer on an insulating substrate
US4028547A (en) 1975-06-30 1977-06-07 Bell Telephone Laboratories, Incorporated X-ray photolithography
US4246595A (en) 1977-03-08 1981-01-20 Matsushita Electric Industrial Co., Ltd. Electronics circuit device and method of making the same
JPS55156395A (en) 1979-05-24 1980-12-05 Fujitsu Ltd Method of fabricating hollow multilayer printed board
JPS5930130B2 (en) 1979-09-20 1984-07-25 富士通株式会社 Vapor phase growth method
US4401986A (en) * 1979-12-26 1983-08-30 Texas Instruments Incorporated Position sensor and system
US4416054A (en) 1980-07-01 1983-11-22 Westinghouse Electric Corp. Method of batch-fabricating flip-chip bonded dual integrated circuit arrays
JPS5747711A (en) * 1980-08-08 1982-03-18 Fujitsu Ltd Chemical plasma growing method in vapor phase
EP0048291B1 (en) 1980-09-19 1985-07-03 Ibm Deutschland Gmbh Structure with a silicon body that presents an aperture and method of making this structure
JPS5843554A (en) 1981-09-08 1983-03-14 Mitsubishi Electric Corp Semiconductor device
JPS59672A (en) 1982-06-27 1984-01-05 Tsutomu Jinno Distance measuring sensor
US4622632A (en) 1982-08-18 1986-11-11 Board Of Regents, University Of Washington Data processing system having a pyramidal array of processors
US4761681A (en) * 1982-09-08 1988-08-02 Texas Instruments Incorporated Method for fabricating a semiconductor contact and interconnect structure using orientation dependent etching and thermomigration
US4663559A (en) * 1982-09-17 1987-05-05 Christensen Alton O Field emission device
JPS59117271A (en) 1982-12-24 1984-07-06 Hitachi Ltd Semiconductor device having pressure sensing element and manufacture thereof
US4642287A (en) * 1983-04-14 1987-02-10 Shinnenryoyu Kaihatsugijutsu Kenkyukumiai Process for pretreating biomasses in advance of their enzymatic treatment
US4604162A (en) 1983-06-13 1986-08-05 Ncr Corporation Formation and planarization of silicon-on-insulator structures
JPS6130059A (en) * 1984-07-20 1986-02-12 Nec Corp Manufacture of semiconductor device
US4702936A (en) 1984-09-20 1987-10-27 Applied Materials Japan, Inc. Gas-phase growth process
US4642487A (en) 1984-09-26 1987-02-10 Xilinx, Inc. Special interconnect for configurable logic array
US4617160A (en) 1984-11-23 1986-10-14 Irvine Sensors Corporation Method for fabricating modules comprising uniformly stacked, aligned circuit-carrying layers
EP0189976A3 (en) 1985-01-30 1987-12-02 Energy Conversion Devices, Inc. Extremely lightweight, flexible semiconductor device arrays and method of making same
US4762728A (en) 1985-04-09 1988-08-09 Fairchild Semiconductor Corporation Low temperature plasma nitridation process and applications of nitride films formed thereby
US4618763A (en) 1985-04-12 1986-10-21 Grumman Aerospace Corporation Infrared focal plane module with stacked IC module body
JPS629642A (en) 1985-07-05 1987-01-17 Matsushita Electric Ind Co Ltd Manufacture of semiconductor device
DE3527532A1 (en) 1985-08-01 1987-02-12 Teves Gmbh Alfred METHOD AND BRAKE SYSTEM FOR DRIVE CONTROL
JPS62128556A (en) 1985-11-29 1987-06-10 Fujitsu Ltd Semiconductor device
NL8600087A (en) 1986-01-17 1987-08-17 Philips Nv DEVICE FOR FULL-DUPLEX DATA TRANSMISSION ON TWO-WIRE CONNECTIONS.
US4890157A (en) 1986-01-31 1989-12-26 Texas Instruments Incorporated Integrated circuit product having a polyimide film interconnection structure
US4952446A (en) 1986-02-10 1990-08-28 Cornell Research Foundation, Inc. Ultra-thin semiconductor membranes
KR900008647B1 (en) 1986-03-20 1990-11-26 후지쓰 가부시끼가이샤 A method for manufacturing three demensional i.c.
US4706166A (en) 1986-04-25 1987-11-10 Irvine Sensors Corporation High-density electronic modules--process and product
US4721930A (en) * 1986-05-21 1988-01-26 General Dynamics Corp., Space Systems Div. Suspension and drive system for a mechanical RF energy power divider intended for spacecraft applications
US4954875A (en) 1986-07-17 1990-09-04 Laser Dynamics, Inc. Semiconductor wafer array with electrically conductive compliant material
US4684436A (en) * 1986-10-29 1987-08-04 International Business Machines Corp. Method of simultaneously etching personality and select
US4939694A (en) 1986-11-03 1990-07-03 Hewlett-Packard Company Defect tolerant self-testing self-repairing memory system
US4766670A (en) 1987-02-02 1988-08-30 International Business Machines Corporation Full panel electronic packaging structure and method of making same
US4855867A (en) 1987-02-02 1989-08-08 International Business Machines Corporation Full panel electronic packaging structure
US5110712A (en) 1987-06-12 1992-05-05 Hewlett-Packard Company Incorporation of dielectric layers in a semiconductor
US4952031A (en) 1987-06-19 1990-08-28 Victor Company Of Japan, Ltd. Liquid crystal display device
US4849857A (en) 1987-10-05 1989-07-18 Olin Corporation Heat dissipating interconnect tape for use in tape automated bonding
FR2623013A1 (en) * 1987-11-06 1989-05-12 Commissariat Energie Atomique ELECTRO SOURCE WITH EMISSIVE MICROPOINT CATHODES AND FIELD EMISSION-INDUCED CATHODOLUMINESCENCE VISUALIZATION DEVICE USING THE SOURCE
KR900002716B1 (en) 1987-11-26 1990-04-23 재단법인한국전자 통신연구소 Beam focusing device of laser-grapher
US4784721A (en) 1988-02-22 1988-11-15 Honeywell Inc. Integrated thin-film diaphragm; backside etch
GB2215168A (en) 1988-02-23 1989-09-13 Ibm Windows with restricted colour range have priority defined by colour codes
GB2215914B (en) 1988-03-17 1991-07-03 Emi Plc Thorn A microengineered diaphragm pressure switch and a method of manufacture thereof
GB8810973D0 (en) 1988-05-10 1988-06-15 Stc Plc Improvements in integrated circuits
US4924589A (en) * 1988-05-16 1990-05-15 Leedy Glenn J Method of making and testing an integrated circuit
US5034685A (en) * 1988-05-16 1991-07-23 Leedy Glenn J Test device for testing integrated circuits
US5020219A (en) * 1988-05-16 1991-06-04 Leedy Glenn J Method of making a flexible tester surface for testing integrated circuits
US5323035A (en) 1992-10-13 1994-06-21 Glenn Leedy Interconnection structure for integrated circuits and method for making same
US4904916A (en) * 1988-05-18 1990-02-27 The Cheney Company Electrical control system for stairway wheelchair lift
US5110373A (en) * 1988-09-13 1992-05-05 Nanostructures, Inc. Silicon membrane with controlled stress
US4966663A (en) 1988-09-13 1990-10-30 Nanostructures, Inc. Method for forming a silicon membrane with controlled stress
US5000013A (en) * 1988-09-16 1991-03-19 William Rovinsky Air sequencer for a knitting machine
JPH02143466A (en) 1988-11-25 1990-06-01 Mitsubishi Electric Corp Manufacture of semiconductor device
ES2084606T3 (en) * 1988-12-21 1996-05-16 At & T Corp MODIFIED GROWTH THERMAL OXIDATION PROCEDURE FOR THIN OXIDES.
FR2641129A1 (en) 1988-12-27 1990-06-29 Bull Sa Substrate for a superconducting thin film
US5463246A (en) 1988-12-29 1995-10-31 Sharp Kabushiki Kaisha Large scale high density semiconductor apparatus
US4950987A (en) 1989-03-03 1990-08-21 University Of North Carolina At Charlotte Magneto-inductive sensor for performing tactile and proximity sensing
JP2569789B2 (en) 1989-03-13 1997-01-08 富士電機株式会社 Method for forming electrodes on semiconductor chip
US4857481A (en) 1989-03-14 1989-08-15 Motorola, Inc. Method of fabricating airbridge metal interconnects
US5262341A (en) 1989-05-19 1993-11-16 Fujitsu Limited Blanking aperture array and charged particle beam exposure method
EP0404608B1 (en) 1989-05-19 1995-02-22 Fujitsu Limited Blanking aperture array, method of producing blanking aperture array, charged particle beam exposure apparatus and charged particle beam exposure method
US4928058A (en) 1989-05-23 1990-05-22 The University Of Rochester Electro-optic signal measurement
US5051326A (en) 1989-05-26 1991-09-24 At&T Bell Laboratories X-Ray lithography mask and devices made therewith
US5070026A (en) 1989-06-26 1991-12-03 Spire Corporation Process of making a ferroelectric electronic component and product
US5119164A (en) 1989-07-25 1992-06-02 Advanced Micro Devices, Inc. Avoiding spin-on-glass cracking in high aspect ratio cavities
US5343406A (en) 1989-07-28 1994-08-30 Xilinx, Inc. Distributed memory architecture for a configurable logic array and method for using distributed memory
US5071510A (en) 1989-09-22 1991-12-10 Robert Bosch Gmbh Process for anisotropic etching of silicon plates
DE59010907D1 (en) 1989-09-28 2000-07-06 Siemens Ag Method for increasing the dielectric strength of a multilayer semiconductor component
US5156909A (en) 1989-11-28 1992-10-20 Battelle Memorial Institute Thick, low-stress films, and coated substrates formed therefrom, and methods for making same
US5169805A (en) 1990-01-29 1992-12-08 International Business Machines Corporation Method of resiliently mounting an integrated circuit chip to enable conformal heat dissipation
JP3112680B2 (en) 1990-04-26 2000-11-27 オーストラリア連邦 Semiconductor film bolometer thermal infrared detector
JP2847890B2 (en) 1990-04-27 1999-01-20 株式会社島津製作所 Method of manufacturing semiconductor substrate for three-dimensional mounting
US5116777A (en) * 1990-04-30 1992-05-26 Sgs-Thomson Microelectronics, Inc. Method for fabricating semiconductor devices by use of an N+ buried layer for complete isolation
US5081421A (en) 1990-05-01 1992-01-14 At&T Bell Laboratories In situ monitoring technique and apparatus for chemical/mechanical planarization endpoint detection
FR2666173A1 (en) 1990-08-21 1992-02-28 Thomson Csf HYBRID INTERCONNECTION STRUCTURE FOR INTEGRATED CIRCUITS AND MANUFACTURING METHOD.
US5062689A (en) 1990-08-21 1991-11-05 Koehler Dale R Electrostatically actuatable light modulating device
US5432444A (en) * 1990-10-23 1995-07-11 Kaisei Engineer Co., Ltd. Inspection device having coaxial induction and exciting coils forming a unitary coil unit
US5117282A (en) 1990-10-29 1992-05-26 Harris Corporation Stacked configuration for integrated circuit devices
DE4034697A1 (en) 1990-10-31 1992-05-14 Fraunhofer Ges Forschung METHOD FOR HANDLING MICROSCOPICALLY SMALL, DIELECTRIC PARTICLES AND DEVICE FOR IMPLEMENTING THE METHOD
JPH06103714B2 (en) 1990-11-22 1994-12-14 信越半導体株式会社 Method for inspecting electrical characteristics of silicon single crystal
US5130894A (en) * 1990-11-26 1992-07-14 At&T Bell Laboratories Three-dimensional circuit modules
US5274270A (en) 1990-12-17 1993-12-28 Nchip, Inc. Multichip module having SiO2 insulating layer
JP3071876B2 (en) 1991-01-08 2000-07-31 株式会社東芝 X-ray mask, method of manufacturing the same, and exposure method using the same
US5358909A (en) 1991-02-27 1994-10-25 Nippon Steel Corporation Method of manufacturing field-emitter
DE4106288C2 (en) * 1991-02-28 2001-05-31 Bosch Gmbh Robert Sensor for measuring pressures or accelerations
JP2613498B2 (en) 1991-03-15 1997-05-28 信越半導体株式会社 Heat treatment method for Si single crystal wafer
US5111278A (en) * 1991-03-27 1992-05-05 Eichelberger Charles W Three-dimensional multichip module systems
FR2674593B1 (en) 1991-03-29 1993-05-07 Valeo TORSION SHOCK ABSORBER COMPRISING A HOUSING PRE-SHOCK ABSORBER WITH HOOK LEGS, ESPECIALLY FOR A MOTOR VEHICLE.
DE4113316C2 (en) * 1991-04-24 2003-09-11 Bosch Gmbh Robert Connection circuit for a lambda probe and test method for such a circuit
JP3670277B2 (en) * 1991-05-17 2005-07-13 ラム リサーチ コーポレーション Method for depositing SiOx films with low intrinsic stress and / or low hydrogen content
US5374940A (en) 1991-06-04 1994-12-20 The University Of Rochester System for operating a plurality of graphics displays from a single computer
JP3109861B2 (en) 1991-06-12 2000-11-20 キヤノン株式会社 Information recording and / or reproducing apparatus
FR2677668B1 (en) 1991-06-14 1993-10-15 France Telecom METHOD FOR CLEANING OXIDIZED METAL SURFACES IN THE MANUFACTURE OF INTERCONNECTION NETWORKS AND WAFERS FOR SUCH NETWORKS.
JP3061954B2 (en) 1991-08-20 2000-07-10 株式会社東芝 Semiconductor device
US6230233B1 (en) 1991-09-13 2001-05-08 Sandisk Corporation Wear leveling techniques for flash EEPROM systems
US5270261A (en) 1991-09-13 1993-12-14 International Business Machines Corporation Three dimensional multichip package methods of fabrication
US5151775A (en) 1991-10-07 1992-09-29 Tektronix, Inc. Integrated circuit device having improved substrate capacitance isolation
JPH05129423A (en) * 1991-10-30 1993-05-25 Rohm Co Ltd Semiconductor device and manufacture thereof
US5198965A (en) 1991-12-18 1993-03-30 International Business Machines Corporation Free form packaging of specific functions within a computer system
US5241454A (en) 1992-01-22 1993-08-31 International Business Machines Corporation Mutlilayered flexible circuit package
JP3191061B2 (en) 1992-01-31 2001-07-23 キヤノン株式会社 Semiconductor device and liquid crystal display device
US5470693A (en) 1992-02-18 1995-11-28 International Business Machines Corporation Method of forming patterned polyimide films
US5985693A (en) 1994-09-30 1999-11-16 Elm Technology Corporation High density three-dimensional IC interconnection
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5236118A (en) 1992-05-12 1993-08-17 The Regents Of The University Of California Aligned wafer bonding
US5786629A (en) 1992-05-14 1998-07-28 Reveo, Inc. 3-D packaging using massive fillo-leaf technology
DE69330630T2 (en) * 1992-05-15 2002-06-13 Irvine Sensors Corp NON-CONDUCTIVE EDGE LAYER FOR INTEGRATED STACK OF IC CHIPS
US5273940A (en) 1992-06-15 1993-12-28 Motorola, Inc. Multiple chip package with thinned semiconductor chips
US5343366A (en) 1992-06-24 1994-08-30 International Business Machines Corporation Packages for stacked integrated circuit chip cubes
US5245277A (en) 1992-08-04 1993-09-14 Xilinx, Inc. Clamp for testing used integrated circuit devices
US5432999A (en) 1992-08-20 1995-07-18 Capps; David F. Integrated circuit lamination process
AU4857493A (en) 1992-09-16 1994-04-12 James E. Clayton A thin multichip module
US5324687A (en) * 1992-10-16 1994-06-28 General Electric Company Method for thinning of integrated circuit chips for lightweight packaged electronic systems
GB9223226D0 (en) * 1992-11-05 1992-12-16 Algotronix Ltd Improved configurable cellular array (cal ii)
US5347428A (en) 1992-12-03 1994-09-13 Irvine Sensors Corporation Module comprising IC memory stack dedicated to and structurally combined with an IC microprocessor chip
US5450603A (en) 1992-12-18 1995-09-12 Xerox Corporation SIMD architecture with transfer register or value source circuitry connected to bus
US5426072A (en) * 1993-01-21 1995-06-20 Hughes Aircraft Company Process of manufacturing a three dimensional integrated circuit from stacked SOI wafers using a temporary silicon substrate
US5717947A (en) 1993-03-31 1998-02-10 Motorola, Inc. Data processing system and method thereof
US5527645A (en) * 1993-04-21 1996-06-18 Pati; Yagyensh C. Systematic method for production of phase-shifting photolithographic masks
JP3354937B2 (en) * 1993-04-23 2002-12-09 イルビン センサーズ コーポレーション An electronic module including a stack of IC chips each interacting with an IC chip fixed to the surface of the stack.
US5426363A (en) * 1993-04-26 1995-06-20 Kabushiki Kaisha Honda Denshi Giken Object detecting device
US5432681A (en) * 1993-04-30 1995-07-11 The United States Of America As Represented By The Secretary Of The Air Force Density improvement for planar hybrid wafer scale integration
US5694588A (en) 1993-05-07 1997-12-02 Texas Instruments Incorporated Apparatus and method for synchronizing data transfers in a single instruction multiple data processor
US5323060A (en) 1993-06-02 1994-06-21 Micron Semiconductor, Inc. Multichip module having a stacked chip arrangement
CA2118994A1 (en) * 1993-06-21 1994-12-22 Claude L. Bertin Polyimide-insulated cube package of stacked semiconductor device chips
US5363021A (en) 1993-07-12 1994-11-08 Cornell Research Foundation, Inc. Massively parallel array cathode
US5434500A (en) * 1993-07-26 1995-07-18 Hauck; Bruce Magnetic field generator and detector position indicator
FR2709020B1 (en) * 1993-08-13 1995-09-08 Thomson Csf Method for interconnecting three-dimensional semiconductor wafers, and component resulting therefrom.
EP0713609B1 (en) 1993-08-13 2003-05-07 Irvine Sensors Corporation Stack of ic chips as substitute for single ic chip
US5362986A (en) 1993-08-19 1994-11-08 International Business Machines Corporation Vertical chip mount memory package with packaging substrate and memory chip pairs
US5793115A (en) 1993-09-30 1998-08-11 Kopin Corporation Three dimensional processor using transferred thin film circuits
US5413659A (en) * 1993-09-30 1995-05-09 Minnesota Mining And Manufacturing Company Array of conductive pathways
JP2980497B2 (en) 1993-11-15 1999-11-22 株式会社東芝 Method of manufacturing dielectric-isolated bipolar transistor
US5552995A (en) * 1993-11-24 1996-09-03 The Trustees Of The Stevens Institute Of Technology Concurrent engineering design tool and method
US5583688A (en) 1993-12-21 1996-12-10 Texas Instruments Incorporated Multi-level digital micromirror device
US5457879A (en) 1994-01-04 1995-10-17 Motorola, Inc. Method of shaping inter-substrate plug and receptacles interconnects
US5502333A (en) * 1994-03-30 1996-03-26 International Business Machines Corporation Semiconductor stack structures and fabrication/sparing methods utilizing programmable spare circuit
US5426378A (en) * 1994-04-20 1995-06-20 Xilinx, Inc. Programmable logic device which stores more than one configuration and means for switching configurations
EP0769209B1 (en) * 1994-07-05 2003-10-15 Infineon Technologies AG Method of manufacturing three-dimensional circuits
KR960009074A (en) 1994-08-29 1996-03-22 모리시다 요이치 Semiconductor device and manufacturing method thereof
US5555212A (en) 1994-09-19 1996-09-10 Kabushiki Kaisha Toshiba Method and apparatus for redundancy word line replacement in a semiconductor memory device
DE4433845A1 (en) 1994-09-22 1996-03-28 Fraunhofer Ges Forschung Method of manufacturing a three-dimensional integrated circuit
DE4433833A1 (en) 1994-09-22 1996-03-28 Fraunhofer Ges Forschung Method for producing a three-dimensional integrated circuit while achieving high system yields
US5644144A (en) 1994-09-23 1997-07-01 Advanced Micro Devices, Inc. Device and method for programming a logic level within an integrated circuit using multiple mask layers
US5567654A (en) * 1994-09-28 1996-10-22 International Business Machines Corporation Method and workpiece for connecting a thin layer to a monolithic electronic module's surface and associated module packaging
JP2806277B2 (en) * 1994-10-13 1998-09-30 日本電気株式会社 Semiconductor device and manufacturing method thereof
US6124179A (en) * 1996-09-05 2000-09-26 Adamic, Jr.; Fred W. Inverted dielectric isolation process
US5577050A (en) 1994-12-28 1996-11-19 Lsi Logic Corporation Method and apparatus for configurable build-in self-repairing of ASIC memories design
US5534465A (en) * 1995-01-10 1996-07-09 At&T Corp. Method for making multichip circuits using active semiconductor substrates
US5644277A (en) 1995-02-27 1997-07-01 Hughes Aircraft Company Three-wire-line vertical interconnect structure for multilevel substrates
JPH08288424A (en) 1995-04-18 1996-11-01 Nec Corp Semiconductor device
US5666288A (en) * 1995-04-21 1997-09-09 Motorola, Inc. Method and apparatus for designing an integrated circuit
US5514628A (en) 1995-05-26 1996-05-07 Texas Instruments Incorporated Two-step sinter method utilized in conjunction with memory cell replacement by redundancies
US5914504A (en) * 1995-06-16 1999-06-22 Imec Vzw DRAM applications using vertical MISFET devices
JPH0917919A (en) 1995-06-29 1997-01-17 Fujitsu Ltd Semiconductor device
US5582939A (en) 1995-07-10 1996-12-10 Micron Technology, Inc. Method for fabricating and using defect-free phase shifting masks
US5777379A (en) 1995-08-18 1998-07-07 Tessera, Inc. Semiconductor assemblies with reinforced peripheral regions
US5675185A (en) 1995-09-29 1997-10-07 International Business Machines Corporation Semiconductor structure incorporating thin film transistors with undoped cap oxide layers
US5854760A (en) 1995-11-10 1998-12-29 Nippon Telegraph And Telephone Corporation Two-dimensional PE array, content addressable memory, data transfer method and mathematical morphology processing method
US5627112A (en) * 1995-11-13 1997-05-06 Rockwell International Corporation Method of making suspended microstructures
US5608249A (en) 1995-11-16 1997-03-04 Micron Technology, Inc. Reduced area storage node junction
US5818748A (en) 1995-11-21 1998-10-06 International Business Machines Corporation Chip function separation onto separate stacked chips
US6445006B1 (en) 1995-12-20 2002-09-03 Advanced Technology Materials, Inc. Microelectronic and microelectromechanical devices comprising carbon nanotube components, and methods of making same
US5763943A (en) * 1996-01-29 1998-06-09 International Business Machines Corporation Electronic modules with integral sensor arrays
US5787445A (en) 1996-03-07 1998-07-28 Norris Communications Corporation Operating system including improved file management for use in devices utilizing flash memory as main memory
SG74580A1 (en) 1996-03-08 2000-08-22 Hitachi Ltd Semiconductor ic device having a memory and a logic circuit implemented with a single chip
US5719437A (en) 1996-04-19 1998-02-17 Lucent Technologies Inc. Smart cards having thin die
US5656552A (en) 1996-06-24 1997-08-12 Hudak; John James Method of making a thin conformal high-yielding multi-chip module
US5847929A (en) 1996-06-28 1998-12-08 International Business Machines Corporation Attaching heat sinks directly to flip chips and ceramic chip carriers
US5760478A (en) * 1996-08-20 1998-06-02 International Business Machines Corporation Clock skew minimization system and method for integrated circuits
US5745076A (en) 1996-09-05 1998-04-28 Northrop Grumman Corporation Transmit/receive module for planar active apertures
US5930150A (en) * 1996-09-06 1999-07-27 Lucent Technologies Inc. Method and system for designing and analyzing optical application specific integrated circuits
US5834162A (en) 1996-10-28 1998-11-10 Regents Of The University Of California Process for 3D chip stacking
US5786116A (en) * 1997-02-14 1998-07-28 Micron Technology, Inc. Atom lithographic mask having diffraction grating aligned with primary mask pattern
US5914616A (en) * 1997-02-26 1999-06-22 Xilinx, Inc. FPGA repeatable interconnect structure with hierarchical interconnect lines
US5994166A (en) * 1997-03-10 1999-11-30 Micron Technology, Inc. Method of constructing stacked packages
DE19721738C1 (en) * 1997-05-24 1998-11-05 Schott Glas Aluminosilicate glass for flat displays and uses
JP3012555B2 (en) 1997-05-29 2000-02-21 神戸日本電気ソフトウェア株式会社 Polyhedral IC package
US6097096A (en) 1997-07-11 2000-08-01 Advanced Micro Devices Metal attachment method and structure for attaching substrates at low temperatures
JP3268740B2 (en) * 1997-08-20 2002-03-25 株式会社東芝 ASIC design / manufacturing method, standard cell, embedded array, and multi-chip package
WO1999018611A1 (en) * 1997-10-08 1999-04-15 Cardiac Pacemakers, Inc. Stacked integrated circuits using tape automated bonding within an implantable medical device
CA2218307C (en) * 1997-10-10 2006-01-03 Gennum Corporation Three dimensional packaging configuration for multi-chip module assembly
NO308149B1 (en) 1998-06-02 2000-07-31 Thin Film Electronics Asa Scalable, integrated data processing device
US5998069A (en) 1998-02-27 1999-12-07 Micron Technology, Inc. Electrically programmable photolithography mask
US6114986A (en) * 1998-03-04 2000-09-05 Northrop Grumman Corporation Dual channel microwave transmit/receive module for an active aperture of a radar system
US6034633A (en) * 1998-03-04 2000-03-07 Northrop Grumman Corporation Transmit/receive module having multiple transmit/receive paths with shared circuitry
US6092174A (en) 1998-06-01 2000-07-18 Context, Inc. Dynamically reconfigurable distributed integrated circuit processor and method
JP3563604B2 (en) * 1998-07-29 2004-09-08 株式会社東芝 Multi-chip semiconductor device and memory card
US6301653B1 (en) 1998-10-14 2001-10-09 Conexant Systems, Inc. Processor containing data path units with forwarding paths between two data path units and a unique configuration or register blocks
US6261728B1 (en) * 1998-10-19 2001-07-17 Vanguard International Semiconductor Corporation Mask image scanning exposure method
US6392304B1 (en) 1998-11-12 2002-05-21 United Memories, Inc. Multi-chip memory apparatus and associated method
US6320593B1 (en) 1999-04-20 2001-11-20 Agilent Technologies, Inc. Method of fast bi-cubic interpolation of image information
US6300935B1 (en) 1999-04-20 2001-10-09 Agilent Technologies, Inc. Image interpolation circuit architecture and method for fast bi-cubic interpolation of image information
US6376909B1 (en) * 1999-09-02 2002-04-23 Micron Technology, Inc. Mixed-mode stacked integrated circuit with power supply circuit part of the stack
US6376904B1 (en) 1999-12-23 2002-04-23 Rambus Inc. Redistributed bond pads in stacked integrated circuit die package
US6437990B1 (en) * 2000-03-20 2002-08-20 Agere Systems Guardian Corp. Multi-chip ball grid array IC packages
US6633078B2 (en) * 2000-03-21 2003-10-14 Mitsubishi Denki Kabushiki Kaisha Semiconductor device, method for manufacturing an electronic equipment, electronic equipment and portable information terminal
US6236602B1 (en) * 2000-05-25 2001-05-22 Robert Patti Dynamic configuration of storage arrays
JP3265301B2 (en) 2000-06-05 2002-03-11 株式会社東芝 Semiconductor device and manufacturing method thereof
JP2002052015A (en) * 2000-08-07 2002-02-19 Shimadzu Corp Plane radiation detector unit and radiographic apparatus
US6699265B1 (en) * 2000-11-03 2004-03-02 Cardiac Pacemakers, Inc. Flat capacitor for an implantable medical device
US7456077B2 (en) * 2000-11-03 2008-11-25 Cardiac Pacemakers, Inc. Method for interconnecting anodes and cathodes in a flat capacitor
US6509588B1 (en) * 2000-11-03 2003-01-21 Cardiac Pacemakers, Inc. Method for interconnecting anodes and cathodes in a flat capacitor
JP2002158312A (en) * 2000-11-17 2002-05-31 Oki Electric Ind Co Ltd Semiconductor package for three-dimensional mounting, its manufacturing method and semiconductor device
US6734539B2 (en) * 2000-12-27 2004-05-11 Lucent Technologies Inc. Stacked module package
US20020089044A1 (en) * 2001-01-09 2002-07-11 3M Innovative Properties Company Hermetic mems package with interlocking layers
JP4443063B2 (en) * 2001-02-28 2010-03-31 株式会社日立製作所 Field effect transistor and image display device using the same
US6816616B2 (en) * 2001-05-31 2004-11-09 Webex Communications, Inc. Header compression for image data stream
KR20030018642A (en) * 2001-08-30 2003-03-06 주식회사 하이닉스반도체 Stack chip module
US6747347B2 (en) * 2001-08-30 2004-06-08 Micron Technology, Inc. Multi-chip electronic package and cooling system
DE10200561B4 (en) * 2002-01-09 2006-11-23 Eads Deutschland Gmbh Radar system with a phased array antenna
US6807731B2 (en) 2002-04-02 2004-10-26 Delphi Technologies, Inc. Method for forming an electronic assembly
US6806559B2 (en) 2002-04-22 2004-10-19 Irvine Sensors Corporation Method and apparatus for connecting vertically stacked integrated circuit chips
JP4554152B2 (en) 2002-12-19 2010-09-29 株式会社半導体エネルギー研究所 Manufacturing method of semiconductor chip
US7354798B2 (en) * 2002-12-20 2008-04-08 International Business Machines Corporation Three-dimensional device fabrication method
US7230316B2 (en) 2002-12-27 2007-06-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device having transferred integrated circuit
JP2004214258A (en) 2002-12-27 2004-07-29 Renesas Technology Corp Semiconductor module
US7479349B2 (en) * 2002-12-31 2009-01-20 Cardiac Pacemakers, Inc. Batteries including a flat plate design
US6873057B2 (en) * 2003-02-14 2005-03-29 United Microelectrtonics Corp. Damascene interconnect with bi-layer capping film
US20040245617A1 (en) * 2003-05-06 2004-12-09 Tessera, Inc. Dense multichip module
US20090014897A1 (en) 2003-05-15 2009-01-15 Kumamoto Technology & Industry Foundation Semiconductor chip package and method of manufacturing the same
JP2004363573A (en) 2003-05-15 2004-12-24 Kumamoto Technology & Industry Foundation Semiconductor chip mounted body and its manufacturing method
US7309923B2 (en) * 2003-06-16 2007-12-18 Sandisk Corporation Integrated circuit package having stacked integrated circuits and method therefor
US6977435B2 (en) * 2003-09-09 2005-12-20 Intel Corporation Thick metal layer integrated process flow to improve power delivery and mechanical buffering
US7521785B2 (en) 2003-12-23 2009-04-21 Tessera, Inc. Packaged systems with MRAM
KR100627006B1 (en) 2004-04-01 2006-09-25 삼성전자주식회사 Indent chip, semiconductor package and multi chip package using the same
US7224575B2 (en) * 2004-07-16 2007-05-29 Cardiac Pacemakers, Inc. Method and apparatus for high voltage aluminum capacitor design
US7098070B2 (en) 2004-11-16 2006-08-29 International Business Machines Corporation Device and method for fabricating double-sided SOI wafer scale package with through via connections
US7419873B2 (en) * 2004-11-24 2008-09-02 Cardiac Pacemakers, Inc. Method and apparatus for providing flexible partially etched capacitor electrode interconnect
US7511664B1 (en) * 2005-04-08 2009-03-31 Raytheon Company Subassembly for an active electronically scanned array
US7443652B2 (en) * 2005-04-22 2008-10-28 Cardiac Pacemakers, Inc. Method and apparatus for connecting electrodes having apertures
US7206191B2 (en) * 2005-05-09 2007-04-17 Cardiac Pacemakers, Inc. Method and apparatus for electrically isolating capacitor electrodes using separator
US7919844B2 (en) 2005-05-26 2011-04-05 Aprolase Development Co., Llc Tier structure with tier frame having a feedthrough structure
JP4738971B2 (en) * 2005-10-14 2011-08-03 Okiセミコンダクタ株式会社 Semiconductor device and manufacturing method thereof
EP1949433B1 (en) * 2005-11-11 2011-08-03 Koninklijke Philips Electronics N.V. Method of manufacturing a plurality of semiconductor devices and carrier substrate
US20070176297A1 (en) * 2006-01-31 2007-08-02 Tessera, Inc. Reworkable stacked chip assembly

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3430835A (en) * 1966-06-07 1969-03-04 Westinghouse Electric Corp Wire bonding apparatus for microelectronic components
US3559282A (en) * 1967-04-25 1971-02-02 Motorola Inc Method for making thin semiconductor dice
US3560364A (en) * 1968-10-10 1971-02-02 Ibm Method for preparing thin unsupported films of silicon nitride
US3636358A (en) * 1968-12-02 1972-01-18 Siemens Ag Integrated optical-electronic solid-state system having two superimposed circuit planes linked by optical and/or electronic and horizontal and/or vertical connections
US3716429A (en) * 1970-06-18 1973-02-13 Rca Corp Method of making semiconductor devices
US3868565A (en) * 1973-07-30 1975-02-25 Jack Kuipers Object tracking and orientation determination means, system and process
US4070230A (en) * 1974-07-04 1978-01-24 Siemens Aktiengesellschaft Semiconductor component with dielectric carrier and its manufacture
US3932932A (en) * 1974-09-16 1976-01-20 International Telephone And Telegraph Corporation Method of making multilayer printed circuit board
US4196232A (en) * 1975-12-18 1980-04-01 Rca Corporation Method of chemically vapor-depositing a low-stress glass layer
US4142004A (en) * 1976-01-22 1979-02-27 Bell Telephone Laboratories, Incorporated Method of coating semiconductor substrates
US4251909A (en) * 1976-06-29 1981-02-24 U.S. Philips Corporation Method of manufacturing a target assembly for a camera tube
US4131985A (en) * 1976-08-31 1979-01-02 Itt Industries, Inc. Thin silicon devices
US4249302A (en) * 1978-12-28 1981-02-10 Ncr Corporation Multilayer printed circuit board
US4262631A (en) * 1979-10-01 1981-04-21 Kubacki Ronald M Thin film deposition apparatus using an RF glow discharge
US4500905A (en) * 1981-09-30 1985-02-19 Tokyo Shibaura Denki Kabushiki Kaisha Stacked semiconductor device with sloping sides
US4566037A (en) * 1981-12-25 1986-01-21 Nippon Kogaku K.K. Solid-state area imaging apparatus
US4585991A (en) * 1982-06-03 1986-04-29 Texas Instruments Incorporated Solid state multiprobe testing apparatus
US4724328A (en) * 1985-02-12 1988-02-09 Siemens Aktiengesellschaft Lithographic apparatus for the production of microstructures
US4983251A (en) * 1985-06-20 1991-01-08 U.S. Philips Corporation Method of manufacturing semiconductor devices
US4810889A (en) * 1985-12-27 1989-03-07 Canon Kabushiki Kaisha Fine circuit pattern drawing apparatus and method
US4897708A (en) * 1986-07-17 1990-01-30 Laser Dynamics, Inc. Semiconductor wafer array
US4810673A (en) * 1986-09-18 1989-03-07 Texas Instruments Incorporated Oxide deposition method
US4841483A (en) * 1986-12-15 1989-06-20 Kabushiki Kaisha Toshiba Semiconductor memory
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4892753A (en) * 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
USRE36623E (en) * 1986-12-19 2000-03-21 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4721938A (en) * 1986-12-22 1988-01-26 Delco Electronics Corporation Process for forming a silicon pressure transducer
US5010024A (en) * 1987-03-04 1991-04-23 Advanced Micro Devices, Inc. Passivation for integrated circuit structures
US4825277A (en) * 1987-11-17 1989-04-25 Motorola Inc. Trench isolation process and structure
US4994735A (en) * 1988-05-16 1991-02-19 Leedy Glenn J Flexible tester surface for testing integrated circuits
US5512397A (en) * 1988-05-16 1996-04-30 Leedy; Glenn J. Stepper scanner discretionary lithography and common mask discretionary lithography for integrated circuits
US5725995A (en) * 1988-05-16 1998-03-10 Elm Technology Corporation Method of repairing defective traces in an integrated circuit structure
US6838896B2 (en) * 1988-05-16 2005-01-04 Elm Technology Corporation Method and system for probing, testing, burn-in, repairing and programming of integrated circuits in a closed environment using a single apparatus
US5103557A (en) * 1988-05-16 1992-04-14 Leedy Glenn J Making and testing an integrated circuit using high density probe points
US4994336A (en) * 1988-05-31 1991-02-19 Siemens Aktiengesellschaft Method for manufacturing a control plate for a lithographic device
USRE34893E (en) * 1988-06-08 1995-04-04 Nippondenso Co., Ltd. Semiconductor pressure sensor and method of manufacturing same
US5008619A (en) * 1988-11-18 1991-04-16 Amp-Akzo Corporation Multilevel circuit board precision positioning
US5188706A (en) * 1989-03-18 1993-02-23 Kabushiki Kaisha Toshiba Method of manufacturing an x-ray exposure mask and device for controlling the internal stress of thin films
US4990462A (en) * 1989-04-12 1991-02-05 Advanced Micro Devices, Inc. Method for coplanar integration of semiconductor ic devices
US5293457A (en) * 1989-05-15 1994-03-08 Mitsubishi Denki Kabushiki Kaisha Neural network integrated circuit device having self-organizing function
US4919749A (en) * 1989-05-26 1990-04-24 Nanostructures, Inc. Method for making high resolution silicon shadow masks
US5098865A (en) * 1989-11-02 1992-03-24 Machado Jose R High step coverage silicon oxide thin films
US5045921A (en) * 1989-12-26 1991-09-03 Motorola, Inc. Pad array carrier IC device using flexible tape
US5278839A (en) * 1990-04-18 1994-01-11 Hitachi, Ltd. Semiconductor integrated circuit having self-check and self-repair capabilities
US5203731A (en) * 1990-07-18 1993-04-20 International Business Machines Corporation Process and structure of an integrated vacuum microelectronic device
US5357473A (en) * 1990-08-09 1994-10-18 Mitsubishi Denki Kabushiki Kaisha Semiconductor storage system including defective bit replacement
US5595933A (en) * 1991-02-25 1997-01-21 U.S. Philips Corporation Method for manufacturing a cathode
US5283107A (en) * 1991-05-03 1994-02-01 International Business Machines Corporation Modular multilayer interwiring structure
US5279865A (en) * 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
US5284796A (en) * 1991-09-10 1994-02-08 Fujitsu Limited Process for flip chip connecting a semiconductor chip
US5374564A (en) * 1991-09-18 1994-12-20 Commissariat A L'energie Atomique Process for the production of thin semiconductor material films
US5856695A (en) * 1991-10-30 1999-01-05 Harris Corporation BiCMOS devices
US5284804A (en) * 1991-12-31 1994-02-08 Texas Instruments Incorporated Global planarization process
US6682981B2 (en) * 1992-04-08 2004-01-27 Elm Technology Corporation Stress controlled dielectric integrated circuit fabrication
US6714625B1 (en) * 1992-04-08 2004-03-30 Elm Technology Corporation Lithography device for semiconductor circuit pattern generation
US5592007A (en) * 1992-04-08 1997-01-07 Leedy; Glenn J. Membrane dielectric isolation transistor fabrication
US5592018A (en) * 1992-04-08 1997-01-07 Leedy; Glenn J. Membrane dielectric isolation IC fabrication
US6713327B2 (en) * 1992-04-08 2004-03-30 Elm Technology Corporation Stress controlled dielectric integrated circuit fabrication
US5869354A (en) * 1992-04-08 1999-02-09 Elm Technology Corporation Method of making dielectrically isolated integrated circuit
US7670893B2 (en) * 1992-04-08 2010-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Membrane IC fabrication
US6017658A (en) * 1992-05-13 2000-01-25 The United States Of America As Represented By The Secretary Of The Navy Lithographic mask and method for fabrication thereof
US6355976B1 (en) * 1992-05-14 2002-03-12 Reveo, Inc Three-dimensional packaging technology for multi-layered integrated circuits
US5489554A (en) * 1992-07-21 1996-02-06 Hughes Aircraft Company Method of making a 3-dimensional circuit assembly having electrical contacts that extend through the IC layer
US5374569A (en) * 1992-09-21 1994-12-20 Siliconix Incorporated Method for forming a BiCDMOS
US5385632A (en) * 1993-06-25 1995-01-31 At&T Laboratories Method for manufacturing integrated semiconductor devices
US5399505A (en) * 1993-07-23 1995-03-21 Motorola, Inc. Method and apparatus for performing wafer level testing of integrated circuit dice
US5502667A (en) * 1993-09-13 1996-03-26 International Business Machines Corporation Integrated multichip memory module structure
US5385909A (en) * 1993-11-22 1995-01-31 American Home Products Corporation Heterocyclic esters of rapamycin
US5606186A (en) * 1993-12-20 1997-02-25 Mitsubishi Denki Kabushiki Kaisha Semiconductor integrated circuit including opposed substrates of different semiconductor materials and method of manufacturing the semiconductor integrated circuit
US5615163A (en) * 1993-12-21 1997-03-25 Kabushiki Kaisha Toshiba Semiconductor memory device
US5481133A (en) * 1994-03-21 1996-01-02 United Microelectronics Corporation Three-dimensional multichip package
US5480842A (en) * 1994-04-11 1996-01-02 At&T Corp. Method for fabricating thin, strong, and flexible die for smart cards
US5880010A (en) * 1994-07-12 1999-03-09 Sun Microsystems, Inc. Ultrathin electronics
US5745673A (en) * 1994-09-21 1998-04-28 Texas Instruments Incorporated Memory architecture for solid state discs
US5868949A (en) * 1994-11-14 1999-02-09 Hitachi, Ltd. Metalization structure and manufacturing method thereof
US5715144A (en) * 1994-12-30 1998-02-03 International Business Machines Corporation Multi-layer, multi-chip pyramid and circuit board structure
US5703747A (en) * 1995-02-22 1997-12-30 Voldman; Steven Howard Multichip semiconductor structures with interchip electrostatic discharge protection, and fabrication methods therefore
US5733814A (en) * 1995-04-03 1998-03-31 Aptek Industries, Inc. Flexible electronic card and method
US6020257A (en) * 1995-06-07 2000-02-01 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5620915A (en) * 1995-07-12 1997-04-15 United Microelectronics Corporation Method for bypassing null-code sections for read-only memory by access line control
US5736448A (en) * 1995-12-04 1998-04-07 General Electric Company Fabrication method for thin film capacitors
US6518073B2 (en) * 1996-01-25 2003-02-11 Kabushiki Kaisha Toshiba Method for testing semiconductor memory devices, and apparatus and system for testing semiconductor memory devices
US6194245B1 (en) * 1996-03-18 2001-02-27 Sony Corporation Method for making thin film semiconductor
US5882532A (en) * 1996-05-31 1999-03-16 Hewlett-Packard Company Fabrication of single-crystal silicon structures using sacrificial-layer wafer bonding
US5870176A (en) * 1996-06-19 1999-02-09 Sandia Corporation Maskless lithography
US20010013423A1 (en) * 1996-10-31 2001-08-16 Hormazdyar M. Dalal Flip chip attach on flexible circuit carrier using chip with metallic cap on solder
US6045625A (en) * 1996-12-06 2000-04-04 Texas Instruments Incorporated Buried oxide with a thermal expansion matching layer for SOI
US6208545B1 (en) * 1997-04-04 2001-03-27 Glenn J. Leedy Three dimensional structure memory
US7504732B2 (en) * 1997-04-04 2009-03-17 Elm Technology Corporation Three dimensional structure memory
US7193239B2 (en) * 1997-04-04 2007-03-20 Elm Technology Corporation Three dimensional structure integrated circuit
US7474004B2 (en) * 1997-04-04 2009-01-06 Elm Technology Corporation Three dimensional structure memory
US20090067210A1 (en) * 1997-04-04 2009-03-12 Leedy Glenn J Three dimensional structure memory
US6050832A (en) * 1998-08-07 2000-04-18 Fujitsu Limited Chip and board stress relief interposer
US6197456B1 (en) * 1999-01-19 2001-03-06 Lsi Logic Corporation Mask having an arbitrary complex transmission function
US6335491B1 (en) * 2000-02-08 2002-01-01 Lsi Logic Corporation Interposer for semiconductor package assembly
US20030011032A1 (en) * 2000-12-14 2003-01-16 Taku Umebayashi Semiconductor device and it's manufacturing method
US20040000708A1 (en) * 2001-10-26 2004-01-01 Staktek Group, L.P. Memory expansion and chip scale stacking system and method
US20050023656A1 (en) * 2002-08-08 2005-02-03 Leedy Glenn J. Vertical system integration
US20110042829A1 (en) * 2008-12-12 2011-02-24 Qualcomm Incorporated IC Interconnect

Cited By (278)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8629542B2 (en) 1997-04-04 2014-01-14 Glenn J. Leedy Three dimensional structure memory
US8410617B2 (en) 1997-04-04 2013-04-02 Elm Technology Three dimensional structure memory
US8824159B2 (en) 1997-04-04 2014-09-02 Glenn J. Leedy Three dimensional structure memory
US8653672B2 (en) 1997-04-04 2014-02-18 Glenn J Leedy Three dimensional structure memory
US9401183B2 (en) 1997-04-04 2016-07-26 Glenn J. Leedy Stacked integrated memory device
US20090174082A1 (en) * 1997-04-04 2009-07-09 Glenn J Leedy Three dimensional structure memory
US20090219772A1 (en) * 1997-04-04 2009-09-03 Leedy Glenn J Three dimensional structure memory
US20090219742A1 (en) * 1997-04-04 2009-09-03 Leedy Glenn J Three dimensional structure memory
US8933570B2 (en) 1997-04-04 2015-01-13 Elm Technology Corp. Three dimensional structure memory
US8318538B2 (en) 1997-04-04 2012-11-27 Elm Technology Corp. Three dimensional structure memory
US8928119B2 (en) 1997-04-04 2015-01-06 Glenn J. Leedy Three dimensional structure memory
US8791581B2 (en) 1997-04-04 2014-07-29 Glenn J Leedy Three dimensional structure memory
US8907499B2 (en) 1997-04-04 2014-12-09 Glenn J Leedy Three dimensional structure memory
US8841778B2 (en) 1997-04-04 2014-09-23 Glenn J Leedy Three dimensional memory structure
US8288206B2 (en) 1997-04-04 2012-10-16 Elm Technology Corp Three dimensional structure memory
US8796862B2 (en) 1997-04-04 2014-08-05 Glenn J Leedy Three dimensional memory structure
US8035233B2 (en) 1997-04-04 2011-10-11 Elm Technology Corporation Adjacent substantially flexible substrates having integrated circuits that are bonded together by non-polymeric layer
US9087556B2 (en) 1997-04-04 2015-07-21 Glenn J Leedy Three dimension structure memory
US20110198672A1 (en) * 1997-04-04 2011-08-18 Leedy Glenn J Three dimensional structure memory
US20080251941A1 (en) * 2002-08-08 2008-10-16 Elm Technology Corporation Vertical system integration
US8587102B2 (en) * 2002-08-08 2013-11-19 Glenn J Leedy Vertical system integration
US8080442B2 (en) * 2002-08-08 2011-12-20 Elm Technology Corporation Vertical system integration
US8269327B2 (en) * 2002-08-08 2012-09-18 Glenn J Leedy Vertical system integration
US20130163310A1 (en) * 2004-04-06 2013-06-27 Bao Tran Resistive memory
US8653497B2 (en) * 2004-04-06 2014-02-18 Bao Tran Resistive memory
US9165979B2 (en) * 2004-04-06 2015-10-20 Bao Tran Resistive memory
US7728383B2 (en) * 2005-05-31 2010-06-01 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device having a first base, a thin film transistor, and a second base
US20090057680A1 (en) * 2005-05-31 2009-03-05 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US20070226091A1 (en) * 2006-03-16 2007-09-27 Lucent Technologies Inc. Systems and methods for determining cost targets for cost reduction projects
US20070226092A1 (en) * 2006-03-16 2007-09-27 Lucent Technologies Inc. Systems and methods for managing cost reduction projects to increase cost savings for replacement subcomponents
US7729878B2 (en) * 2006-05-09 2010-06-01 Formfactor, Inc. Air bridge structures and methods of making and using air bridge structures
US20090051378A1 (en) * 2006-05-09 2009-02-26 Formfactor, Inc. Air Bridge Structures And Methods Of Making And Using Air Bridge Structures
US7904770B2 (en) * 2008-09-09 2011-03-08 Qualcomm Incorporated Testing circuit split between tiers of through silicon stacking chips
US20100060312A1 (en) * 2008-09-09 2010-03-11 Qualcomm Incorporated Testing Circuit Split Between Tiers of Through Silicon Stacking Chips
US7966581B2 (en) * 2008-10-16 2011-06-21 Seagate Technology Llc Generic non-volatile service layer
US20100100857A1 (en) * 2008-10-16 2010-04-22 Seagate Technology Llc Generic non-volatile service layer
US8234528B2 (en) * 2009-01-12 2012-07-31 Micron Technology, Inc. Systems and methods for monitoring a memory system
US20120023376A1 (en) * 2009-01-12 2012-01-26 Jeddeloh Joe M Systems and methods for monitoring a memory system
US8032804B2 (en) * 2009-01-12 2011-10-04 Micron Technology, Inc. Systems and methods for monitoring a memory system
US8601332B2 (en) 2009-01-12 2013-12-03 Micron Technology, Inc. Systems and methods for monitoring a memory system
US20100180150A1 (en) * 2009-01-12 2010-07-15 Micron Technology, Inc. Systems and methods for monitoring a memory system
US8220330B2 (en) 2009-03-24 2012-07-17 Freescale Semiconductor, Inc. Vertically integrated MEMS sensor device with multi-stimulus sensing
US20100242603A1 (en) * 2009-03-24 2010-09-30 Freescale Semiconductor, Inc. Vertically integrated mems sensor device with multi-stimulus sensing
US8405420B2 (en) 2009-04-14 2013-03-26 Monolithic 3D Inc. System comprising a semiconductor device and structure
US9412645B1 (en) 2009-04-14 2016-08-09 Monolithic 3D Inc. Semiconductor devices and structures
US8378494B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8427200B2 (en) 2009-04-14 2013-04-23 Monolithic 3D Inc. 3D semiconductor device
US8378715B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method to construct systems
US8987079B2 (en) 2009-04-14 2015-03-24 Monolithic 3D Inc. Method for developing a custom device
US8373439B2 (en) 2009-04-14 2013-02-12 Monolithic 3D Inc. 3D semiconductor device
US8362482B2 (en) 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US9711407B2 (en) 2009-04-14 2017-07-18 Monolithic 3D Inc. Method of manufacturing a three dimensional integrated circuit by transfer of a mono-crystalline layer
US8384426B2 (en) 2009-04-14 2013-02-26 Monolithic 3D Inc. Semiconductor device and structure
US8754533B2 (en) 2009-04-14 2014-06-17 Monolithic 3D Inc. Monolithic three-dimensional semiconductor device and structure
US9577642B2 (en) 2009-04-14 2017-02-21 Monolithic 3D Inc. Method to form a 3D semiconductor device
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
US8315793B2 (en) * 2009-06-03 2012-11-20 Honeywell International Inc. Integrated micro-electro-mechanical systems (MEMS) sensor device
US20100312468A1 (en) * 2009-06-03 2010-12-09 Honeywell International Inc. Integrated micro-electro-mechanical systems (mems) sensor device
US8712571B2 (en) * 2009-08-07 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for wireless transmission of diagnostic information
US20110035043A1 (en) * 2009-08-07 2011-02-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for wireless transmission of diagnostic information
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US8907442B2 (en) 2009-10-12 2014-12-09 Monolthic 3D Inc. System comprising a semiconductor device and structure
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US20110233617A1 (en) * 2009-10-12 2011-09-29 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8664042B2 (en) 2009-10-12 2014-03-04 Monolithic 3D Inc. Method for fabrication of configurable systems
US8148728B2 (en) * 2009-10-12 2012-04-03 Monolithic 3D, Inc. Method for fabrication of a semiconductor device and structure
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US8237228B2 (en) 2009-10-12 2012-08-07 Monolithic 3D Inc. System comprising a semiconductor device and structure
US8395191B2 (en) 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US9406670B1 (en) 2009-10-12 2016-08-02 Monolithic 3D Inc. System comprising a semiconductor device and structure
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US8294159B2 (en) 2009-10-12 2012-10-23 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US8492886B2 (en) 2010-02-16 2013-07-23 Monolithic 3D Inc 3D integrated circuit with logic
US9564432B2 (en) 2010-02-16 2017-02-07 Monolithic 3D Inc. 3D semiconductor device and structure
US8846463B1 (en) 2010-02-16 2014-09-30 Monolithic 3D Inc. Method to construct a 3D semiconductor device
US8709880B2 (en) 2010-07-30 2014-04-29 Monolithic 3D Inc Method for fabrication of a semiconductor device and structure
US8912052B2 (en) 2010-07-30 2014-12-16 Monolithic 3D Inc. Semiconductor device and structure
US8642416B2 (en) 2010-07-30 2014-02-04 Monolithic 3D Inc. Method of forming three dimensional integrated circuit devices using layer transfer technique
US8461035B1 (en) 2010-09-30 2013-06-11 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8703597B1 (en) 2010-09-30 2014-04-22 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9419031B1 (en) 2010-10-07 2016-08-16 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US8956959B2 (en) 2010-10-11 2015-02-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device with two monocrystalline layers
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US8440542B2 (en) 2010-10-11 2013-05-14 Monolithic 3D Inc. Semiconductor device and structure
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US8203148B2 (en) 2010-10-11 2012-06-19 Monolithic 3D Inc. Semiconductor device and structure
US9818800B2 (en) 2010-10-11 2017-11-14 Monolithic 3D Inc. Self aligned semiconductor device and structure
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US8476145B2 (en) 2010-10-13 2013-07-02 Monolithic 3D Inc. Method of fabricating a semiconductor device and structure
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US8753913B2 (en) 2010-10-13 2014-06-17 Monolithic 3D Inc. Method for fabricating novel semiconductor and optoelectronic devices
US8823122B2 (en) 2010-10-13 2014-09-02 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US8373230B1 (en) 2010-10-13 2013-02-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8362800B2 (en) 2010-10-13 2013-01-29 Monolithic 3D Inc. 3D semiconductor device including field repairable logics
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US8379458B1 (en) 2010-10-13 2013-02-19 Monolithic 3D Inc. Semiconductor device and structure
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11374042B1 (en) 2010-10-13 2022-06-28 Monolithic 3D Inc. 3D micro display semiconductor device and structure
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US8273610B2 (en) 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US9136153B2 (en) 2010-11-18 2015-09-15 Monolithic 3D Inc. 3D semiconductor device and structure with back-bias
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US8536023B2 (en) 2010-11-22 2013-09-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device and structure
US8541819B1 (en) 2010-12-09 2013-09-24 Monolithic 3D Inc. Semiconductor device and structure
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US8901613B2 (en) 2011-03-06 2014-12-02 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8450804B2 (en) 2011-03-06 2013-05-28 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8653377B2 (en) 2011-04-05 2014-02-18 Raytheon Company Microelectronic assemblies
US8581349B1 (en) 2011-05-02 2013-11-12 Monolithic 3D Inc. 3D memory semiconductor device and structure
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
US9219005B2 (en) 2011-06-28 2015-12-22 Monolithic 3D Inc. Semiconductor system and device
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
US8687399B2 (en) 2011-10-02 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US9030858B2 (en) 2011-10-02 2015-05-12 Monolithic 3D Inc. Semiconductor device and structure
US9197804B1 (en) 2011-10-14 2015-11-24 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US9029173B2 (en) 2011-10-18 2015-05-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9000557B2 (en) 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US9305867B1 (en) 2012-04-09 2016-04-05 Monolithic 3D Inc. Semiconductor devices and structures
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US8836073B1 (en) 2012-04-09 2014-09-16 Monolithic 3D Inc. Semiconductor device and structure
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US8574929B1 (en) 2012-11-16 2013-11-05 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US8686428B1 (en) 2012-11-16 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US8742476B1 (en) 2012-11-27 2014-06-03 Monolithic 3D Inc. Semiconductor device and structure
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US8921970B1 (en) 2012-12-22 2014-12-30 Monolithic 3D Inc Semiconductor device and structure
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US9252134B2 (en) 2012-12-22 2016-02-02 Monolithic 3D Inc. Semiconductor device and structure
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US9911627B1 (en) 2012-12-29 2018-03-06 Monolithic 3D Inc. Method of processing a semiconductor device
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US9460991B1 (en) 2012-12-29 2016-10-04 Monolithic 3D Inc. Semiconductor device and structure
US9460978B1 (en) 2012-12-29 2016-10-04 Monolithic 3D Inc. Semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US8803206B1 (en) 2012-12-29 2014-08-12 Monolithic 3D Inc. 3D semiconductor device and structure
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11515413B2 (en) 2013-03-11 2022-11-29 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11121246B2 (en) 2013-03-11 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US9496271B2 (en) 2013-03-11 2016-11-15 Monolithic 3D Inc. 3DIC system with a two stable state memory and back-bias region
US11004967B1 (en) 2013-03-11 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US10355121B2 (en) 2013-03-11 2019-07-16 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US10964807B2 (en) 2013-03-11 2021-03-30 Monolithic 3D Inc. 3D semiconductor device with memory
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US9726716B2 (en) * 2013-03-13 2017-08-08 Glenn J Leedy Configurable vertical integration
US20140361806A1 (en) * 2013-03-13 2014-12-11 Glenn J. Leedy Configurable Vertical Integration
US9804221B2 (en) * 2013-03-13 2017-10-31 Glenn J Leedy Configurable vertical integration
US20150130500A1 (en) * 2013-03-13 2015-05-14 Glenn J. Leedy Configurable Vertical Integration
US9862594B2 (en) 2013-03-15 2018-01-09 Versana Micro Inc. Wearable device having a monolithically integrated multi-sensor device on a semiconductor substrate and method therefor
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
US9758368B2 (en) 2013-03-15 2017-09-12 Versana Micro Inc Monolithically integrated multi-sensor device on a semiconductor substrate and method therefor
US10508026B2 (en) 2013-03-15 2019-12-17 Versana Micro Inc. Monolithically integrated multi-sensor device on a semiconductor substrate and method therefor
US9580302B2 (en) 2013-03-15 2017-02-28 Versana Micro Inc. Cell phone having a monolithically integrated multi-sensor device on a semiconductor substrate and method therefor
US9327965B2 (en) 2013-03-15 2016-05-03 Versana Micro Inc Transportation device having a monolithically integrated multi-sensor device on a semiconductor substrate and method therefor
US9890038B2 (en) 2013-03-15 2018-02-13 Versana Micro Inc. Monolithically integrated multi-sensor device on a semiconductor substrate and method therefor
US10280074B2 (en) 2013-03-15 2019-05-07 Versana Micro Inc Monolithically integrated multi-sensor device on a semiconductor substrate and method therefor
US9266717B2 (en) 2013-03-15 2016-02-23 Versana Micro Inc Monolithically integrated multi-sensor device on a semiconductor substrate and method therefor
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US11174154B2 (en) 2013-03-15 2021-11-16 Versana Micro Inc. Monolithically integrated multi-sensor device on a semiconductor substrate and method therefor
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US10127344B2 (en) 2013-04-15 2018-11-13 Monolithic 3D Inc. Automation for monolithic 3D devices
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US10515981B2 (en) 2015-09-21 2019-12-24 Monolithic 3D Inc. Multilevel semiconductor device and structure with memory
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11937422B2 (en) 2021-07-04 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US11935949B1 (en) 2023-11-12 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells

Also Published As

Publication number Publication date
US8587102B2 (en) 2013-11-19
US8269327B2 (en) 2012-09-18
US20080254572A1 (en) 2008-10-16
WO2004015764A2 (en) 2004-02-19
US20080251941A1 (en) 2008-10-16
US20180132055A1 (en) 2018-05-10
AU2003255254A8 (en) 2004-02-25
AU2003255254A1 (en) 2004-02-25
US20140134950A1 (en) 2014-05-15
US20140021639A1 (en) 2014-01-23
US7402897B2 (en) 2008-07-22
US20180132056A1 (en) 2018-05-10
US20080237591A1 (en) 2008-10-02
US20090194768A1 (en) 2009-08-06
US8080442B2 (en) 2011-12-20
WO2004015764A3 (en) 2004-11-04
US20050023656A1 (en) 2005-02-03

Similar Documents

Publication Publication Date Title
US20180132056A1 (en) Vertical system integration
US20170330876A1 (en) Vertical system integration
US20160155722A1 (en) Vertical system integration
TWI787503B (en) Method of manufacturing a 3d semiconductor wafer
US8362482B2 (en) Semiconductor device and structure
US11600586B2 (en) 3D semiconductor devices and structures with electronic circuit units
US9029173B2 (en) Method for fabrication of a semiconductor device and structure
US20130193488A1 (en) Novel semiconductor device and structure
US11270988B2 (en) 3D semiconductor device(s) and structure(s) with electronic control units
CN111384027A (en) Die interconnect scheme providing high yield process for high performance microprocessors
US11488939B2 (en) 3D semiconductor devices and structures with at least one vertical bus
US11854857B1 (en) Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11800725B1 (en) 3D semiconductor devices and structures with electronic circuit units
EP4282002A1 (en) 3d semiconductor device and structure
US20240096798A1 (en) 3d semiconductor devices and structures with electronic circuit units
US20230413586A1 (en) 3d semiconductor devices and structures with electronic circuit units
US20230205094A1 (en) Simulating die rotation to minimize area overhead of reticle stitching for stacked dies
CN116581103A (en) Three-dimensional stacked semiconductor chip architecture and method of fabricating the same
Burns et al. An SOI-Based 3D circuit integration technology

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- AFTER EXAMINER'S ANSWER OR BOARD OF APPEALS DECISION