US20080274607A1 - Semiconductor device and fabrication process thereof - Google Patents

Semiconductor device and fabrication process thereof Download PDF

Info

Publication number
US20080274607A1
US20080274607A1 US12/213,759 US21375908A US2008274607A1 US 20080274607 A1 US20080274607 A1 US 20080274607A1 US 21375908 A US21375908 A US 21375908A US 2008274607 A1 US2008274607 A1 US 2008274607A1
Authority
US
United States
Prior art keywords
layer
gas
semiconductor substrate
gate electrode
etching process
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/213,759
Inventor
Hikaru Kokura
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Semiconductor Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to US12/213,759 priority Critical patent/US20080274607A1/en
Publication of US20080274607A1 publication Critical patent/US20080274607A1/en
Assigned to FUJITSU MICROELECTRONICS LIMITED reassignment FUJITSU MICROELECTRONICS LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: FUJITSU LIMITED
Assigned to FUJITSU SEMICONDUCTOR LIMITED reassignment FUJITSU SEMICONDUCTOR LIMITED CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: FUJITSU MICROELECTRONICS LIMITED
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled

Abstract

A method of fabricating a semiconductor device includes the steps of modifying a damaged layer containing carbon and formed at a semiconductor surface by exposing the damaged layer to oxygen radicals to form a modified layer, and removing the modified layer by a wet etching process, wherein the modifying step is conducted by adding an active specie of an element that would obstruct formation of double bond between a Si atom and an oxygen atom by causing a chemical bond with Si atoms on the semiconductor surface.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • The present application is based on Japanese priority application No. 2004-247143 filed on Aug. 26, 2004, the entire contents of which are hereby incorporated by reference.
  • BACKGROUND OF THE INVENTION
  • The present invention generally relates to fabrication of semiconductor devices and more particularly to a fabrication method of a semiconductor device having a very shallow junction.
  • With recent highly miniaturized, ultra-fast semiconductor devices, having a gate length of typically 90 nm or less, such as the one having the gate length of 50 nm or 40 nm, the diffusion regions constituting the source and drain regions of the transistor have a very shallow depth of about 20 nm or less.
  • When fabricating such a semiconductor device having a very shallow junction, particular caution has to be taken in the dry etching process that exposes the diffusion region.
  • With regard to the dry etching process used in the fabrication process of a MOS transistor, there can be two situations in which the dry etching process causes exposure of the diffusion regions, the first being the one used for forming a contact hole in an insulation film, and the other being the one used for forming sidewall insulation films on the sidewall surfaces of the gate electrode. In any of these processes, it is generally practiced to carry out the etching process of the insulation film by using a fluorocarbon (CF) family gas or hydrofluorocarbon (CHF) family gas for the etching gas.
  • At the time of the dry etching of an insulation film with an etching gas of the CF or CHF family gas, plasma is used for causing dissociation in the etching gas to form radicals or ions of active species such as F (fluorine) contained in the etching gas, and the ions of the active species thus formed are caused to react upon the substrate to be processed by accelerating the same by using a substrate bias electric field. Further, at the time of such an etching process, it is generally practiced to carry out so-called overetching, in view of possible variation of the film thickness or variation of the etching rate, in which the etching is continued for a predetermined duration after the silicon substrate surface is exposed, such that the silicon surface constituting the diffusion region is exposed completely.
  • On the other hand, with such an overetching process, it is generally unavoidable that the silicon surface is etched more or less as a result of the action of the etching gas to the exposed silicon surface. Particularly, the silicon surface is tend to be etched by F.
  • In view of the situation noted above, there is an increasing tendency to use gases of high C (carbon) proportion such as C4F8 or C4F6, for the CF or CHF family etching gas, for suppressing the etching of the silicon surface and thus increasing the etching selectivity at the time of the overetching process. By using such an etching gas of high C proportion, there is caused deposition of C on the silicon surface exposed by the etching, and the undesirable etching of the silicon surface at the time of the overetching is suppressed.
  • (Patent Reference 1) Japanese Laid-Open Patent Application 8-78352 (Patent Reference 2) Japanese Laid-Open Patent Application 9-129602
  • (Non-Patent Reference 1) K. Hashimi et al., Jpn. J. Appl. Phys. vol. 35, (1996), pp. 2494
  • SUMMARY OF THE INVENTION
  • In an aspect of the present invention, there is provided a method of fabricating a semiconductor device, comprising the steps of:
  • modifying a damaged layer containing carbon and formed at a semiconductor surface by exposing said damaged layer to oxygen radicals to form a modified layer; and
  • removing said modified layer by a wet etching process,
  • said modifying step being conducted by adding to said damaged layer an active specie of an element that would obstruct formation of double bond between a Si atom and an oxygen atom by causing a chemical bond with Si atoms on said semiconductor surface.
  • In another aspect of the present invention, there is provided a semiconductor device, comprising:
  • a semiconductor substrate;
  • a gate electrode formed on a principal surface of said semiconductor device via a gate insulation film;
  • source and drain regions formed on said semiconductor substrate at respective lateral sides of said gate electrode; and
  • stepped parts formed at respective lateral sides of sidewall insulation films formed at respective sidewall surfaces of said gate electrode, said stepped parts having a step height of 5 nm or less.
  • According to the present invention, it becomes possible to facilitate conversion of the damaged layer to the modified layer removable by wet etching, as compared with the case of using oxygen radicals alone, by adding, with a suitable amount, an element that would obstruct formation of double bond between a Si atom and an oxygen atom by causing preferential bonding with Si atoms on the semiconductor surface. Thereby, by conducting the modifying step such that there is caused no etching in the damaged layer, it becomes possible to avoid formation of the stepped part to have a step height beyond the initial thickness of the damaged layer, and it becomes possible to avoid formation of steps at the semiconductor surface with such a step height that would cause influence upon the operational characteristics of the semiconductor device. The present invention is particularly useful in the fabrication of ultrahigh-speed semiconductor devices fabricated by using very small ion injection energy at the time of ion implantation process of impurity elements, which is comparable with the energy of the etching gas species acting upon the substrate at the time of dry etching process.
  • Other objects and further features of the present invention will become apparent from the following detailed description when read in conjunction with the attached drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1A-1D are diagrams showing the formation process of a contact plug according to the related art of the present invention;
  • FIG. 2 is a diagram explaining the problems associated with the related art of FIG. 1;
  • FIGS. 3A-3D are diagrams for fabricating a semiconductor device according to another related art of the present invention;
  • FIGS. 4A-4C are diagrams explaining further related art of the present invention;
  • FIGS. 5A-5C are diagrams explaining the problems associated with the related art of FIGS. 4A-4D;
  • FIG. 6 is another diagram explaining the problems associated with the related art of FIGS. 4A-4D;
  • FIG. 7 is a diagram showing the construction of a plasma processing apparatus used with the present invention;
  • FIGS. 8A-8C are diagrams showing a substrate processing method according to a first embodiment of the present invention;
  • FIG. 9 is a diagram showing the evaluation of the substrate processing method of FIGS. 8A-8C;
  • FIGS. 10A-10J are diagrams showing the fabrication process of a semiconductor device according to a second embodiment of the present invention;
  • FIG. 11 is a diagram showing a modification of the second embodiment of the present invention;
  • FIG. 12 is a diagram showing the characteristics of the semiconductor device according to a second embodiment of the present invention;
  • FIG. 13 is another diagram showing the characteristics of the semiconductor device of the second embodiment;
  • FIGS. 14A-14F are diagrams showing the fabrication process of a semiconductor device according to a third embodiment of the present invention;
  • DETAILED DESCRIPTION OF THE INVENTION
  • On the other hand, in the case such a CF or CHF family etching gas containing C with large proportion is used, there arises a problem in that C deposited on the silicon surface and preventing the etching of the exposed silicon surface is not simply deposited on the exposed silicon surface but is in fact impinged thereto as a result of the function of the electric field caused by the substrate biasing, and thus, there is formed a damaged layer (deteriorated layer) at the exposed surface of the silicon substrate, wherein it should be noted that the damaged layer contains SiC formed by the impinged C atoms causing a chemical bond with a Si atom on the silicon surface. Such an SiC layer has a large resistivity and causes increase of contact resistance in the metal plug formed in contact with the exposed silicon surface. Such increase of the contact resistance thus causes unwanted decrease of operational speed of the semiconductor device.
  • FIGS. 1A-1D show an example of formation of the damaged layer in such a contact hole.
  • Referring to FIG. 1A, there is formed a diffusion region 11 a of p-type or n-type in a silicon substrate 11, and an insulation film 12 of SiO2, or the like, is formed on the surface of the silicon substrate 11.
  • In the step of FIG. 1A, there is formed a resist pattern 13 having an opening 13A on the insulation film 12, and the insulation film 12 is removed by a dry etching process that uses an etching gas of the CHF family, while using the resist pattern 13 as a mask. As a result, there is formed a contact hole 12A in the insulation film 12 in correspondence to the resist opening 13A.
  • FIG. 1B shows the state in which the surface of the silicon substrate 11 is just exposed as a result of the foregoing dry etching process, wherein it will be noted that there remains a residue 12 x of the insulation film 12 formed as a result of non-uniformity of the etching.
  • Thus, in the step of FIG. 1C, the overetching is conducted by continuing the dry etching process. With this, the residual insulation film 12 x is removed completely, while such an overetching process also causes implantation of C contained in the etching gas into the silicon substrate 11. Thus, there is formed a damaged layer 11 b containing C at the surface of the silicon substrate 11 in correspondence to the bottom part of the contact hole 12A. In such a damaged layer 11 b containing C, it is believed that the C atoms thus implanted form SiC by causing a chemical bond with the Si atoms in the silicon substrate 11. It should be noted that formation of such a damaged layer becomes particularly conspicuous in the step of contact-hole formation of FIG. 1B when the etching gas of high C concentration such as C4F8 or C4F6 for securing etching selectivity against the silicon substrate is used.
  • Further, in the step of FIG. 1D, the contact hole 12A is filled with a metal such as W. Further, by removing excess metal film by a chemical mechanical polishing process or the like, there is formed a contact plug 14 in contact with the diffusion region 11 a via the SiC layer 11 b. In the example of FIG. 1D, it will be noted that there is formed an adhesion/diffusion barrier layer 14A of Ta/TaN structure between the contact plug 14 and the silicon substrate 11 or the insulation film 12.
  • FIG. 2 shows the change of sheet resistance of the diffusion region 11 a associated with the formation of the damaged layer 11 b containing SiC.
  • In FIG. 2, it should be noted that the vertical axis represents the sheet resistance of the diffusion region 11 a formed with the damaged layer 11 b, while the horizontal axis represents the thickness of the damaged layer 11 b.
  • Referring to FIG. 2, the sheet resistance, and hence the contact resistance, takes the value of about 0.6 kΩ/sq in the case there exists no such a damaged layer 11 b, while in the case the damaged layer 11 b is formed with the thickness of 4 nm, it can be seen that the sheet resistance increases to about 3.6 k Ω/sq.
  • It should be noted that formation of similar SiC layer is caused also in the case of forming the sidewall insulation films at both sidewall surfaces of the gate electrode.
  • FIGS. 3A-3D show the fabrication process of a semiconductor device including the formation process of such sidewall insulation films.
  • Referring to FIG. 3A, there is formed a polysilicon gate electrode 23 on a silicon substrate 21 of p-type or n-type via a gate insulation film 22, wherein there is provided an insulation film 24 of Si3N4, SiO2 or SiON on the silicon substrate 21 by a CVD process, or the like, so as to cover the gate electrode 23 in conformity with the shape of the gate electrode 23.
  • Next, in the step of FIG. 3B, an anisotropic etching process acting generally perpendicularly to the silicon substrate 21 is applied to the insulation film 24 of FIG. 3A by using an etching gas of CF family or CHF family, such that the insulation film 24 is removed from the surface of the gate electrode 23 and from the surface of the silicon substrate 21. Here, it should be noted that FIG. 3B shows the state just the insulation film 24 has been removed from these surfaces, wherein it will be noted that there remain residues 24 x of the insulation film 24 on the surface of the gate electrode 23 or on the surface of the silicon substrate 21 due to the non-uniformity of etching. Again, it should be noted that a gas of high C concentration such as C4F8 or C4F6 is used as the foregoing CF family gas or CHF family gas for securing sufficient etching selectivity between the insulation film 24 and the silicon substrate 21.
  • Further, in order to remove the foregoing residues 24 x of FIG. 3B, an overetching is conducted in the step of FIG. 3C by continuing the dry etching process of FIG. 3B. With this overetching, on the other hand, there occurs implantation of C in the etching gas into the polysilicon gate electrode 23 and the silicon substrate 21, and as a result, there is formed a damaged layer 25 containing SiC, in which C is bonded with Si, at the surface of the polysilicon gate electrode 23 and at the exposed surface of the silicon substrate 21.
  • It should be noted that the damaged layer 25 thus formed not only causes increase of diffusion regions resistance and contact resistance as explained with reference to FIG. 3, but also capturing or blockade of impurity element introduced in the ion implantation process of FIG. 3D. Thereby, the impurity concentration is reduced inevitably in the gate electrode 23 or in the diffusion regions formed at both lateral sides of the gate electrode 23.
  • More specifically, in the step of FIG. 3D, the impurity element of n-type or p-type is introduced to the structure of FIG. 3C and the polysilicon gate electrode 23 is doped to the n-type or p-type as a result. At the same time, as a result of the ion implantation process of FIG. 3D, diffusion regions 21 a and 21 b of the same conductivity type are formed in the silicon substrate 21 at respective outer sides of the polysilicon gate electrode 23.
  • Here, it should be noted that, because of existence of the damaged layer 25 formed at the surface part of these regions, the impurity element thus injected are captured at least partly by the damaged layer 25. Thereby, the impurity element does not reach the gate electrode 23 or the diffusion regions 21 a and 21 b with sufficient amount, and thus, there can be caused problems in that the impurity concentration does not reach the predetermined concentration level in the gate electrode 23 and in the diffusion regions 21 a and 21 b.
  • In order to deal with this problem, Japanese Laid-Open Patent Publication 8-78352 proposes the technology of applying an O2 RIE process to the damaged layer 25 and converts the same to an SiO2 layer. The damaged layer thus converted to the SiO2 layer is then removed by a wet etching process or the like in an HF etchant.
  • FIGS. 4A-4C show the processing according to the foregoing conventional proposal, wherein those parts corresponding to the parts described previously are designated by the same reference numerals and the description thereof will be omitted.
  • Referring to FIG. 4A, this state corresponds to the state of FIG. 3C, and thus, the damaged layer 25 is formed on the surface of the silicon substrate 21 and on the surface of the polysilicon gate electrode 23.
  • Thus, in the step of FIG. 4B, oxygen ions are injected into the structure of FIG. 4A by conducting an O2 RIE processing, and the damaged layer 25 is converted to an SiO2 layer 25A.
  • Further, by removing the SiO2 layer 25A thus formed by a wet etching process in the step of FIG. 4C, the part corresponding to the damaged layer 25 is removed. Thereby, the substrate 21 is removed at the part located outside the sidewall insulation films 24A and 24B, and as a result, there is formed a stepped part 21G in correspondence to the part thus removed by the wet etching process.
  • However, with such an O2 RIE processing conducted under substrate biasing, it is difficult to control the injection depth of the oxygen ions, and the oxygen ions penetrate deeply into the silicon substrate 21 or into the polysilicon gate electrode 23 beyond the desired depth as indicated in FIGS. 5A-5C by arrows. Thereby, there is a substantial risk that the depth of the stepped part 21G formed in the silicon substrate 21 after the wet etching process is increased. Here, it should be noted that FIGS. 5A-5C correspond to FIGS. 4A-4C, respectively.
  • Thus, in the case a semiconductor device is formed by conducing ion implantation of impurity element into the structure having such a stepped part 21G, there appears a parasitic resistance R at such a stepped part 21G as represented in FIG. 6. Thereby, the operational speed of the semiconductor device is deteriorated. In FIG. 6, those parts corresponding to the parts explained previously are designated by the same reference numerals and the description thereof will be omitted. It should be noted that such a problem appears particularly conspicuously in the ultrahigh-speed semiconductor devices having a gate length of 90 nm or less.
  • Further, in such ultrahigh-speed semiconductor devices, it is generally practiced to form a pocket injection region 21 p prior to the formation of the sidewall insulation films 24A and 24B as shown in FIG. 6 for suppressing short-channel effect by injecting an impurity element of the same conductivity type as the channel impurity element obliquely right underneath the gate electrode, while the effect of the pocket injection region 21 p of suppressing the short channel effect is vanished when the amount of etching in the step of FIG. 5C is larger than the thickness of the pocket injection region 21 p and the stepped part 21G goes through the pocket region 21 p.
  • FIRST EMBODIMENT
  • FIG. 7 shows the construction of a down-flow plasma processing apparatus 40 used with the present invention.
  • Referring to FIG. 7, the down-flow plasma processing apparatus 40 includes a processing vessel 41 evacuated at an evacuation port 41B and defining a processing spate 41A, wherein a stage 41C is provided in the processing vessel 41 for supporting a substrate W to be processed.
  • In the processing vessel 41, there is further provided a showerhead 43 so as to face the substrate W to be processed, wherein gas lines 44A, 44B, 44C, 44D and 44E are connected to the showerhead 43 respectively for supplying a rare gas such as Ar, a fluorocarbon gas such as CF4, an oxygen gas, a hydrogen gas and a nitrogen gas. Further, a microwave power is supplied to the showerhead 43 from a microwave source 45, and an RF power is supplied to the stage 41C from an RF source 46. The showerhead 43 is formed of a stainless steel and holds therein a showerhead body 43A of a quartz glass.
  • In the investigation constituting the foundation of the present invention, the inventor of the present invention has conducted experiments of modifying a damaged layer containing SiC and formed on a silicon substrate by using the apparatus 40 of FIG. 7 and further removing the same by a wet etching process.
  • FIGS. 8A-8C explain the foregoing experiments.
  • Referring to FIG. 8A, a silicon substrate 60 is introduced into the processing vessel 41 of the plasma processing apparatus 40 of FIG. 7 and is placed on the stage 41C as a wafer W.
  • Next, the processing vessel 41 is evacuated such that the processing pressure in the processing space 41A is set to about 10 Pa.
  • Further, an Ar gas is introduced into the processing space 41A from the gas line 44A with a flow rate of 500 SCCM, and microwave plasma is formed in the processing space 41A by supplying a microwave power of 2.45 GHz to the showerhead 43 from the microwave source 45 with a power of 1000 W.
  • Further, an RF power of 200 kHz-100 MHz is supplied to the stage 41C from the RF source 46, and as a result, a D.C. bias is formed in the substrate W to be processed.
  • In this state, a CF gas or CHF gas having a high carbon concentration such as C4F8 is introduced into the processing space 41A from the gas line 44B, and a process corresponding to the overetching process of FIG. 1C is applied to the surface of the silicon substrate 60. With this, a damaged layer 61 containing SiC is formed on the surface of the silicon substrate 60 with the thickness of 5 nm.
  • Next, in the step of FIG. 8B, the RF source 46 is deenergized and the processing space 41A is purged with an Ar gas from the line 44A. Further, while maintaining the processing space 41A to the processing pressure of 500 Pa, a modifying step of the damaged layer 61 is conducted by supplying the Ar gas from the gas line 44A, the CF4 gas from the gas line 44B, the oxygen gas from the gas line 44C, the hydrogen gas from the gas line 44D and the nitrogen gas from the gas line 44E into the processing space 41A.
  • In the step of FIG. 8B, it should be noted that no substrate bias is applied to the substrate W to be processed. Thus, there occurs no acceleration of ions in the plasma by the biasing electric field applied to the substrate W to be processed, and thus, there occurs no collision of accelerated ions with the substrate W in the step of FIG. 8B.
  • Thereby, the damaged layer 61 at the surface of the substrate 60 is exposed to the oxygen radicals O* formed from the oxygen gas, hydrogen radicals H* formed from the hydrogen gas, fluorine radicals F* formed from the CF4 gas and the nitrogen radicals N* formed from the nitrogen gas, and as a result, the damaged layer 61 undergoes a chemical modification process, causing a conversion of the same partly or totally to a modified layer 61A primarily formed of SiO2.
  • Further, in the step of FIG. 8C, the silicon substrate 60 having the modified layer 61A is subjected to a wet etching process of HF, and the modified layer 61A is removed selectively with regard to the silicon substrate 60. In the example of FIG. BC, it can be seen that there remains a damaged layer 61 not modified on the silicon substrate 60.
  • FIG. 9 shows the thickness of the modified layer 61A for various combinations of the gases supplied in the step of FIG. 8B, together with the thickness of the modification layer 61A for the state in which the wet etching step of FIG. 8C is conducted. In FIG. 9, the vertical axis represents the thickness of the modified layer 61A on the silicon substrate 60, while the horizontal axis represents the combination of the gases. In FIG. 9, the black graph represents the thickness of the modified layer 61A in the state of FIG. 8B, while the white graph represents the thickness of the modified layer 61A in the state of FIG. 8C. Further, the grey graph represents the thickness of the modified layer 61A in the case the duration of the wet etching process is increased further in the step of FIG. BC.
  • In FIG. 9, it should be noted that the experiment (I) represents the result in which the modifying step of FIG. 8B is conducted by solely supplying the oxygen gas of the gas line 44C with the flow rate of 1000 SCCM, while the experiment (II) represents the result in which the modifying step of FIG. 8B is conducted by supplying, in addition to the oxygen gas from the gas line 44C with the flow rate of 1000 SCCM, the CF4 gas in the gas line 44B with the flow rate of 10 SCCM, the hydrogen gas in the gas line 44D with the flow rate of 10 SCCM, and the nitrogen gas in the gas line 44E with the flow rate of 500 SCCM. Further, the experiment (III) represents the result for the case in which the modifying step of FIG. 8B is conducted by supplying, in addition to the oxygen gas from the gas line 44C with the flow rate of 1000 SCCM, the CF4 gas in the gas line 44B with a larger flow rate of 50 SCCM, the hydrogen gas in the gas line 44D with the flow rate of 10 SCCM and the nitrogen gas in the gas line 44E with the flow rate of 500 SCCM, while the experiment (IV) represents the result for the case in which the modifying step of FIG. 8B is conducted by supplying, in addition to the oxygen gas from the gas line 44C with the flow rate of 1000 SCCM, the hydrogen gas in the gas line 44D with the flow rate of 10 SCCM, the nitrogen gas in the gas line 44E with the flow rate of 500 SCCM. Contrary to this the experiment (V) of FIG. 9 represents the result in which the modifying step of FIG. 8B is conducted by supplying, in addition to the oxygen gas from the gas line 44C with the flow rate of 1000 SCCM, the CF4 gas in the gas line 44B with the flow rate of 10 SCCM such that the ratio of the oxygen gas and the CF4 gas becomes 100:1.
  • In all the experiments, it should be noted that the foregoing modifying step is conducted under the processing pressure of 500 Pa while supplying the microwave power of 1000 W to the showerhead 43. Further, as noted before, no substrate bias is applied in the modifying step of FIG. 8B.
  • Referring to FIG. 9, it can be seen that the thickness of the damaged layer 61 has not changed from the initial thickness of 5 nm in the experiments (I)-(IV) even when the modifying step of FIG. 8B is conducted, indicating that there has been caused no thickness loss in the modifying step of FIG. 8B. Contrary to this, in the experiment (V), it can be seen that the initial thickness of 5 nm has been decreased to about 2 nm as a result of the modifying step, indicating that there has been caused a loss of Si at the silicon surface as a result of the modifying step and that there can occur encroachment of the silicon surface when the processing condition of the modifying step is inappropriate.
  • In the experiment (I), on the other hand, it can be seen that the modified layer 61A remains after the wet etching process of FIG. 8C is conducted after the modifying step of FIG. 8B with the thickness of about 3 nm, while this indicates that the modifying reaction has not proceeded sufficiently and the damaged layer 61 of FIG. 8A has been left with the thickness of about 3 nm.
  • In the experiment (II), on the other hand, it will be noted that the thickness of the modified layer 61A remaining on the silicon substrate 60 after the wet etching process has been decreased further by adding the nitrogen gas and the hydrogen gas and the CF4 gas to the oxygen gas, indicating that the modifying reaction has proceeded more effectively. Further, as can be seen in experiment (III), the efficiency of the modifying reaction is improved by increasing the proportion of the CF4 gas. In the experiment (II), it should be noted that the oxygen gas, nitrogen gas, hydrogen gas and the CF4 gas are used with the ratio of 100:50:1:1 (100:1 ratio for the oxygen gas an the CF4 gas), while in the experiment (III), the oxygen gas, the nitrogen gas, the hydrogen gas and the CF4 gas are used with the ratio of 100:50:1:5 (20:1 ratio for the oxygen gas and the CF4 gas).
  • Further, as shown in the experiment (IV), it will be noted that the efficiency of the modifying reaction is improved as compared with the case of the experiment (I) by merely adding the nitrogen gas and the hydrogen gas to the oxygen gas.
  • When such a further gas such as the hydrogen gas or the CF family gas is added to the oxygen radicals, there are formed hydrogen radicals or F radicals in the processing space 41A as a result of the plasma excitation of these added gases, while it is believed that these radicals replace the C atoms in the damaged layer 61 of FIG. 8A promptly and the damaged layer 61 is converted to the HF-soluble film of SiOH or SiOF. Thus, by adding the hydrogen radicals or F radicals having high reactivity with Si and thus obstructing formation of double bond between the Si atoms and the oxygen atoms to the oxygen radicals with a suitable amount in the modifying step of FIG. 8B, formation of stable SiO2 film at the surface of the damaged layer 61 preventing further modification of the interior of the damaged layer 61 is suppressed, and the modification region of FIG. 8B penetrates deeply into the damaged layer 61.
  • Particularly, as can be seen in the experiment (III), the modifying reaction proceeds deeply into the interior of the film by increasing the proportion of the F radicals, and it is thought preferable to increase the amount of the CF gas added in the step of FIG. 8B. On the other hand, it is also indicated from the experiment (V) that excessive F radicals can cause etching of the silicon substrate in the modifying step of FIG. 8B. In this case, there can be caused unwanted formation of stepped part explained previously.
  • SECOND EMBODIMENT
  • FIGS. 10A-10J are diagrams showing the fabrication process of a semiconductor device 80 according to a second embodiment of the present invention for the case of fabricating a p-channel MOS transistor.
  • Referring to FIG. 10A, the semiconductor device 80 is formed on an n-type device region 81 defined on a silicon substrate 81 by an STI device isolation structure 81B, wherein, in the state of FIG. 10A, there is formed a polysilicon gate electrode 83 having a gate length of 90 nm or less such as the one having the gate length of 40 nm on the device region 81A via an SiON gate insulation film 82 having the thickness of 1 nm.
  • Next, in the step of FIG. 10B, P+ (or As+ or Sb+) is introduced into the silicon substrate with an oblique ion implantation process conducted under the acceleration voltage of 30 keV with a dose of 1×1013 cm−2 while using the polysilicon gate electrode 83 as a mask, and as a result, there are formed n-type pocket injection regions 81 p at both lateral sides of the gate electrode 83, such that the respective tip end parts of the pocket injection regions 81 p invade under the channel region right underneath the gate electrode 83.
  • Further, in the step of FIG. 10B, B+ is introduced under the acceleration voltage of 1 keV with the dose of about 1×1015 cm−2 while using the polysilicon gate electrode 83 as a mask, and there are formed a source extension region 81 a and a drain extension region 81 b of p-type at both lateral sides of the gate electrode 83.
  • Further, in the step of FIG. 10C, a silicon oxide film 84 is deposited on the structure of FIG. 10B by a CVD process so as to cover the surface of the silicon substrate 81 and the gate electrode 83, and the silicon oxide film 84 thus deposited is etched back in the step of FIG. 10D by a dry etching process that uses a CF family gas or CHF family gas such as C4F8. With this, sidewall insulation films 84A and 84B are formed on the respective sidewall surfaces of the polysilicon gate electrode 83. This etch back process of FIG. 10C may be conducted by using the plasma processing apparatus such as the down-flow plasma processing apparatus of FIG. 7 while applying a substrate bias to the substrate W to be processed.
  • As a result of such a plasma etching process, there are exposed the surface of the silicon substrate 81 at the respective outer sides of the sidewall insulation films 84A and 84B and also the surface of the polysilicon gate electrode 83. Thereby, carbon in the etching gas is injected to the exposed silicon surface as a result of the substrate bias electric field, and there is formed a damaged layer 85 containing SiC on such a surface with the thickness of several nanometers.
  • Thus, in the present embodiment, there is conducted a modifying process that modifies the damaged layer 85 primarily formed of SiC to a modified layer 85A formed primarily of SiO2 soluble to HF and containing further SiOF or SiOH by using the down-flow plasma processing apparatus 40 of FIG. 7 and by supplying a nitrogen gas, a hydrogen gas and a CF or CHF gas to the processing space 41A in addition to the oxygen gas, without applying the substrate bias. It should be noted that this modifying process can be conducted by the condition similar to the one used with the experiments (II)-(IV) in the modifying process of FIG. 8B explained previously.
  • Next, in the step of FIG. 10F, the structure of FIG. 10E is subjected to a wet etching process in HF and the modified layer 85A is removed.
  • In the step of FIG. 10F, there is formed a stepped part 81G on the surface of the silicon substrate 81 with the wet etching of the modifying layer 85A, while in the present embodiment, the modified layer 85 is not etched during the modifying step of FIG. 10E, and thus, the height of the stepped part 81G never exceeds the initial thickness of the damaged layer 85. In the example of FIG. 10F, it will be noted that there remains a small amount of unreacted damaged layer 85 after the wet etching process by HF as the residual modified layer 85A.
  • Thereby, it should be noted that the thickness of the residual modified layer 85A can be minimized or made zero by optimizing the modifying process of FIG. 10E. Thus, it becomes possible to make the thickness of the residual modified layer 85A after the wet etching process of FIG. 10F to almost zero without etching the modified layer 85. In this case, there occurs no etching of the silicon surface underneath the residual modified layer 85A.
  • Next, in the step of FIG. 10G, there is conducted an ion implantation process of B+ into the silicon substrate 81 under the acceleration electrode of 0.5 keV or less with a high dose of 5.0×1015 cm−2 while using the gate electrode 85 and the sidewall insulation films 84A and 84B as a mask. Thereby, source and drain diffusion regions 81 c and 81 d are formed at respective outer sides of the sidewall insulation films 84A and 84B such that the source diffusion region 81 c partially overlaps with the source extension region 81 and the drain diffusion region 81 d partially overlaps the drain extension region 81 b. At the same time, the polysilicon gate electrode 83 is doped by B+ to a high concentration level.
  • In the step of FIG. 10G, in which the damaged layer 85, formed at the exposed part of the silicon substrate 81 and thus at the source diffusion region 81 c and the drain diffusion region 81 d and further at the exposed part of the gate electrode 83, is removed or partially removed as a result of the wet etching process of FIG. 10F after the modifying step of FIG. 10E, the ion implantation process of FIG. 10G is conducted efficiently and effectively without the problem of the injected impurity element being captured by the modified layer 85. Thus, with the present invention, the source and drain diffusion regions 81 c and 81 d are doped with high concentration and the source resistance of the semiconductor device is reduced successfully. Further, the gate electrode 83 is doped to a high concentration level, and the problem of gate depletion, which tends to occur with such ultrahigh-speed semiconductor devices, can be suppressed effectively.
  • Further, in the step of FIG. 10H, there is formed a silicide layer 86 of cobalt silicide or nickel silicide at the surface of the diffusion regions 81 c and 81 d and further at the surface of the polysilicon gate electrode 83 by a salicide process, and an interlayer insulation film 87 is deposited on the structure of FIG. 10H in the step of FIG. 10I. Thereby, there are formed contact holes 87A and 87B in the interlayer insulation film 87 respectively in correspondence to the diffusion regions 81 c and 81 d in the step of FIG. 10I so as to expose the respective silicide layers 86.
  • Finally, in the step of FIG. 10J, the contact holes 87A and 87B are filled with conductive plugs 88A and 88B.
  • It should be noted that the MOS transistor thus fabricated has advantageous features, in addition to the feature that the source and drain diffusion regions 81 a and 81 b and the polysilicon gate electrode 83 are doped to high concentration level, in that the stepped part formed as a result of the wet etching process of FIG. 10F has a small step height. Thus, there occurs no problem such as the bottom of the stepped part 81G comes close to the bottom edge of the pocket injection region 81 p or the bottom edge of the source and drain extension regions 81 a and 81 b, or goes through the same. Thereby, the problems such as variation of threshold characteristics with decrease of the gate length or increase of the leakage current are suppressed. Further, in the silicide formation step of FIG. 10H, too, the thickness of the damaged layer 85 formed at the surface on which the silicide layer 86 is formed, is decreased, and thus, the defects in the silicide layer 86 is reduced.
  • Further, while not illustrated, a similar process can be used also for fabricating an n-channel MOS transistor.
  • Ideally, the damaged layer 85 is converted to the modified layer 85A for the entire thickness in the step of FIG. 10E and is removed entirely with the step of FIG. 10F, as represented in FIG. 11. It should be noted that FIG. 11 corresponds to FIG. 10F and further explanation thereof will be omitted.
  • While the foregoing explanation was made for the case of using the down-flow plasma processing apparatus 40 of FIG. 7 for the plasma processing apparatus in the modifying process of FIG. 10E, the present invention is by no means limited to such a specific plasma processing apparatus of specific type, and it is also possible to use other plasma processing apparatuses including the apparatus of parallel plate type, ECR type, ICP type, toroidal type, and the like.
  • FIG. 12 shows the relationship between the threshold value Vth and the gate length Lg of a p-channel MOS transistor for the case in which the stepped part 81G formed in the wet etching process of FIG. 10F has come to the depth of about 3 nm under the damaged layer 85 (represented by open circles) and the case in which the stepped part 81G has reached the depth of about 4 nm under the damaged layer 85 (represented by solid circles).
  • Referring to FIG. 12, it can be seen that the variation of the threshold value is very small in the case the stepped part 81G at the silicon substrate surface is about 3 nm as long as the gate length is within the range of 50-90 nm. On the other hand, in the case the stepped part 81G at the silicon substrate surface is about 4 nm, it can be seen that the variation of the threshold value increases sharply when the gate length Lg has become smaller than about 70 nm. This indicates that, with such increase of depth of the stepped part 81G, the surface of the silicon substrate 81 comes close to the bottom edge of the pocket injection region 81 p and the function of the pocket injection region 81 p of suppressing the short channel effect is no longer working effectively.
  • FIG. 13 shows the relationship between the on-current Ion and the gate length Lg for the MOS transistor obtained with the present invention, wherein FIG. 13 shows the results for an n-channel MOS transistor. In FIG. 13, the open circles represent the result for the specimen in which the stepped part 81G has reached the depth of about 3 nm underneath the damaged layer 85, while the solid circles represent the result for the case in which the stepped part 81G has reached the depth of about 4 nm under the damaged layer 85.
  • Referring to FIG. 13, in the case the encroachment (Si loss) underneath the damaged layer 85 is small, it can be seen that the decrease of the on-current with decrease of the gate length is relatively small, while in the case there is a large Si loss, there occurs a remarkable decrease in the on-current. In any of these cases, there can be seen no change of sheet resistance. Thus, it is believed that the result of FIG. 13 reflects the increase of parasitic resistance caused with increase in the step height of the stepped part 81G.
  • Contrary to this, with the present embodiment, the etching does not proceed beyond the bottom edge of the damaged layer 85 in the wet etching process of FIG. 10F, and thus, there is caused no excessive Si loss. Thereby, the short channel effect is suppressed minimum, and it becomes possible to secure stable threshold characteristics and sufficient on-current value even in the case the gate length is reduced to 40 nm or less.
  • THIRD EMBODIMENT
  • FIGS. 14A-14D are diagrams showing the process of forming a contact hole according to a third embodiment of the present invention.
  • Referring to FIG. 14A, there is formed a diffusion region 101 a of p-type or n-type in a silicon substrate 101, and an insulation film 102 of SiO2 or the like is formed on the surface of the silicon substrate 101. Particularly, it should be noted that the diffusion region 101 a of FIG. 14A is formed, in view of possible application thereof to ultrahigh-speed semiconductor devices having the gate length of 90 nm or less, such that bottom edge of the diffusion region is located at a depth of 20 nm or less as measured from the substrate surface.
  • In the step of FIG. 14A, there is formed a resist pattern 103 having an opening 103A on the insulation film 102, and the insulation film 102 is removed by a dry etching process that uses an etching gas of CF or CHF family while using the resist pattern 103 as a mask. With this, there is formed a contact hole 102A in the insulation film 102 in correspondence to the resist opening 103A.
  • FIG. 14B shows the state just after the surface of the silicon substrate 101 is exposed as a result of the foregoing dry etching process. In this case, it will be noted that there remains a residue 102 x of the insulation film 102 at the bottom of the contact hole 102A as a result of non-uniformity of the etching process.
  • Thus, in the step of FIG. 14C, the dry etching process is continued and the overetching is conducted. With this, the residue 102 x of the insulation film 102 is removed completely, while such a process also causes formation of a damaged layer 101 b containing SiC at the surface of the silicon substrate in correspondence to the bottom part of the contact hole 102A as a result of injection of C in the etching gas into the silicon substrate 101.
  • Thus, with present embodiment, a modifying processing is applied to the damaged layer 101 b in the step of FIG. 14D by using the oxygen radicals, hydrogen radicals and the fluorine radicals under the condition such that there is caused no etching in the damaged layer 101 b, and with this, at least a part of the damaged layer 101 b is converted to a modified layer 101 c formed primarily of SiO2 and further containing SiOF or SiOH.
  • Further, in the step of FIG. 14E, the structure of FIG. 14D is treated with a wet etching process in a diluted hydrofluoric acid solution. Thereby the modified layer 101 c is removed.
  • Further, in the step of FIG. 14F, the inner wall surface of the contact hole 12A is covered by an adhesion/diffusion barrier layer 104 of Ta/TaN, or the like, and a contact plug 104 is formed by filling the contact hole 12A with a metal such as W.
  • In the present embodiment, too, the encroachment at the bottom part of the contact hole 12A becomes minimum at the time of the step of removing the modified layer, and thus, it becomes possible to form a contact of low contact resistance while minimizing the effect caused in the shallow diffusion region 101 a. With this, it becomes possible to minimize the wiring resistance in ultrafine high-speed semiconductor devices.
  • Further, while the present invention has been explained heretofore for the example of formation of sidewall insulation films and fine contact holes in ultra-fast semiconductor devices having a gate length of 90 nm or less, it should be noted that the present invention is applicable also to fabrication of various semiconductor devices including DRAMs having-fine contact holes.
  • Further, in the modifying process of the present invention, it is possible to use other F-containing gases such as an SF6 gas, in addition to the fluorocarbon gas as the source of the fluorine radicals.
  • Further, the present invention is not limited to the embodiments described heretofore, but various variations and modifications may be made without departing from the scope of the invention.

Claims (15)

1. A method of fabricating a semiconductor device, comprising the steps of:
forming a gate electrode over a surface of a semiconductor substrate;
depositing a film so as to cover said gate electrode and said surface of said semiconductor substrate;
etching said film to form sidewall insulation films at both sidewalls of said gate electrode by using a gas containing fluorocarbon, such that said surface of said semiconductor substrate is exposed, and said step of etching causes formation of a layer injected with carbon in said exposed surface of said semiconductor substrate; and
exposing said layer to plasma containing oxygen radicals and two or more radicals other than oxygen.
2. The method as claimed in claim 1, wherein said surface of said semiconductor substrate contains Si atoms and wherein said damaged layer contains SiC.
3. The method as claimed in claim 1, wherein said semiconductor substrate comprises a silicon substrate.
4. The method as claimed in claim 1, wherein said step of etching further exposes a polysilicon surface of said gate electrode.
5. The method as claimed in claim 1, wherein said gate electrode has a gate length of 90 nm or less.
6. The method as claimed in claim 1, further comprising a step of etching said layer exposed to said plasma by a wet etching process.
7. The method as claimed in claim 6, further comprising, after said wet etching process, introducing an impurity element into said semiconductor substrate by an ion implantation process.
8. The method as claimed in claim 7, further comprising a step of forming a silicide layer on said semiconductor substrate.
9. The method as claimed in claim 6, wherein said wet etching process is conducted such that there are formed stepped parts at respective lateral sides of said sidewall insulation films.
10. The method as claimed in claim 9, wherein said wet etching process is conducted such that said stepped parts have a step height of 5 nm or less.
11. The method as claimed in claim 9, wherein said wet etching process is conducted such that said stepped parts have a step height of 3 nm or less.
12. The method as claimed in claim 1, wherein said gas containing fluorocarbon contains carbon, fluorine and hydrogen.
13. The method as claimed in claim 1, wherein said two or more radicals other than oxygen are selected from the group consisting of nitrogen, hydrogen and halogen.
14. The method as claimed in claim 13, wherein said halogen is fluorine.
15. A method of fabricating a semiconductor device, comprising the steps of:
depositing a film over a surface of a semiconductor substrate;
etching said film to form a contact hole by using a gas containing fluorocarbon such that said contact hole exposes said surface of said semiconductor substrate, and said step of etching causes formation of a layer injected with carbon; and
exposing said layer to plasma containing oxygen radicals and two or more radicals other than oxygen.
US12/213,759 2004-08-26 2008-06-24 Semiconductor device and fabrication process thereof Abandoned US20080274607A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/213,759 US20080274607A1 (en) 2004-08-26 2008-06-24 Semiconductor device and fabrication process thereof

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2004247143A JP4343798B2 (en) 2004-08-26 2004-08-26 Manufacturing method of semiconductor device
JP2004-247143 2004-08-26
US10/991,498 US7416988B2 (en) 2004-08-26 2004-11-19 Semiconductor device and fabrication process thereof
US12/213,759 US20080274607A1 (en) 2004-08-26 2008-06-24 Semiconductor device and fabrication process thereof

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/991,498 Division US7416988B2 (en) 2004-08-26 2004-11-19 Semiconductor device and fabrication process thereof

Publications (1)

Publication Number Publication Date
US20080274607A1 true US20080274607A1 (en) 2008-11-06

Family

ID=35943917

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/991,498 Active 2025-10-14 US7416988B2 (en) 2004-08-26 2004-11-19 Semiconductor device and fabrication process thereof
US12/213,759 Abandoned US20080274607A1 (en) 2004-08-26 2008-06-24 Semiconductor device and fabrication process thereof

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/991,498 Active 2025-10-14 US7416988B2 (en) 2004-08-26 2004-11-19 Semiconductor device and fabrication process thereof

Country Status (2)

Country Link
US (2) US7416988B2 (en)
JP (1) JP4343798B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120015517A1 (en) * 2010-07-15 2012-01-19 Renesas Electronics Corporation Method of manufacturing semiconductor device

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3975099B2 (en) * 2002-03-26 2007-09-12 富士通株式会社 Manufacturing method of semiconductor device
JP6308067B2 (en) * 2014-07-29 2018-04-11 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device

Citations (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5306671A (en) * 1990-07-09 1994-04-26 Mitsubishi Denki Kabushiki Kaisha Method of treating semiconductor substrate surface and method of manufacturing semiconductor device including such treating method
US5383984A (en) * 1992-06-17 1995-01-24 Tokyo Electron Limited Plasma processing apparatus etching tunnel-type
US5427971A (en) * 1994-02-01 1995-06-27 Goldstar Electron Co., Ltd. Method for fabrication of semiconductor elements
US5480492A (en) * 1992-11-10 1996-01-02 Matsushita Electric Industrial Co., Ltd. Method for removing organic or inorganic contaminant from silicon substrate surface
US5762813A (en) * 1995-03-14 1998-06-09 Nippon Steel Corporation Method for fabricating semiconductor device
US5895245A (en) * 1997-06-17 1999-04-20 Vlsi Technology, Inc. Plasma ash for silicon surface preparation
US5902134A (en) * 1996-08-07 1999-05-11 Matsushita Electronics Corporation Dry etching post-treatment method and method for manufacturing a semiconductor device
US6033990A (en) * 1997-03-12 2000-03-07 Nec Corporation Method for manufacturing a multilevel interconnection structure
US6033952A (en) * 1998-11-30 2000-03-07 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing a semiconductor device
US6037266A (en) * 1998-09-28 2000-03-14 Taiwan Semiconductor Manufacturing Company Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher
US6204192B1 (en) * 1999-03-29 2001-03-20 Lsi Logic Corporation Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures
US6214736B1 (en) * 1998-10-15 2001-04-10 Texas Instruments Incorporated Silicon processing method
US6242350B1 (en) * 1999-03-18 2001-06-05 Taiwan Semiconductor Manufacturing Company Post gate etch cleaning process for self-aligned gate mosfets
US6284664B1 (en) * 1998-09-25 2001-09-04 Mitsubishi Denki Kabushiki Kaisha Semiconductor device, and manufacturing method therefor
US6303482B1 (en) * 2000-06-19 2001-10-16 United Microelectronics Corp. Method for cleaning the surface of a semiconductor wafer
US20020006711A1 (en) * 1995-09-08 2002-01-17 Semiconductor Energy Laboratory Co., Ltd. Japanese Corporation Method of manufacturing a semiconductor device
US20020072233A1 (en) * 2000-12-11 2002-06-13 Yasuhiko Sueyoshi Semiconductor device and method of manufacturing the same
US20020072016A1 (en) * 2000-12-13 2002-06-13 Applied Materials, Inc. Substrate cleaning apparatus and method
US6432830B1 (en) * 1998-05-15 2002-08-13 Applied Materials, Inc. Semiconductor fabrication process
US6455333B1 (en) * 2001-02-28 2002-09-24 Advanced Micro Devices, Inc. Method of achieving stable deep ultraviolet (DUV) resist etch rate for gate critical dimension (CD)
US6469535B1 (en) * 1998-06-10 2002-10-22 Matsushita Electric Industrial Co., Ltd. Method for examining semiconductor substrate, and method for controlling fabrication process of semiconductor devices
US20020160617A1 (en) * 2001-04-26 2002-10-31 Chen Yun Hsiu Method of etching a dielectric layer
US6528423B1 (en) * 2001-10-26 2003-03-04 Lsi Logic Corporation Process for forming composite of barrier layers of dielectric material to inhibit migration of copper from copper metal interconnect of integrated circuit structure into adjacent layer of low k dielectric material
US20030087512A1 (en) * 2001-10-12 2003-05-08 Woo Seock Cheong Method of manufacturing a semiconductor device
US6599438B2 (en) * 1998-01-28 2003-07-29 Anon, Inc. Process for ashing organic materials from substrates
US6638855B1 (en) * 1999-02-10 2003-10-28 Samsung Electronics Co., Ltd. Method of filling contact hole of semiconductor device
US6834656B2 (en) * 2001-05-23 2004-12-28 Axcelis Technology, Inc. Plasma process for removing polymer and residues from substrates
US20060019455A1 (en) * 2004-07-26 2006-01-26 Haowen Bu Transistor fabrication methods using reduced width sidewall spacers
US7094613B2 (en) * 2003-10-21 2006-08-22 Applied Materials, Inc. Method for controlling accuracy and repeatability of an etch process

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3384622B2 (en) 1994-09-06 2003-03-10 三洋電機株式会社 Substrate processing method
JP3422148B2 (en) 1995-10-31 2003-06-30 ソニー株式会社 Method for manufacturing semiconductor device
US6232219B1 (en) * 1998-05-20 2001-05-15 Micron Technology, Inc. Self-limiting method of reducing contamination in a contact opening, method of making contacts and semiconductor devices therewith, and resulting structures
JP2001085392A (en) * 1999-09-10 2001-03-30 Toshiba Corp Manufacture of semiconductor device

Patent Citations (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5306671A (en) * 1990-07-09 1994-04-26 Mitsubishi Denki Kabushiki Kaisha Method of treating semiconductor substrate surface and method of manufacturing semiconductor device including such treating method
US5383984A (en) * 1992-06-17 1995-01-24 Tokyo Electron Limited Plasma processing apparatus etching tunnel-type
US5480492A (en) * 1992-11-10 1996-01-02 Matsushita Electric Industrial Co., Ltd. Method for removing organic or inorganic contaminant from silicon substrate surface
US5427971A (en) * 1994-02-01 1995-06-27 Goldstar Electron Co., Ltd. Method for fabrication of semiconductor elements
US5762813A (en) * 1995-03-14 1998-06-09 Nippon Steel Corporation Method for fabricating semiconductor device
US20020006711A1 (en) * 1995-09-08 2002-01-17 Semiconductor Energy Laboratory Co., Ltd. Japanese Corporation Method of manufacturing a semiconductor device
US5902134A (en) * 1996-08-07 1999-05-11 Matsushita Electronics Corporation Dry etching post-treatment method and method for manufacturing a semiconductor device
US6033990A (en) * 1997-03-12 2000-03-07 Nec Corporation Method for manufacturing a multilevel interconnection structure
US5895245A (en) * 1997-06-17 1999-04-20 Vlsi Technology, Inc. Plasma ash for silicon surface preparation
US6599438B2 (en) * 1998-01-28 2003-07-29 Anon, Inc. Process for ashing organic materials from substrates
US6432830B1 (en) * 1998-05-15 2002-08-13 Applied Materials, Inc. Semiconductor fabrication process
US6469535B1 (en) * 1998-06-10 2002-10-22 Matsushita Electric Industrial Co., Ltd. Method for examining semiconductor substrate, and method for controlling fabrication process of semiconductor devices
US6284664B1 (en) * 1998-09-25 2001-09-04 Mitsubishi Denki Kabushiki Kaisha Semiconductor device, and manufacturing method therefor
US6037266A (en) * 1998-09-28 2000-03-14 Taiwan Semiconductor Manufacturing Company Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher
US6214736B1 (en) * 1998-10-15 2001-04-10 Texas Instruments Incorporated Silicon processing method
US6033952A (en) * 1998-11-30 2000-03-07 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing a semiconductor device
US6638855B1 (en) * 1999-02-10 2003-10-28 Samsung Electronics Co., Ltd. Method of filling contact hole of semiconductor device
US6242350B1 (en) * 1999-03-18 2001-06-05 Taiwan Semiconductor Manufacturing Company Post gate etch cleaning process for self-aligned gate mosfets
US6204192B1 (en) * 1999-03-29 2001-03-20 Lsi Logic Corporation Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures
US6303482B1 (en) * 2000-06-19 2001-10-16 United Microelectronics Corp. Method for cleaning the surface of a semiconductor wafer
US6583059B2 (en) * 2000-12-11 2003-06-24 Sharp Kabushiki Kaisha Semiconductor device and method of manufacturing the same
US20020072233A1 (en) * 2000-12-11 2002-06-13 Yasuhiko Sueyoshi Semiconductor device and method of manufacturing the same
US20020072016A1 (en) * 2000-12-13 2002-06-13 Applied Materials, Inc. Substrate cleaning apparatus and method
US6455333B1 (en) * 2001-02-28 2002-09-24 Advanced Micro Devices, Inc. Method of achieving stable deep ultraviolet (DUV) resist etch rate for gate critical dimension (CD)
US20020160617A1 (en) * 2001-04-26 2002-10-31 Chen Yun Hsiu Method of etching a dielectric layer
US6834656B2 (en) * 2001-05-23 2004-12-28 Axcelis Technology, Inc. Plasma process for removing polymer and residues from substrates
US20030087512A1 (en) * 2001-10-12 2003-05-08 Woo Seock Cheong Method of manufacturing a semiconductor device
US6528423B1 (en) * 2001-10-26 2003-03-04 Lsi Logic Corporation Process for forming composite of barrier layers of dielectric material to inhibit migration of copper from copper metal interconnect of integrated circuit structure into adjacent layer of low k dielectric material
US7094613B2 (en) * 2003-10-21 2006-08-22 Applied Materials, Inc. Method for controlling accuracy and repeatability of an etch process
US20060019455A1 (en) * 2004-07-26 2006-01-26 Haowen Bu Transistor fabrication methods using reduced width sidewall spacers

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120015517A1 (en) * 2010-07-15 2012-01-19 Renesas Electronics Corporation Method of manufacturing semiconductor device
US9337093B2 (en) * 2010-07-15 2016-05-10 Renesas Electronics Corporation Method of manufacturing semiconductor device

Also Published As

Publication number Publication date
US20060046493A1 (en) 2006-03-02
JP4343798B2 (en) 2009-10-14
US7416988B2 (en) 2008-08-26
JP2006066621A (en) 2006-03-09

Similar Documents

Publication Publication Date Title
US7241665B2 (en) Shallow trench isolation
US7232762B2 (en) Method for forming an improved low power SRAM contact
EP1615265B1 (en) Semiconductor device and fabrication method thereof
US7378344B2 (en) Method of manufacturing a semiconductor device including a silicide layer having an NiSi phase provided on source and drain regions
US7033874B2 (en) Method of forming insulating film and method of fabricating semiconductor device including plasma bias for forming a second insulating film
US20050158986A1 (en) Method of forming contact plug on silicide structure
US6468904B1 (en) RPO process for selective CoSix formation
US10347626B2 (en) High quality deep trench oxide
JP3505493B2 (en) Method for manufacturing semiconductor device
JP4377721B2 (en) Manufacturing method of semiconductor device
KR100597768B1 (en) Method for fabricating gate spacer of semiconductor device
US6849532B2 (en) Method of manufacturing a transistor in a semiconductor device
US6864149B2 (en) SOI chip with mesa isolation and recess resistant regions
US20080274607A1 (en) Semiconductor device and fabrication process thereof
US20110001197A1 (en) Method for manufacturing semiconductor device and semiconductor device
KR100408862B1 (en) Method of forming a isolation layer in a semiconductor device
US6887767B2 (en) Method for manufacturing semiconductor device
CN102376636B (en) Method for forming contact hole
US8008216B2 (en) Nitrogen profile in high-K dielectrics using ultrathin disposable capping layers
KR20060031106A (en) Method for manufacturing a semiconductor device
KR101033220B1 (en) Method for forming semiconductor device having a metal gate
JP3962010B2 (en) Manufacturing method of semiconductor device
KR100649025B1 (en) Method for manufacturing of flash memory device
JP2007221160A (en) Semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: FUJITSU MICROELECTRONICS LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:FUJITSU LIMITED;REEL/FRAME:021976/0089

Effective date: 20081104

Owner name: FUJITSU MICROELECTRONICS LIMITED,JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:FUJITSU LIMITED;REEL/FRAME:021976/0089

Effective date: 20081104

AS Assignment

Owner name: FUJITSU SEMICONDUCTOR LIMITED, JAPAN

Free format text: CHANGE OF NAME;ASSIGNOR:FUJITSU MICROELECTRONICS LIMITED;REEL/FRAME:024651/0744

Effective date: 20100401

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION