US20080241384A1 - Lateral flow deposition apparatus and method of depositing film by using the apparatus - Google Patents

Lateral flow deposition apparatus and method of depositing film by using the apparatus Download PDF

Info

Publication number
US20080241384A1
US20080241384A1 US12/058,364 US5836408A US2008241384A1 US 20080241384 A1 US20080241384 A1 US 20080241384A1 US 5836408 A US5836408 A US 5836408A US 2008241384 A1 US2008241384 A1 US 2008241384A1
Authority
US
United States
Prior art keywords
substrate
reactor
reactant gases
reaction
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/058,364
Inventor
Sang Jin Jeong
Dae Youn Kim
Jung Soo Kim
Hyung Sang Park
Chun Soo Lee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Genitech Co Ltd
Original Assignee
Genitech Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Genitech Co Ltd filed Critical Genitech Co Ltd
Assigned to ASM GENITECH KOREA LTD. reassignment ASM GENITECH KOREA LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: JEONG, SANG JIN, KIM, DAE YOUN, KIM, JUNG SOO, LEE, CHUN SOO, PARK, HYUNG SANG
Publication of US20080241384A1 publication Critical patent/US20080241384A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45504Laminar flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Definitions

  • the present invention relates to a lateral flow deposition apparatus and a method of depositing a film by using the apparatus.
  • ALD atomic layer deposition
  • gas pulses consisting of four sequential steps including first reactant gas supply, inert purge gas supply, second reactant gas supply, and inert purge gas supply are repeated.
  • a pulse of a purge gas between the pulses of the different reactants reduces the gas phase reactions of the reactants due to excess amounts of the reactants remaining in the chamber such that a thin film is formed by a surface reaction occurring on the surface of the substrate.
  • a portion of the reactant gases may be activated by plasma.
  • a plasma atomic layer deposition method of generating discontinuous plasma in line with the pulses of the reactant gases in a reaction chamber is disclosed in Korean Patent No. 273473 and U.S. Pat. No. 6,645,574.
  • a lateral flow ALD reactor in which gases flow laterally over and parallel to the surface of a substrate, has been proposed.
  • flow of the gases is rapid and simple and thereby reactant gases can be switched and purged rapidly to reduce time required for supplying process gases sequentially.
  • An example of lateral flow reactor suitable for time-divided gas supplying ALD method and a method of depositing a thin film using the lateral flow reactor have been disclosed in Korean Patent No. 624030 and U.S. Pat. No. 6,539,891.
  • the plasma atomic layer deposition method of generating discontinuous plasma may be applied to the lateral flow reactor by supplying RF power to the electrode in line with the pulses of the reactants gases.
  • lateral flow ALD reactors have been disclosed in U.S. Pat. No. 5,711,811 and U.S. Pat. No. 6,562,140.
  • the reactors have a constant gap between a portion supporting a substrate and another portion facing a surface of the substrate such that gas flowing over the substrate may be constant and maintained substantially laminar.
  • One embodiment is a method of depositing a film over a substrate.
  • the method includes in sequence: flowing one or more reactant gases horizontally over a substrate in a first direction relative to the substrate until a first film having a first thickness is formed over the substrate; stopping flowing the reactant gases over the substrate; and flowing the reactant gases horizontally over the substrate in a second direction relative to the substrate until a second film having a second thickness is formed over the first film.
  • the first thickness is thinner than a target thickness.
  • the second thickness is thinner than the target thickness.
  • the second direction is different from the first direction.
  • Another embodiment is a method of depositing a film over a substrate.
  • the method includes in sequence: flowing one or more reactant gases horizontally over a substrate in a first direction in a first reactor to form a first film over the substrate; transferring the substrate from the first reactor to a second reactor; and flowing the same reactant gases horizontally over the substrate in a second direction in the second reactor to form a second film over the first film.
  • the second direction is different from the first direction relative to the substrate.
  • the first and second films are formed of the same material.
  • Yet another embodiment is an apparatus for depositing a thin film over a substrate.
  • the apparatus includes: a reaction chamber configured to define an enclosed reaction space in which deposition is performed on a substrate.
  • the reaction space is configured to provide a laminar gas flow in a direction over the substrate.
  • the apparatus further includes a driver configured to rotate the substrate while deposition is not performed on the substrate such that the orientation of the substrate relative to the direction of the laminar gas flow is different from the orientation of the substrate before being rotated.
  • the apparatus includes a plurality of reaction chambers. Each of the reaction chambers is configured to define an enclosed reaction space in which deposition is performed on a substrate. The reaction space is configured to provide a laminar gas flow in a direction over the substrate. The reaction chambers are configured to provide the same reactant gases as one another into the reaction spaces.
  • the apparatus also includes a transfer device configured to transfer a substrate from one of the reaction chambers to another of the reaction chambers. The orientation of the substrate relative to the direction of the laminar gas flow in the one reaction chamber is different from the orientation of the substrate relative to the direction of the laminar gas flow in the other reaction chamber.
  • FIG. 1A and FIG. 1B are schematic plan views of a substrate illustrating a method of lateral flow deposition according to one embodiment.
  • FIG. 2A and FIG. 2B are cross-sectional views of a lateral flow deposition apparatus according to one embodiment.
  • FIG. 3 is a cross-sectional view of a lateral flow deposition apparatus according to another embodiment.
  • FIG. 4A is a perspective view of a lateral flow deposition apparatus according to yet another embodiment.
  • FIG. 4B is a top plan view of the lateral flow deposition apparatus of FIG. 4A .
  • FIG. 5 is a schematic top plan view of a lateral flow deposition chamber having four reaction chambers according to yet another embodiment.
  • FIG. 6 is a schematic plan view of a lateral flow deposition apparatus according to yet another embodiment.
  • FIG. 7 is a schematic plan view of a deposition apparatus including four reaction chambers and a robot arm programmed to rotate the substrate between transfers according to yet another embodiment.
  • Films deposited in the lateral flow deposition reaction chambers described above may have a non-uniform thickness.
  • reactants typically flow from an upstream region to a downstream region in a chamber. Because a portion of the reactants is consumed for reaction with the surface of a substrate in the chamber while flowing from the upstream region to the downstream region, reactants are in a higher concentration in the upstream region than in the downstream region. Thus, a portion of the resulting film that is formed in the downstream region may be thinner than another portion of the film that is formed in the upstream region.
  • Such effect is generally referred to as depletion effect.
  • an ALD reaction exhibits non-ideal ALD behavior, such as CVD-type reactions between residual reactants or by-product and a subsequent pulse tend also to occur non-uniformly along the flow path.
  • a film having a desired thickness is formed on a substrate through multiple steps.
  • a film having a first intermediate thickness thinner than the desired thickness is formed on the substrate during one of the steps, which may be CVD or include multiple ALD cycles.
  • the pre-formed film is grown to the desired thickness during one or more additional steps, each of which may be CVD or include multiple ALD cycles for depositing the same material.
  • horizontal gas flows are provided over the substrate in different directions. These steps can be repeated until the film having the desired thickness is formed on the substrate. Because the substrate is exposed to gas flows in different directions during the multiple steps, depletion effects and/or other flow-axis non-uniformities on the substrate are averaged out. Thus, non-uniformity in thickness that would otherwise occur can be reduced or eliminated.
  • a deposition method will be described with reference to FIGS. 1A and 1B .
  • a film is grown to have a selected intermediate thickness, for example, up to about 50% of a desired final thickness, by reactant gases flowing over a substrate 10 in a first direction X.
  • a first portion A of the substrate 10 is located at an upstream position, and a second portion B of the substrate 10 is located at a downstream position.
  • the film is further grown to the desired final film thickness by reactant gases flowing over the substrate 10 in a second direction X′, as shown in FIG. 1B .
  • the second direction X′ is opposite from the first direction X, and thus, the first portion A is now located at a downstream position, and a second portion B is now located at an upstream position.
  • FIGS. 1A and 1B should be understood to illustrate relative directions. The same result can be achieved by rotating the substrate 180°, rather than changing the direction of the flow over a stationary substrate.
  • a film is grown on a substrate to have a selected intermediate thickness in a first reactor, and then the substrate is transferred to a second reactor. In the second reactor, gases flow over the substrate 10 in the second direction X′ to form the other 50% of the desired film.
  • the substrate 10 may be rotated by about 180° in a reactor with a lateral gas flow in the first direction X after a film is grown to have a selected intermediate thickness. By the rotation of the substrate 10 , the substrate 10 is now exposed to the same lateral gas flow, but in the opposite relative direction.
  • the desired final thickness of the film may be divided into three.
  • a film having a thickness of about one-third of the desired thickness may be formed on the substrate, and then the substrate may be rotated by about 120°. Then, a film having a thickness of about one-third of the desired thickness may again be formed on the substrate. Next, the substrate may be rotated by about 120° again, and a final one-third thickness of the film may be formed on the substrate.
  • the desired final thickness of the film may be divided into n, and about 1/n of the desired thickness of the film may be formed (e.g., by CVD for a duration or multiple ALD cycles) in a reactor in which gases flow in a constant direction, and the substrate may be rotated by about 360°/n each time.
  • the above process may be repeated n times to form the film having the desired thickness.
  • n may be a natural number of 2 to 8, and particularly, n may be 2, 3, or 4.
  • the desired thickness of the film may be divided into n, and about 1/n of the desired thickness of the film may be formed in a reactor in which gases flow in a constant direction. Then, the substrate may be transferred into another reactor in which gases flow in a direction rotated by about 360°/n from the gas flow direction of the previous reactor. The above process may be repeated n times to form the film having the desired thickness.
  • n is a natural number of 2 to 8, and particularly n may be 2, 3, or 4.
  • the deposition process of forming about 1/n of the desired thickness of the film in a reactor in which gases flow in a constant direction and rotating the substrate by about 360°/n may be repeated n times to form the film having the desired thickness.
  • the deposition process of forming about 1/n of the desired thickness of the film in a reactor in which gases flow in a constant direction and transferring the substrate into another reactor in which gases flow in a direction rotated by about 360°/n from the gas flow direction in the previous reactor may be repeated n times to form the film having the desired thickness. Accordingly, a film having a substantially uniform thickness may be deposited in a lateral flow deposition apparatus.
  • n is excessively large, the time required for rotating or transferring the substrate is excessively long such that productivity of the deposition chamber may be decreased.
  • n is a natural number of 2 to 8, and preferably n is one of 2, 3, and 4, such that adverse effects on the productivity of the deposition chamber may be avoided.
  • the number n can vary widely, depending on the deposition thickness and condition.
  • the substrate and/or flow direction is rotated about 360° during the entire deposition process.
  • a substrate and/or flow direction may be rotated more than 360° during the entire deposition process.
  • a substrate and/or flow direction may be rotated about 360° ⁇ m, where m is equal to or greater than 2, depending on the desired film thickness.
  • m is an integer less than or equal to 4 in order to minimize time lost during adjustment of relative flow direction.
  • greater numbers of rotations m and/or greater numbers of rotational increments n will be beneficial.
  • the substrate and/or flow direction can be rotated any suitable angle, depending on the desired film thickness and/or deposition chamber configuration.
  • FIG. 2A and FIG. 2B are cross-sectional views of a lateral flow deposition apparatus according to one embodiment.
  • FIG. 2A represents a lateral flow deposition apparatus during a depositing process
  • FIG. 2B represents a lateral flow deposition apparatus during an interval between depositing processes.
  • a lateral flow deposition apparatus 100 includes an outer wall 198 , a substrate holder 160 , and a reactor cover 101 .
  • the reactor cover 101 and the substrate holder 160 together form a reaction chamber which defines a reaction space 151 in which a substrate 150 is processed.
  • the deposition apparatus 100 also includes separate gas inlets 110 and 112 connected to the reactor cover 101 and configured to supply process gases into the reaction chamber, a gas outlet 120 connected to the reactor cover 101 and configured to exhaust gas from the reaction chamber, a substrate heater 170 configured to heat the substrate holder 160 , a substrate holder vertical movement mechanism configured to vertically move the substrate holder 160 and the substrate heater 170 , and a substrate rotary movement mechanism configured to rotate the substrate 150 relative to the direction of flow.
  • One of the gas inlets 110 and 112 may be omitted.
  • the reactor cover 101 may also include a cover heater 130 on outer surfaces of the reactor cover 101 to heat the reactor cover 101 .
  • the lateral flow deposition apparatus 100 may also include gas flow control guide structures 140 and 142 in the reaction chamber.
  • the gas flow control guide structures 140 and 142 are configured to generate a lateral gas flow over the substrate 150 .
  • the lateral gas flow may be a substantially laminar flow.
  • the substrate vertical movement mechanism may include three or more supporting rods 184 and 185 connected to the substrate holder 160 , and a driving device (not shown), such as a pneumatic cylinder.
  • the driving device is configured to move the supporting rods 184 and 185 in a vertical direction.
  • the substrate rotary movement mechanism may include a supporting pin 172 holding the substrate 150 , a supporting pin holder 173 in which the supporting pin 172 is inserted, and a supporting pin rotary movement mechanism connected to the supporting pin holder 173 .
  • the supporting pin rotary movement mechanism may include an electric motor 175 such as a step motor, a feed-through 174 for rotation connected to the electric motor 175 , a fixing plate 176 equipped with the electric motor 175 , and a fixing rod 177 connected to the fixing plate 176 .
  • the lateral flow deposition apparatus 100 may further include a supporting pin vertical movement mechanism (not shown) configured to move the supporting pin 172 in a vertical direction.
  • the supporting pin vertical movement mechanism may include an electric motor and a pneumatic cylinder. Alternatively, the substrate can be lifted from the substrate holder 160 by interaction of the supporting pin 172 with the supporting pin holder 173 during downward movement of the substrate holder 160 .
  • a part of the lower portion of the supporting pin 172 inserted into the supporting pin holder 173 is chamfered such that the lower portion below the supporting pin 172 does not have a complete cylindrical shape. Accordingly, a rotary motion of the supporting pin rotary movement mechanism is effectively transferred to the supporting pin 172 through the supporting pin holder 173 .
  • the supporting pin 172 may be moved to a vertical direction along with the supporting pin holder 173 .
  • the supporting pin rotary movement mechanism may include a device that is able to rotate by a predetermined angle using air pressure as well as electric means such as the electric motor 175 .
  • a deposition method according to one embodiment using the lateral flow deposition apparatus shown in FIG. 2A and FIG. 2B will be described in detail.
  • a substrate 150 is loaded onto the substrate holder 160 , in the position of FIG. 2B .
  • the substrate holder 160 is moved upward to contact the reactor cover 101 to define a reaction space 151 in the position of FIG. 2A .
  • a film having a thickness of up to about 50% of a desired final thickness is formed by sequentially supplying reactant gases, e.g., by CVD or in a plurality of ALD cycles alternating two or more reactants, into the reaction space 151 , as shown in FIG. 2A .
  • the supply of the reactant gases is stopped and the substrate holder 160 is moved downward using the substrate holder vertical movement mechanism as shown in FIG. 2B .
  • the supporting pin 172 interacts with the supporting pin holder 173 such that it is not moved downward such that the substrate 150 is detached from the substrate holder 160 .
  • the electric motor 175 is rotated.
  • the rotary motion of the motor 175 is transferred to the supporting pin 172 and the substrate 150 through the feed-through 174 such that the supporting pin 172 and the substrate 150 are rotated by about 180°.
  • the substrate holder 160 is moved upward using the substrate holder vertical movement mechanism such that the substrate holder 160 contacts the reactor cover 101 to define the reaction space 151 , as shown in FIG. 2A .
  • the preformed film is further grown, e.g., by CVD or another plurality of ALD cycles to deposit the same material to have the desired final thickness by sequentially supplying reactant gases into the reaction space 151 .
  • the substrate holder 160 After the film having the desired thickness is formed, supply of the reactant gases is stopped and the substrate holder 160 is moved downward.
  • the supporting pin 172 interacts with the supporting pin holder 173 such that it is not moved downward and the substrate 150 is detached from the substrate holder 160 .
  • the substrate 150 is unloaded from the reactor using transfer equipment such as a robot arm (not shown), and another substrate may be loaded into the reactor.
  • the robot arm may be disposed at a position in a reactor suitable for transferring the substrate, and then the supporting pin 172 , the supporting pin holder 173 and the supporting pin rotary movement mechanism may be moved downward by using the supporting pin vertical movement mechanism.
  • the supporting pin 172 is moved downward and the robot arm supports the substrate 150 when it is detached from the supporting pin 172 .
  • the robot arm is then moved outside of the reactor such that the substrate 150 is unloaded from the reactor and another substrate is loaded into the same position in which the supporting pin 172 supports the substrate 150 .
  • the supporting pin 172 , the supporting pin holder 173 , and the supporting pin rotary movement mechanism are moved upward by using the supporting pin vertical movement mechanism such that the supporting pin 172 supports the new substrate and the robot arm is moved outside of the reactor.
  • the substrate may be transferred without vertically moving the supporting pin 172 . Accordingly, the supporting pin vertical movement mechanism may be omitted.
  • the supporting pin vertical movement mechanism may include an electric motor and a pneumatic cylinder.
  • the substrate holder 160 is moved upward by using the substrate holder vertical movement mechanism such that the substrate holder 160 contacts the reactor cover 101 and the film having the desired thickness is deposited on the new substrate using the same method as described above.
  • a film having a thickness of about 1/n of the desired thickness is formed on the substrate 150 in the reactor with gases flowing in a constant direction. After that, the supply of the reactant gases is stopped and the substrate 150 and the supporting pin 172 may be rotated by about 360°/n. The above process may be repeated n times to form a film having the desired thickness.
  • the supporting pin rotary movement mechanism rotates by a constant angle such as about 180°, about 120°, or about 90°.
  • the supporting pin rotary movement mechanism may include a device that rotates by a constant pre-selected angle by using air pressure as well as an electric means such as the step motor.
  • FIG. 3 is a cross-sectional view of the lateral flow deposition apparatus.
  • the illustrated lateral flow deposition apparatus includes a plurality of lateral flow reaction chambers, and a substrate moving mechanism for moving a plurality of substrates.
  • the reaction chambers are arranged to form a path.
  • the substrate moving mechanism serves to move the substrate from one reaction to another along the path during a deposition circuit.
  • the substrates are processed in at least two of the reaction chambers during the deposition circuit, i.e., a film having the desired thickness is formed on a substrate by deposition steps performed in two or more of the reaction chambers.
  • the reaction chambers and the substrate moving mechanism are together configured to provide different relative orientations between the substrates and the gas flow directions in different reaction chambers.
  • the gas flow directions in the reaction chambers are fixed and substantially parallel to one another, but the substrates are rotated less than 360° (relative to the common gas flow direction) by the substrate moving mechanism when they are transferred from one reaction chamber to another.
  • the gas flow directions relative to the substrates are different in different reaction chambers.
  • the deposition apparatus of FIG. 3 includes a plurality of reaction chambers.
  • the deposition apparatus includes reactor covers 301 a and 301 b , substrate holders 360 a and 360 b , and substrate supporting pins 361 a and 361 b inserted into the substrate holders 360 a and 360 b .
  • the reactor covers 301 a and 301 b and the substrate holders 360 a and 360 b define a plurality of reaction chambers or reaction spaces in which substrates are processed.
  • Each of the reactor covers 301 a and 301 b includes gas inlets 310 and 312 configured to supply process gases into the reaction chamber, and a gas outlet 320 configured to exhaust gas from the reaction chamber.
  • One of the gas inlets 310 and 312 may be omitted, particularly for CVD, but for ALD a separate inlet for each reactant is preferred.
  • each of the inlets 310 may be connected to each of reactant gas supply tubes symmetrically diverging from one reactant source supply apparatus, and similarly for 312 .
  • each reaction chamber is configured to vapor deposit the same material.
  • exhaust tubes connected to the each gas outlet 320 may be converging symmetrically to one exhaust tube connected to an external exhaust vacuum pump (not shown).
  • Each of the reaction chambers also includes gas flow control guide structures 340 and 342 configured to maintain a substantially laminar gas flow over the substrates.
  • Each of the gas flow control guide structures 340 and 342 may be configured to provide a gas flow in a fixed direction.
  • the gas flow control guide structures 340 and 342 are configured to provide gas flows in substantially the same direction as each other in the reaction chambers.
  • the deposition apparatus also includes a reactor base 372 configured to support both of the substrate holders 360 a and 360 b .
  • the substrate holders 360 a and 360 b may be detachably fixed to the reactor base 372 while not being rotatable relative to the reactor base 372 when the chambers are closed for deposition.
  • the deposition apparatus further includes supporting pins 361 a and 361 b configured to hold the substrates while moving the substrate holders 360 a and 360 b downward, and supporting pin holders 365 a and 365 b that are fixed relative to the outer wall 398 , configured to support the supporting pins 361 a and 362 b when the chambers are opened.
  • the deposition apparatus includes a substrate transfer mechanism.
  • the substrate transfer mechanism serves to horizontally rotate the substrate holders 360 a and 360 b during a deposition process.
  • the substrate transfer mechanism may includes a plurality of driving guide posts 382 connected to an outer wall 398 , a lower fixing plate 381 to which the driving guide posts 382 are connected, a driving plate 383 connected to the driving guide posts 382 through bearings 386 , connecting supporters 373 into which projecting portions of the reactor base 372 are inserted, a feed-through 374 for rotation, an electric motor 375 , a pneumatic cylinder for vertical motion 384 , and a bellows 385 for allowing vertical motion while sealing the bottom of the reactor.
  • the reactor base 372 may be moved vertically and may be rotated horizontally by the substrate transfer mechanism. First, vertical movement of the substrate transfer mechanism is described.
  • the pneumatic cylinder 384 for vertical motion causes the driving plate 383 (to which the electric motor 375 and the feed-through 374 for rotation are connected) to move vertically.
  • the vertical motion of the driving plate 383 is transferred to the reactor base 372 supporting the substrate holders 360 a and 360 b through the rotary posts 376 of the electric motor 375 and the connecting supporters 373 such that the substrate holders 360 a and 360 b may be moved vertically.
  • the bellows 385 allows proper displacement while driving vertically.
  • the substrate holders 360 a and 360 b and the reactor base 372 are moved downward such that the substrates are detached from the reaction chambers, but only to a vertical level that the substrate supporting pins 361 a and 361 b do not contact the supporting pin holders 365 a and 365 b .
  • the electric motor 375 is rotated.
  • the rotary motion of the electric motor 375 is transferred to the reactor base 372 through the feed-through 374 for rotation and the connecting supporters 373 such that the reactor base 372 is rotated.
  • the substrate holders 360 a and 360 b are rotated such that the substrates on the substrate holders 360 a and 360 b are transferred from one reaction chamber to another reaction chamber.
  • the substrate holders 360 a , 360 b are kept in the same position relative to the reactor base 372 , the substrates are rotated by 180° when they are transferred from one reaction chamber to another.
  • a first portion of a desired film thickness is deposited on each substrate in each of the reaction chambers, and then a second portion of the desired film thickness (typically the same material) is deposited in the other of the reaction chambers, as will be better understood from description below.
  • the deposition apparatus includes two reaction chambers.
  • the deposition apparatus can include more than two reaction chambers.
  • a deposition apparatus includes two to eight reaction chambers, and particularly two to four reaction chambers.
  • substrates are loaded onto the substrate holders 360 a and 360 b , while supported by the supporting pin holders 361 a , 361 b .
  • the reactor base 372 is then moved upward such that the bottom of the reactor cover 301 a contacts the substrate holder 360 a and the bottom of the reactor cover 301 b contacts the substrate holder 360 b respectively, thereby forming enclosed reaction spaces.
  • Films having an intermediate thickness are formed on the substrates in the reaction chambers by sequentially supplying reactant gases into the reaction chambers.
  • the intermediate thickness may be up to about 50% of a desired final thickness of the resulting film.
  • the substrate holders 360 a and 360 b are moved downward by moving the reactor base 372 downward to the position shown in FIG. 3 .
  • the reactor base 372 is rotated by about 180° such that the substrate holders 360 a and 360 b are positioned under different reactor covers.
  • the substrates which have been under the reactor covers 301 a and 301 b , are moved to under the reactor covers 301 b and 301 a , respectively, by the rotation of the reactor base 372 , at the same time changing the substrate orientations relative to the outer walls 398 and the gas flow direction.
  • the substrate holders 360 a and 360 b are moved upward such that the reactor cover 301 a contacts the substrate holder 360 b and the reactor cover 301 b contacts the substrate holder 360 a respectively, thereby forming enclosed reaction spaces.
  • the preformed films on the substrates are grown to have the desired final thickness, e.g., another film having a thickness of about 50% of the desired final thickness is formed on each of the substrates.
  • a resulting film may have non-uniformity (e.g., in thickness) between portions thereof in the upstream and downstream regions due to the fact that reactants are in a higher concentration in the upstream region than in the downstream region.
  • films are deposited on substrates while the substrates are exposed to reactant gases flowing different directions (about 180° in the illustrated embodiment) in different reaction chambers.
  • the reactant gases flow in the same direction in both chambers, while the substrates are rotated by 180° relative to that flow direction by rotation of the reactor base 372 . Accordingly, uniformity of a film deposited in the lateral flow deposition apparatus may be improved while two or more substrates may be processed simultaneously.
  • a lateral flow deposition apparatus may include three or more substrate holders supporting three or more substrates.
  • the three or more substrates are transferred from a reaction chamber to another such that they are exposed to different gas flows (relative to substrate orientation) in different reaction chambers.
  • the deposition apparatus includes two to eight reaction chambers, and preferably two to four reaction chambers. Accordingly, the rotation angle of the substrate holders may be changed by the number of reaction chambers such that the rotation angle of the substrate holders may be about 180°, about 120°, and about 90° when the number of the reaction chambers is two, three, and four, respectively.
  • FIG. 4A is a perspective view of the lateral flow deposition apparatus
  • FIG. 4B is a top plan view of the lateral flow deposition apparatus of FIG. 4A .
  • the lateral flow deposition apparatus includes a plurality of lateral flow reaction chambers, and a substrate transfer mechanism for transferring a plurality of substrates loaded in the reaction chambers among the reaction chambers.
  • the gas flow directions in the reaction chambers are fixed and substantially parallel to one another, but the substrates are rotated relative to the gas flow direction less than 360° by the substrate moving mechanism when they are transferred from one reaction chamber to another. As a result, the gas flow directions relative to the substrates are different in different reaction chambers.
  • the chamber 400 includes three reaction chambers.
  • Each of the reaction chambers respectively includes a reactor cover 410 a , 410 b , 410 c , a substrate holder 420 a , 420 b , and 420 c , and a supporting pin 460 a , 460 b , and 460 c inserted into the substrate holder 420 a , 420 b , and 420 c .
  • Each of the reactor covers 410 a , 410 b , and 410 c defines a reaction space along with one of the substrate holders 420 a , 420 b , and 420 c .
  • Each of the reactor covers 410 a , 410 b , and 410 c includes at least one gas inlet 402 a , 402 b , and 402 c and a gas outlet 404 a , 404 b , and 404 c , and is fixed to the cover of the chamber 400 by a fastening mechanism 406 a - 406 c .
  • the reactor cover also includes a gas flow control guide structure (not shown) configured to provide a substantially laminar gas flow over substrates.
  • gas inlets 402 a , 402 b , and 402 c and the gas outlets 404 a , 404 b , and 404 c are provided in the reactor cover, and the gas inlets 402 a , 402 b , and 402 c and the gas outlets 404 a , 404 b , and 404 c are connected respectively to a separate gas supply device (not shown) and an exhaust device (not shown), in FIG. 4A , a single gas supply device may be provided in the reactor cover.
  • the gas inlets 402 a , 402 b , and 402 c may be connected to each of gas supply tubes symmetrically diverged from the one gas supply device toward the reaction chambers, respectively.
  • each of gas outlets 404 a , 404 b , and 404 c may be connected to an external exhaust vacuum pump (not shown) in the manner that exhaust tubes connected to each of gas outlets 404 a , 404 b , and 404 c may be symmetrically converged to one exhaust tube connected to an external exhaust vacuum pump (not shown).
  • the substrate holders 420 a , 420 b , and 420 c may include substrate heaters (not shown) configured to heat the substrate holders and the substrates.
  • the substrate holders 420 a , 420 b , and 420 c may move vertically such that the substrate holders 420 a , 420 b , and 420 c define reaction spaces by contacting to the reactor covers 410 a , 410 b , and 410 c.
  • the reactor 400 includes supporting pins 460 a , 460 b , and 460 c configured to support the substrates while moving downward the substrate holders 420 a , 420 b , and 420 c .
  • the supporting pin may have a different shape and may be arranged differently. For example, three supporting pins that are in point contact with each substrate at positions away from the center of each substrate may be used for the supporting pin.
  • a substrate entrance 440 for a loading and unloading path of the substrates is provided at one side of the chamber exterior wall.
  • the substrate may be loaded to or unloaded from each reaction chamber through the substrate entrance 440 .
  • the illustrated substrate transfer mechanism includes a plurality of arms 490 a , 490 b , and 490 c that are configured to load or unload the substrates, and an arm axis 492 connecting to the plurality of arms 490 a , 490 b , and 490 c.
  • the arm axis 492 may be connected to an arm driving mechanism that is configured to drive the arm axis 492 to move vertically and rotate horizontally.
  • the arms 490 a , 490 b , and 490 c may support the substrates, while not preventing the supporting pins 460 a , 460 b , and 460 c from moving vertically.
  • the arms 490 a , 490 b , and 490 c have a hook shape.
  • the arms may have other shapes that may support the substrates while not preventing vertical movement of supporting pins.
  • the arms 490 a , 490 b , and 490 c sequentially receive three substrates entering through the substrate entrance 440 and then load the substrates on the supporting pins 460 a , 460 b , and 460 c , respectively, by lowering the arms 490 a , 490 b , and 490 c and/or raising the supporting pins 460 a , 460 b , 460 c .
  • the arms 490 a , 490 b , and 490 c are positioned as shown in FIG. 4B such that the arms 490 a , 490 b , and 490 c may not prevent the substrate holders 420 a , 420 b , and 420 c from moving vertically.
  • the deposition apparatus may include two to eight reaction chambers, and preferably includes two to four reaction chambers.
  • the reactor covers 410 a , 410 b , 410 c and the substrate holders 420 a , 420 b , 420 c are contacted with each other to define enclosed reaction spaces.
  • sub-films having a first intermediate thickness are formed on the substrates by sequentially supplying reactant gases into the reaction chambers.
  • the first intermediate thickness may be about 1 ⁇ 3 of a desired final thickness.
  • the substrate holders 420 a , 420 b , and 420 c are moved downward.
  • the substrate loaded on the substrate holder 420 a is transferred to the substrate holder 420 b
  • the substrate loaded on the substrate holder 420 b is transferred to the substrate holder 420 c
  • the substrate loaded on the substrate holder 420 c is transferred to the substrate holder 420 a by raising, rotating 120°, and lowering the arm axis 492 .
  • the substrate holders 420 a , 420 b , and 420 c move vertically, but do not rotate in a horizontal direction.
  • the substrate holders 420 a , 420 b , and 420 c are moved upward to form enclosed reaction spaces.
  • the second sub-films on the substrates are grown to a second intermediate thickness by sequentially supplying reactant gases into the reaction chambers.
  • the second intermediate thickness may be about 2 ⁇ 3 of the desired final thickness, i.e., another sub-film (preferably of the same material) having about 1 ⁇ 3 of the final thickness is deposited during this step.
  • the supply of the reactant gases is again stopped and the substrate holders 420 a , 420 b , and 420 c are again moved downward.
  • the substrate loaded on the substrate holder 420 a is transferred to the substrate holder 420 b
  • the substrate loaded on the substrate holder 420 b is transferred to the substrate holder 420 c
  • the substrate loaded on the substrate holder 420 c is transferred to the substrate holder 420 a by the arm axis 492 .
  • the substrate holders 420 a , 420 b , and 420 c are moved upward to form enclosed reaction spaces.
  • the films on the substrates are grown with another sub-film thickness by sequentially supplying reactant gases (for an ALD example) into the reaction chambers, e.g., another film having about 1 ⁇ 3 of the final thickness is deposited during this step.
  • films are deposited while exposing the substrates to different flow directions (relative to the substrate orientation) in different chambers. Accordingly, a film having a uniform thickness may be deposited in the lateral flow deposition apparatus and a plurality of substrates may be processed simultaneously.
  • the deposition apparatus may include two to eight reaction chambers, and preferably includes two to four reaction chambers as described above.
  • the rotation angle of the substrates may be changed by the number of reaction chambers such that the rotation angle of the substrate holders may be about 180°, about 120°, and about 90° when the number of the reaction chambers is two, three, and four, respectively.
  • FIG. 5 is a schematic plan view of a lateral flow deposition chamber having four reaction chambers according to another embodiment.
  • the reactor 500 includes four reaction chambers 520 a , 520 b , 520 c , and 520 d .
  • the gas flow direction in each reaction chamber is shown by arrows.
  • films having a thickness of about 1 ⁇ 4 of a desired thickness are formed on substrates under the state in which the substrates are loaded on four reaction chambers 520 a , 520 b , 520 c , and 520 d . Then the substrates are transferred to adjacent reaction chambers 520 b , 520 c , 520 d , and 520 a , respectively. Assuming that the substrates are rotated from chamber to chamber, the inner edge of the substrate maintains its orientation relative to the center of the reactor 500 , but the orientation relative to the gas flow changes. The above processes are repeated four times, each stage depositing about 1 ⁇ 4 of the desired film thickness, to deposit a film having the desired thickness.
  • each stage depositing about 1 ⁇ 8 of the desired film thickness. Accordingly, films are deposited while changing the flow direction of reactant gases on the substrates by 90° sequentially by transferring the plurality of substrates from one reaction chamber to another. For ALD reactions, each stage involves multiple cycles.
  • a film having a desired thickness may be formed by dividing the desired thickness of the film into two using the deposition apparatus of FIG. 5 .
  • Films having a thickness of about 1 ⁇ 2 of the desired thickness may be formed on substrates while the substrates are loaded in four reaction chambers 520 a , 520 b , 520 c , and 520 d . Then, the substrates are transferred to the opposite reaction chambers 520 c , 520 d , 520 a , and 520 b respectively, instead of the adjacent reaction chambers 520 b , 520 c , 520 d , and 520 a . Additional films having a thickness of about 1 ⁇ 2 of the desired thickness are formed on the substrates in the opposite reaction chambers.
  • FIG. 6 is a cross-sectional view of the lateral flow deposition apparatus.
  • the illustrated lateral flow deposition apparatus includes a plurality of independent reaction chambers 620 a - 620 d and a transferring module 610 configured to load, unload, or transfer substrates between the reaction chambers 620 a - 620 d .
  • the transferring module 610 may include a robot arm.
  • the gas flow on the substrate is maintained substantially lateral, and the gas flow direction in each reaction chamber is shown by arrows in FIG. 6 .
  • the gas flow directions in the reaction chambers 620 a - 620 d are constant and different from one another, relative to the center of the transfer module.
  • at least two of the reaction chambers 620 a - 620 d have opposite gas flow directions from each other, relative to the center of the transfer module.
  • Two substrates are loaded on two reaction chambers having the opposite gas flow directions among the reaction chambers 620 a - 620 d using the transferring module 610 .
  • Films having a thickness of about 1 ⁇ 2 of a desired thickness are formed on the substrates.
  • the two substrates are transferred to the other reaction chambers having the opposite gas flow directions by using the transferring module 610 .
  • Other films having a thickness of about 1 ⁇ 2 of the desired thickness are formed on the preformed films on the substrates.
  • films are deposited while changing the flow direction of reactant gases on the substrates by transferring the substrates between the reaction chambers having the opposite gas flow directions, thereby depositing films having a uniform thickness. It will be understood that other portions of the desired film thickness can be selected, and twice as many transfers are needed if 1 ⁇ 4 of the deposition is conducted at each stage.
  • the number of process modules to process in each reaction chamber may depend on the number of gas flow directions in each reaction chamber. Accordingly, if the number of gas flow directions in each reaction chamber is too numerous, too many process modules are used to deposit films. Therefore, it is preferable that the number of process modules is not too many.
  • the lateral flow deposition apparatus shown in FIG. 6 includes only two reaction chambers having opposite gas flow directions per film ( 620 a , 620 b for one film and 620 c , 620 d for another), so efficiency of the deposition apparatus may be improved. In other embodiments, the deposition apparatus may have four reaction chambers per film, depending on plumbing and programming.
  • FIG. 6 “opposite” flow patterns are determined relative to a center of the transferring modules. While the mechanism of transfer differs, each of FIGS. 3 , 4 B, 5 , and 6 effectively employ rotational transfer, such that substrate orientations remain fixed relative to a radial direction (relative to the reactor or cluster tool center). In the case of FIG. 6 , a wafer handling robot, with an axis of rotation at the center, may load/unload wafers.
  • the cluster deposition apparatus having independent process modules instead of a plurality of reaction chambers in one reactor may be applied to the deposition method according to embodiments of the present invention.
  • the illustrated deposition apparatus 700 includes four reaction chambers 710 a - 710 d and a robot arm 730 .
  • Each of the reaction chambers 710 a - 710 d is used to process a single substrate 720 a - 720 d at a time.
  • Each of the reaction chambers 710 a - 710 d is configured to provide a laminar reaction gas flow over the substrate 720 a - 720 d processed therein.
  • the gas flow directions in the reaction chambers 710 a - 710 d are denoted by arrows in the chambers 710 a - 710 d .
  • reaction chambers 710 a - 710 d are arranged to form a substantially half circle, and all the gas flow directions extend radially from the center of the half circle toward the periphery of the half circle, and thus can be considered to have the same gas flow orientations relative to the center of the deposition apparatus 700 .
  • the robot arm 730 is configured to transfer a substrate from one of the reaction chambers 710 a - 710 d to another.
  • the robot arm 730 may rotate the substrate such that the substrate is in different orientations relative to the gas flow directions in different reaction chambers 710 a - 710 d .
  • the robot arm 730 can have a mechanism to rotate a substrate while the substrate is held by the robot arm 730 .
  • the robot 730 can work in conjunction with a stand-alone alignment device, which can change the substrate angular orientation relative to the robot 720 and effector, and thus relative to the gas flow directions.
  • the number of chambers may be selected depending on how many deposition circuits are performed to form a film.
  • either the substrate orientation or gas flow direction is changed to provide different relative positions between the substrate orientation and the gas flow direction.
  • such different relative positions can also be achieved by changing both the substrate orientation and the gas flow direction.

Abstract

A deposition apparatus and deposition method for forming a film on a substrate are disclosed. A film is deposited on a substrate by exposing the substrate to different flow directions of reactant gases. In one embodiment, the substrate is rotated in the reaction chamber after a film having an intermediate thickness is formed on the substrate. In other embodiments, the substrate is transferred from one reaction chamber to another after a film having an intermediate thickness is formed on the substrate. Accordingly, a film having a uniform thickness is deposited, averaging out depletion effect.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims priority to and the benefit of Korean Patent Application No. 10-2007-0032422 filed in the Korean Intellectual Property Office on Apr. 2, 2007, the entire contents of which are incorporated herein by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to a lateral flow deposition apparatus and a method of depositing a film by using the apparatus.
  • 2. Description of the Related Art
  • In manufacturing semiconductor devices, efforts for improving apparatus and processes to form a high quality thin film on a substrate are continuing. In atomic layer deposition (ALD) methods, separate pulses of at least two reactants are sequentially introduced to a substrate and a surface reaction between the reactants and the surface of the substrate occurs to form a monolayer on the surface of the substrate. The reactants are sequentially introduced until a desired thickness of the deposited material is formed. In pure ALD methods, the reactants are pulsed separately within a temperature range above the reactants' condensation temperatures and below their thermal decomposition temperatures. The thin film is formed by surface reaction, and thus a thin film having a uniform thickness may be formed on the entire surface of the substrate regardless of surface roughness of the substrate. In addition, impurities in the thin film may be reduced to form a high quality thin film.
  • In a simple example of an atomic layer deposition method, gas pulses consisting of four sequential steps including first reactant gas supply, inert purge gas supply, second reactant gas supply, and inert purge gas supply are repeated. A pulse of a purge gas between the pulses of the different reactants reduces the gas phase reactions of the reactants due to excess amounts of the reactants remaining in the chamber such that a thin film is formed by a surface reaction occurring on the surface of the substrate. Here, a portion of the reactant gases may be activated by plasma. A plasma atomic layer deposition method of generating discontinuous plasma in line with the pulses of the reactant gases in a reaction chamber is disclosed in Korean Patent No. 273473 and U.S. Pat. No. 6,645,574.
  • Among the atomic layer deposition apparatuses used in the ALD methods, a lateral flow ALD reactor, in which gases flow laterally over and parallel to the surface of a substrate, has been proposed. In the lateral flow ALD reaction chamber, flow of the gases is rapid and simple and thereby reactant gases can be switched and purged rapidly to reduce time required for supplying process gases sequentially. An example of lateral flow reactor suitable for time-divided gas supplying ALD method and a method of depositing a thin film using the lateral flow reactor have been disclosed in Korean Patent No. 624030 and U.S. Pat. No. 6,539,891. In addition, an improved example of the lateral flow reactor suitable for time-divided gas supplying ALD method and a method of depositing a thin film using the lateral flow reactor have been disclosed in Korean Patent Application No. 2005-0038606 and U.S. patent application Ser. No. 11/429,533 published as U.S. Publication No. 2006-0249077 A1 on Nov. 9, 2006. Here, the plasma atomic layer deposition method of generating discontinuous plasma may be applied to the lateral flow reactor by supplying RF power to the electrode in line with the pulses of the reactants gases.
  • Other examples of lateral flow ALD reactors have been disclosed in U.S. Pat. No. 5,711,811 and U.S. Pat. No. 6,562,140. In these examples, the reactors have a constant gap between a portion supporting a substrate and another portion facing a surface of the substrate such that gas flowing over the substrate may be constant and maintained substantially laminar.
  • The above information disclosed in this Background section is only for enhancement of understanding of the background of the invention and therefore it may contain information that does not form the prior art that is already known in this country to a person of ordinary skill in the art.
  • SUMMARY OF THE INVENTION
  • One embodiment is a method of depositing a film over a substrate. The method includes in sequence: flowing one or more reactant gases horizontally over a substrate in a first direction relative to the substrate until a first film having a first thickness is formed over the substrate; stopping flowing the reactant gases over the substrate; and flowing the reactant gases horizontally over the substrate in a second direction relative to the substrate until a second film having a second thickness is formed over the first film. The first thickness is thinner than a target thickness. The second thickness is thinner than the target thickness. The second direction is different from the first direction.
  • Another embodiment is a method of depositing a film over a substrate. The method includes in sequence: flowing one or more reactant gases horizontally over a substrate in a first direction in a first reactor to form a first film over the substrate; transferring the substrate from the first reactor to a second reactor; and flowing the same reactant gases horizontally over the substrate in a second direction in the second reactor to form a second film over the first film. The second direction is different from the first direction relative to the substrate. The first and second films are formed of the same material.
  • Yet another embodiment is an apparatus for depositing a thin film over a substrate. The apparatus includes: a reaction chamber configured to define an enclosed reaction space in which deposition is performed on a substrate. The reaction space is configured to provide a laminar gas flow in a direction over the substrate. The apparatus further includes a driver configured to rotate the substrate while deposition is not performed on the substrate such that the orientation of the substrate relative to the direction of the laminar gas flow is different from the orientation of the substrate before being rotated.
  • Yet another embodiment is an apparatus for depositing a thin film over a substrate. The apparatus includes a plurality of reaction chambers. Each of the reaction chambers is configured to define an enclosed reaction space in which deposition is performed on a substrate. The reaction space is configured to provide a laminar gas flow in a direction over the substrate. The reaction chambers are configured to provide the same reactant gases as one another into the reaction spaces. The apparatus also includes a transfer device configured to transfer a substrate from one of the reaction chambers to another of the reaction chambers. The orientation of the substrate relative to the direction of the laminar gas flow in the one reaction chamber is different from the orientation of the substrate relative to the direction of the laminar gas flow in the other reaction chamber.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1A and FIG. 1B are schematic plan views of a substrate illustrating a method of lateral flow deposition according to one embodiment.
  • FIG. 2A and FIG. 2B are cross-sectional views of a lateral flow deposition apparatus according to one embodiment.
  • FIG. 3 is a cross-sectional view of a lateral flow deposition apparatus according to another embodiment.
  • FIG. 4A is a perspective view of a lateral flow deposition apparatus according to yet another embodiment.
  • FIG. 4B is a top plan view of the lateral flow deposition apparatus of FIG. 4A.
  • FIG. 5 is a schematic top plan view of a lateral flow deposition chamber having four reaction chambers according to yet another embodiment.
  • FIG. 6 is a schematic plan view of a lateral flow deposition apparatus according to yet another embodiment.
  • FIG. 7 is a schematic plan view of a deposition apparatus including four reaction chambers and a robot arm programmed to rotate the substrate between transfers according to yet another embodiment.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • The present invention will be described more fully hereinafter with reference to the accompanying drawings, in which exemplary embodiments of the invention are shown. As those skilled in the art would realize, the described embodiments may be modified in various different ways, all without departing from the spirit or scope of the present invention.
  • Films deposited in the lateral flow deposition reaction chambers described above may have a non-uniform thickness. In a lateral flow deposition apparatus, reactants typically flow from an upstream region to a downstream region in a chamber. Because a portion of the reactants is consumed for reaction with the surface of a substrate in the chamber while flowing from the upstream region to the downstream region, reactants are in a higher concentration in the upstream region than in the downstream region. Thus, a portion of the resulting film that is formed in the downstream region may be thinner than another portion of the film that is formed in the upstream region. Such effect is generally referred to as depletion effect. Furthermore, to the extent an ALD reaction exhibits non-ideal ALD behavior, such as CVD-type reactions between residual reactants or by-product and a subsequent pulse tend also to occur non-uniformly along the flow path.
  • In one embodiment, a film having a desired thickness is formed on a substrate through multiple steps. A film having a first intermediate thickness thinner than the desired thickness is formed on the substrate during one of the steps, which may be CVD or include multiple ALD cycles. Then, the pre-formed film is grown to the desired thickness during one or more additional steps, each of which may be CVD or include multiple ALD cycles for depositing the same material. In these multiple steps, horizontal gas flows are provided over the substrate in different directions. These steps can be repeated until the film having the desired thickness is formed on the substrate. Because the substrate is exposed to gas flows in different directions during the multiple steps, depletion effects and/or other flow-axis non-uniformities on the substrate are averaged out. Thus, non-uniformity in thickness that would otherwise occur can be reduced or eliminated.
  • Now, a deposition method according to one embodiment will be described with reference to FIGS. 1A and 1B. As shown in FIG. 1A, a film is grown to have a selected intermediate thickness, for example, up to about 50% of a desired final thickness, by reactant gases flowing over a substrate 10 in a first direction X. In FIG. 1A, a first portion A of the substrate 10 is located at an upstream position, and a second portion B of the substrate 10 is located at a downstream position. Then, the film is further grown to the desired final film thickness by reactant gases flowing over the substrate 10 in a second direction X′, as shown in FIG. 1B. In the illustrated embodiment, the second direction X′ is opposite from the first direction X, and thus, the first portion A is now located at a downstream position, and a second portion B is now located at an upstream position.
  • FIGS. 1A and 1B should be understood to illustrate relative directions. The same result can be achieved by rotating the substrate 180°, rather than changing the direction of the flow over a stationary substrate. Similarly, in another embodiment, a film is grown on a substrate to have a selected intermediate thickness in a first reactor, and then the substrate is transferred to a second reactor. In the second reactor, gases flow over the substrate 10 in the second direction X′ to form the other 50% of the desired film.
  • Furthermore, while only a single switch in relative flow direction by 180° is described above, and for improving thickness uniformities better efficiently, in some circumstances, it may be advisable to increase the number of switches. For example, 25% of the film could be deposited in each of four steps with 3 rotations of 180° between steps. Similarly, 10% of the film could be deposited in each of 10 steps with 180° rotation in the relation of flow/substrate orientation between each step. Compositional non-uniformities (stoichiometry) can be better averaged out with greater number of steps, at the expense of efficiency.
  • In another embodiment, the substrate 10 may be rotated by about 180° in a reactor with a lateral gas flow in the first direction X after a film is grown to have a selected intermediate thickness. By the rotation of the substrate 10, the substrate 10 is now exposed to the same lateral gas flow, but in the opposite relative direction.
  • Although not shown here, instead of dividing the desired final thickness of the film into two (i.e., forming two stacked films, each having about 50% of the final thickness), the desired final thickness of the film may be divided into three. In other words, a film having a thickness of about one-third of the desired thickness may be formed on the substrate, and then the substrate may be rotated by about 120°. Then, a film having a thickness of about one-third of the desired thickness may again be formed on the substrate. Next, the substrate may be rotated by about 120° again, and a final one-third thickness of the film may be formed on the substrate.
  • In other embodiments, the desired final thickness of the film may be divided into n, and about 1/n of the desired thickness of the film may be formed (e.g., by CVD for a duration or multiple ALD cycles) in a reactor in which gases flow in a constant direction, and the substrate may be rotated by about 360°/n each time. The above process may be repeated n times to form the film having the desired thickness. In one embodiment, n may be a natural number of 2 to 8, and particularly, n may be 2, 3, or 4.
  • In other embodiments, the desired thickness of the film may be divided into n, and about 1/n of the desired thickness of the film may be formed in a reactor in which gases flow in a constant direction. Then, the substrate may be transferred into another reactor in which gases flow in a direction rotated by about 360°/n from the gas flow direction of the previous reactor. The above process may be repeated n times to form the film having the desired thickness. In one embodiment, n is a natural number of 2 to 8, and particularly n may be 2, 3, or 4.
  • In the deposition methods described above, the deposition process of forming about 1/n of the desired thickness of the film in a reactor in which gases flow in a constant direction and rotating the substrate by about 360°/n may be repeated n times to form the film having the desired thickness. Alternatively, the deposition process of forming about 1/n of the desired thickness of the film in a reactor in which gases flow in a constant direction and transferring the substrate into another reactor in which gases flow in a direction rotated by about 360°/n from the gas flow direction in the previous reactor may be repeated n times to form the film having the desired thickness. Accordingly, a film having a substantially uniform thickness may be deposited in a lateral flow deposition apparatus.
  • If n is excessively large, the time required for rotating or transferring the substrate is excessively long such that productivity of the deposition chamber may be decreased. However, in the deposition method described above, n is a natural number of 2 to 8, and preferably n is one of 2, 3, and 4, such that adverse effects on the productivity of the deposition chamber may be avoided. A skilled artisan will, however, appreciate that the number n can vary widely, depending on the deposition thickness and condition.
  • In the embodiments described above, the substrate and/or flow direction is rotated about 360° during the entire deposition process. In certain embodiments, a substrate and/or flow direction may be rotated more than 360° during the entire deposition process. For example, a substrate and/or flow direction may be rotated about 360°×m, where m is equal to or greater than 2, depending on the desired film thickness. Desirably, m is an integer less than or equal to 4 in order to minimize time lost during adjustment of relative flow direction. However, for some types of non-uniformity (e.g., compositional non-uniformity), greater numbers of rotations m and/or greater numbers of rotational increments n will be beneficial. However, if m is greater than 4, using a non-integer or fractional m will not have too great an adverse effect on uniformity. A skilled artisan will appreciate that the substrate and/or flow direction can be rotated any suitable angle, depending on the desired film thickness and/or deposition chamber configuration.
  • Deposition Apparatus Having a Single Reactor
  • Now, a reaction chamber of a lateral flow deposition apparatus according to one embodiment will be described in detail with reference to FIG. 2A and FIG. 2B. FIG. 2A and FIG. 2B are cross-sectional views of a lateral flow deposition apparatus according to one embodiment. FIG. 2A represents a lateral flow deposition apparatus during a depositing process and FIG. 2B represents a lateral flow deposition apparatus during an interval between depositing processes.
  • Referring to FIG. 2A and FIG. 2B, a lateral flow deposition apparatus 100 according to one embodiment includes an outer wall 198, a substrate holder 160, and a reactor cover 101. The reactor cover 101 and the substrate holder 160 together form a reaction chamber which defines a reaction space 151 in which a substrate 150 is processed. The deposition apparatus 100 also includes separate gas inlets 110 and 112 connected to the reactor cover 101 and configured to supply process gases into the reaction chamber, a gas outlet 120 connected to the reactor cover 101 and configured to exhaust gas from the reaction chamber, a substrate heater 170 configured to heat the substrate holder 160, a substrate holder vertical movement mechanism configured to vertically move the substrate holder 160 and the substrate heater 170, and a substrate rotary movement mechanism configured to rotate the substrate 150 relative to the direction of flow. One of the gas inlets 110 and 112 may be omitted.
  • The reactor cover 101 may also include a cover heater 130 on outer surfaces of the reactor cover 101 to heat the reactor cover 101. The lateral flow deposition apparatus 100 may also include gas flow control guide structures 140 and 142 in the reaction chamber. The gas flow control guide structures 140 and 142 are configured to generate a lateral gas flow over the substrate 150. The lateral gas flow may be a substantially laminar flow.
  • The substrate vertical movement mechanism may include three or more supporting rods 184 and 185 connected to the substrate holder 160, and a driving device (not shown), such as a pneumatic cylinder. The driving device is configured to move the supporting rods 184 and 185 in a vertical direction.
  • The substrate rotary movement mechanism may include a supporting pin 172 holding the substrate 150, a supporting pin holder 173 in which the supporting pin 172 is inserted, and a supporting pin rotary movement mechanism connected to the supporting pin holder 173. The supporting pin rotary movement mechanism may include an electric motor 175 such as a step motor, a feed-through 174 for rotation connected to the electric motor 175, a fixing plate 176 equipped with the electric motor 175, and a fixing rod 177 connected to the fixing plate 176. The lateral flow deposition apparatus 100 may further include a supporting pin vertical movement mechanism (not shown) configured to move the supporting pin 172 in a vertical direction. The supporting pin vertical movement mechanism may include an electric motor and a pneumatic cylinder. Alternatively, the substrate can be lifted from the substrate holder 160 by interaction of the supporting pin 172 with the supporting pin holder 173 during downward movement of the substrate holder 160.
  • A part of the lower portion of the supporting pin 172 inserted into the supporting pin holder 173 is chamfered such that the lower portion below the supporting pin 172 does not have a complete cylindrical shape. Accordingly, a rotary motion of the supporting pin rotary movement mechanism is effectively transferred to the supporting pin 172 through the supporting pin holder 173. The supporting pin 172 may be moved to a vertical direction along with the supporting pin holder 173.
  • The supporting pin rotary movement mechanism may include a device that is able to rotate by a predetermined angle using air pressure as well as electric means such as the electric motor 175.
  • Now, a deposition method according to one embodiment using the lateral flow deposition apparatus shown in FIG. 2A and FIG. 2B will be described in detail. First, a substrate 150 is loaded onto the substrate holder 160, in the position of FIG. 2B. Then, the substrate holder 160 is moved upward to contact the reactor cover 101 to define a reaction space 151 in the position of FIG. 2A. A film having a thickness of up to about 50% of a desired final thickness is formed by sequentially supplying reactant gases, e.g., by CVD or in a plurality of ALD cycles alternating two or more reactants, into the reaction space 151, as shown in FIG. 2A.
  • Next, the supply of the reactant gases is stopped and the substrate holder 160 is moved downward using the substrate holder vertical movement mechanism as shown in FIG. 2B. Here, the supporting pin 172 interacts with the supporting pin holder 173 such that it is not moved downward such that the substrate 150 is detached from the substrate holder 160. After the substrate 150 is detached from the substrate holder 160, the electric motor 175 is rotated. The rotary motion of the motor 175 is transferred to the supporting pin 172 and the substrate 150 through the feed-through 174 such that the supporting pin 172 and the substrate 150 are rotated by about 180°. Then, the substrate holder 160 is moved upward using the substrate holder vertical movement mechanism such that the substrate holder 160 contacts the reactor cover 101 to define the reaction space 151, as shown in FIG. 2A. The preformed film is further grown, e.g., by CVD or another plurality of ALD cycles to deposit the same material to have the desired final thickness by sequentially supplying reactant gases into the reaction space 151.
  • After the film having the desired thickness is formed, supply of the reactant gases is stopped and the substrate holder 160 is moved downward. Here, the supporting pin 172 interacts with the supporting pin holder 173 such that it is not moved downward and the substrate 150 is detached from the substrate holder 160. Then, the substrate 150 is unloaded from the reactor using transfer equipment such as a robot arm (not shown), and another substrate may be loaded into the reactor.
  • If a robot arm that may not be moved in a vertical direction is used, the robot arm may be disposed at a position in a reactor suitable for transferring the substrate, and then the supporting pin 172, the supporting pin holder 173 and the supporting pin rotary movement mechanism may be moved downward by using the supporting pin vertical movement mechanism. The supporting pin 172 is moved downward and the robot arm supports the substrate 150 when it is detached from the supporting pin 172. The robot arm is then moved outside of the reactor such that the substrate 150 is unloaded from the reactor and another substrate is loaded into the same position in which the supporting pin 172 supports the substrate 150. Next, the supporting pin 172, the supporting pin holder 173, and the supporting pin rotary movement mechanism are moved upward by using the supporting pin vertical movement mechanism such that the supporting pin 172 supports the new substrate and the robot arm is moved outside of the reactor.
  • Meanwhile, if a robot arm movable in a vertical direction is used, the substrate may be transferred without vertically moving the supporting pin 172. Accordingly, the supporting pin vertical movement mechanism may be omitted. The supporting pin vertical movement mechanism may include an electric motor and a pneumatic cylinder.
  • In this case, the substrate holder 160 is moved upward by using the substrate holder vertical movement mechanism such that the substrate holder 160 contacts the reactor cover 101 and the film having the desired thickness is deposited on the new substrate using the same method as described above.
  • Likewise, in one embodiment where the desired film is deposited by dividing in n times, a film having a thickness of about 1/n of the desired thickness is formed on the substrate 150 in the reactor with gases flowing in a constant direction. After that, the supply of the reactant gases is stopped and the substrate 150 and the supporting pin 172 may be rotated by about 360°/n. The above process may be repeated n times to form a film having the desired thickness.
  • As described above, the supporting pin rotary movement mechanism rotates by a constant angle such as about 180°, about 120°, or about 90°. The supporting pin rotary movement mechanism may include a device that rotates by a constant pre-selected angle by using air pressure as well as an electric means such as the step motor.
  • Deposition Apparatus Having Multiple Reactors
  • Now, a lateral flow deposition apparatus according to another embodiment will be described in detail with reference to FIG. 3. FIG. 3 is a cross-sectional view of the lateral flow deposition apparatus.
  • The illustrated lateral flow deposition apparatus includes a plurality of lateral flow reaction chambers, and a substrate moving mechanism for moving a plurality of substrates. The reaction chambers are arranged to form a path. The substrate moving mechanism serves to move the substrate from one reaction to another along the path during a deposition circuit. The substrates are processed in at least two of the reaction chambers during the deposition circuit, i.e., a film having the desired thickness is formed on a substrate by deposition steps performed in two or more of the reaction chambers. The reaction chambers and the substrate moving mechanism are together configured to provide different relative orientations between the substrates and the gas flow directions in different reaction chambers.
  • In the illustrated embodiment, the gas flow directions in the reaction chambers are fixed and substantially parallel to one another, but the substrates are rotated less than 360° (relative to the common gas flow direction) by the substrate moving mechanism when they are transferred from one reaction chamber to another. As a result, the gas flow directions relative to the substrates are different in different reaction chambers.
  • The deposition apparatus of FIG. 3 includes a plurality of reaction chambers. The deposition apparatus includes reactor covers 301 a and 301 b, substrate holders 360 a and 360 b, and substrate supporting pins 361 a and 361 b inserted into the substrate holders 360 a and 360 b. The reactor covers 301 a and 301 b and the substrate holders 360 a and 360 b define a plurality of reaction chambers or reaction spaces in which substrates are processed.
  • Each of the reactor covers 301 a and 301 b includes gas inlets 310 and 312 configured to supply process gases into the reaction chamber, and a gas outlet 320 configured to exhaust gas from the reaction chamber. One of the gas inlets 310 and 312 may be omitted, particularly for CVD, but for ALD a separate inlet for each reactant is preferred. Although not shown, each of the inlets 310 may be connected to each of reactant gas supply tubes symmetrically diverging from one reactant source supply apparatus, and similarly for 312. Thus, each reaction chamber is configured to vapor deposit the same material. In addition, exhaust tubes connected to the each gas outlet 320 may be converging symmetrically to one exhaust tube connected to an external exhaust vacuum pump (not shown).
  • Each of the reaction chambers also includes gas flow control guide structures 340 and 342 configured to maintain a substantially laminar gas flow over the substrates. Each of the gas flow control guide structures 340 and 342 may be configured to provide a gas flow in a fixed direction. In the illustrated embodiment, the gas flow control guide structures 340 and 342 are configured to provide gas flows in substantially the same direction as each other in the reaction chambers.
  • The deposition apparatus also includes a reactor base 372 configured to support both of the substrate holders 360 a and 360 b. The substrate holders 360 a and 360 b may be detachably fixed to the reactor base 372 while not being rotatable relative to the reactor base 372 when the chambers are closed for deposition. The deposition apparatus further includes supporting pins 361 a and 361 b configured to hold the substrates while moving the substrate holders 360 a and 360 b downward, and supporting pin holders 365 a and 365 b that are fixed relative to the outer wall 398, configured to support the supporting pins 361 a and 362 b when the chambers are opened.
  • In addition, the deposition apparatus includes a substrate transfer mechanism. The substrate transfer mechanism serves to horizontally rotate the substrate holders 360 a and 360 b during a deposition process. The substrate transfer mechanism may includes a plurality of driving guide posts 382 connected to an outer wall 398, a lower fixing plate 381 to which the driving guide posts 382 are connected, a driving plate 383 connected to the driving guide posts 382 through bearings 386, connecting supporters 373 into which projecting portions of the reactor base 372 are inserted, a feed-through 374 for rotation, an electric motor 375, a pneumatic cylinder for vertical motion 384, and a bellows 385 for allowing vertical motion while sealing the bottom of the reactor.
  • The reactor base 372 may be moved vertically and may be rotated horizontally by the substrate transfer mechanism. First, vertical movement of the substrate transfer mechanism is described. The pneumatic cylinder 384 for vertical motion causes the driving plate 383 (to which the electric motor 375 and the feed-through 374 for rotation are connected) to move vertically. The vertical motion of the driving plate 383 is transferred to the reactor base 372 supporting the substrate holders 360 a and 360 b through the rotary posts 376 of the electric motor 375 and the connecting supporters 373 such that the substrate holders 360 a and 360 b may be moved vertically. Here, the bellows 385 allows proper displacement while driving vertically.
  • The substrate holders 360 a and 360 b and the reactor base 372 are moved downward such that the substrates are detached from the reaction chambers, but only to a vertical level that the substrate supporting pins 361 a and 361 b do not contact the supporting pin holders 365 a and 365 b. Then, the electric motor 375 is rotated. The rotary motion of the electric motor 375 is transferred to the reactor base 372 through the feed-through 374 for rotation and the connecting supporters 373 such that the reactor base 372 is rotated. Accordingly, the substrate holders 360 a and 360 b are rotated such that the substrates on the substrate holders 360 a and 360 b are transferred from one reaction chamber to another reaction chamber.
  • In the illustrated embodiment, because the substrate holders 360 a, 360 b are kept in the same position relative to the reactor base 372, the substrates are rotated by 180° when they are transferred from one reaction chamber to another. During a deposition process, a first portion of a desired film thickness is deposited on each substrate in each of the reaction chambers, and then a second portion of the desired film thickness (typically the same material) is deposited in the other of the reaction chambers, as will be better understood from description below.
  • In the illustrated embodiment, the deposition apparatus includes two reaction chambers. A skilled artisan will, however, appreciate that the deposition apparatus can include more than two reaction chambers. In one embodiment, a deposition apparatus includes two to eight reaction chambers, and particularly two to four reaction chambers.
  • Now, a deposition method according to one embodiment, using the lateral flow deposition apparatus of FIG. 3 will be described in detail. First, substrates are loaded onto the substrate holders 360 a and 360 b, while supported by the supporting pin holders 361 a, 361 b. The reactor base 372 is then moved upward such that the bottom of the reactor cover 301 a contacts the substrate holder 360 a and the bottom of the reactor cover 301 b contacts the substrate holder 360 b respectively, thereby forming enclosed reaction spaces. Films having an intermediate thickness are formed on the substrates in the reaction chambers by sequentially supplying reactant gases into the reaction chambers. In one example, the intermediate thickness may be up to about 50% of a desired final thickness of the resulting film.
  • Next, the supply of the reactant gases is stopped, and the substrate holders 360 a and 360 b are moved downward by moving the reactor base 372 downward to the position shown in FIG. 3. Then, the reactor base 372 is rotated by about 180° such that the substrate holders 360 a and 360 b are positioned under different reactor covers. For example, the substrates, which have been under the reactor covers 301 a and 301 b, are moved to under the reactor covers 301 b and 301 a, respectively, by the rotation of the reactor base 372, at the same time changing the substrate orientations relative to the outer walls 398 and the gas flow direction.
  • Next, the substrate holders 360 a and 360 b are moved upward such that the reactor cover 301 a contacts the substrate holder 360 b and the reactor cover 301 b contacts the substrate holder 360 a respectively, thereby forming enclosed reaction spaces. Then, the preformed films on the substrates are grown to have the desired final thickness, e.g., another film having a thickness of about 50% of the desired final thickness is formed on each of the substrates.
  • After the films having the desired thickness have been formed, supply of the reactant gases is stopped and the substrate holders 360 a and 360 b are moved downward. Next, the substrates are unloaded from the reaction chambers, and other new substrates may be loaded into the reaction chambers. The above processes can be repeated.
  • In a lateral flow deposition apparatus in which reactants flow from an upstream region to a downstream region, a resulting film may have non-uniformity (e.g., in thickness) between portions thereof in the upstream and downstream regions due to the fact that reactants are in a higher concentration in the upstream region than in the downstream region. In the embodiment described above, however, films are deposited on substrates while the substrates are exposed to reactant gases flowing different directions (about 180° in the illustrated embodiment) in different reaction chambers. In the example of FIG. 3, the reactant gases flow in the same direction in both chambers, while the substrates are rotated by 180° relative to that flow direction by rotation of the reactor base 372. Accordingly, uniformity of a film deposited in the lateral flow deposition apparatus may be improved while two or more substrates may be processed simultaneously.
  • In other embodiments, a lateral flow deposition apparatus may include three or more substrate holders supporting three or more substrates. The three or more substrates are transferred from a reaction chamber to another such that they are exposed to different gas flows (relative to substrate orientation) in different reaction chambers. In one embodiment, the deposition apparatus includes two to eight reaction chambers, and preferably two to four reaction chambers. Accordingly, the rotation angle of the substrate holders may be changed by the number of reaction chambers such that the rotation angle of the substrate holders may be about 180°, about 120°, and about 90° when the number of the reaction chambers is two, three, and four, respectively.
  • Now, a lateral flow deposition apparatus according to another embodiment will be described in detail with reference to FIG. 4A and FIG. 4B. FIG. 4A is a perspective view of the lateral flow deposition apparatus, and FIG. 4B is a top plan view of the lateral flow deposition apparatus of FIG. 4A.
  • The lateral flow deposition apparatus according to this embodiment includes a plurality of lateral flow reaction chambers, and a substrate transfer mechanism for transferring a plurality of substrates loaded in the reaction chambers among the reaction chambers. The gas flow directions in the reaction chambers are fixed and substantially parallel to one another, but the substrates are rotated relative to the gas flow direction less than 360° by the substrate moving mechanism when they are transferred from one reaction chamber to another. As a result, the gas flow directions relative to the substrates are different in different reaction chambers.
  • Referring to FIG. 4A, the chamber 400 includes three reaction chambers. Each of the reaction chambers respectively includes a reactor cover 410 a, 410 b, 410 c, a substrate holder 420 a, 420 b, and 420 c, and a supporting pin 460 a, 460 b, and 460 c inserted into the substrate holder 420 a, 420 b, and 420 c. Each of the reactor covers 410 a, 410 b, and 410 c defines a reaction space along with one of the substrate holders 420 a, 420 b, and 420 c. Each of the reactor covers 410 a, 410 b, and 410 c includes at least one gas inlet 402 a, 402 b, and 402 c and a gas outlet 404 a, 404 b, and 404 c, and is fixed to the cover of the chamber 400 by a fastening mechanism 406 a-406 c. The reactor cover also includes a gas flow control guide structure (not shown) configured to provide a substantially laminar gas flow over substrates.
  • Even though the gas inlets 402 a, 402 b, and 402 c and the gas outlets 404 a, 404 b, and 404 c are provided in the reactor cover, and the gas inlets 402 a, 402 b, and 402 c and the gas outlets 404 a, 404 b, and 404 c are connected respectively to a separate gas supply device (not shown) and an exhaust device (not shown), in FIG. 4A, a single gas supply device may be provided in the reactor cover. The gas inlets 402 a, 402 b, and 402 c may be connected to each of gas supply tubes symmetrically diverged from the one gas supply device toward the reaction chambers, respectively. In addition, each of gas outlets 404 a, 404 b, and 404 c may be connected to an external exhaust vacuum pump (not shown) in the manner that exhaust tubes connected to each of gas outlets 404 a, 404 b, and 404 c may be symmetrically converged to one exhaust tube connected to an external exhaust vacuum pump (not shown). The substrate holders 420 a, 420 b, and 420 c may include substrate heaters (not shown) configured to heat the substrate holders and the substrates.
  • The substrate holders 420 a, 420 b, and 420 c may move vertically such that the substrate holders 420 a, 420 b, and 420 c define reaction spaces by contacting to the reactor covers 410 a, 410 b, and 410 c.
  • The reactor 400 includes supporting pins 460 a, 460 b, and 460 c configured to support the substrates while moving downward the substrate holders 420 a, 420 b, and 420 c. Even though one supporting pin having a round shape is shown to be provided in each reaction chamber in FIG. 4A, the supporting pin may have a different shape and may be arranged differently. For example, three supporting pins that are in point contact with each substrate at positions away from the center of each substrate may be used for the supporting pin.
  • A substrate entrance 440 for a loading and unloading path of the substrates is provided at one side of the chamber exterior wall. The substrate may be loaded to or unloaded from each reaction chamber through the substrate entrance 440.
  • Now, the substrate transfer mechanism in the lateral flow apparatus according to the present embodiment will be described with reference to FIG. 4B. The illustrated substrate transfer mechanism includes a plurality of arms 490 a, 490 b, and 490 c that are configured to load or unload the substrates, and an arm axis 492 connecting to the plurality of arms 490 a, 490 b, and 490 c.
  • The arm axis 492 may be connected to an arm driving mechanism that is configured to drive the arm axis 492 to move vertically and rotate horizontally. The arms 490 a, 490 b, and 490 c may support the substrates, while not preventing the supporting pins 460 a, 460 b, and 460 c from moving vertically.
  • In FIG. 4B, the arms 490 a, 490 b, and 490 c have a hook shape. However, the arms may have other shapes that may support the substrates while not preventing vertical movement of supporting pins.
  • The arms 490 a, 490 b, and 490 c sequentially receive three substrates entering through the substrate entrance 440 and then load the substrates on the supporting pins 460 a, 460 b, and 460 c, respectively, by lowering the arms 490 a, 490 b, and 490 c and/or raising the supporting pins 460 a, 460 b, 460 c. After the substrates are loaded on the supporting pins 460 a, 460 b, and 460 c, the arms 490 a, 490 b, and 490 c are positioned as shown in FIG. 4B such that the arms 490 a, 490 b, and 490 c may not prevent the substrate holders 420 a, 420 b, and 420 c from moving vertically.
  • Even though three reaction chambers are shown in FIG. 4A and FIG. 4B, the deposition apparatus may include two to eight reaction chambers, and preferably includes two to four reaction chambers.
  • Now, a deposition method according to an embodiment using the lateral flow deposition apparatus shown in FIG. 4A and FIG. 4B will be described in detail. First, substrates are loaded onto the substrate holders 420 a, 420 b, and 420 c, as described above. Then, the reactor covers 410 a, 410 b, 410 c and the substrate holders 420 a, 420 b, 420 c are contacted with each other to define enclosed reaction spaces. Then, sub-films having a first intermediate thickness are formed on the substrates by sequentially supplying reactant gases into the reaction chambers. In one example, in which a single film is to be deposited in three stages with intervening rotation between substrate orientations and gas flow directions, the first intermediate thickness may be about ⅓ of a desired final thickness.
  • Next, the supply of the reactant gases is stopped and the substrate holders 420 a, 420 b, and 420 c are moved downward. The substrate loaded on the substrate holder 420 a is transferred to the substrate holder 420 b, the substrate loaded on the substrate holder 420 b is transferred to the substrate holder 420 c, and the substrate loaded on the substrate holder 420 c is transferred to the substrate holder 420 a by raising, rotating 120°, and lowering the arm axis 492. Here, unlike the deposition apparatus shown in FIG. 3, the substrate holders 420 a, 420 b, and 420 c move vertically, but do not rotate in a horizontal direction. Next, when the substrates are positioned on the next substrate holders, the substrate holders 420 a, 420 b, and 420 c are moved upward to form enclosed reaction spaces. Then, the second sub-films on the substrates are grown to a second intermediate thickness by sequentially supplying reactant gases into the reaction chambers. The second intermediate thickness may be about ⅔ of the desired final thickness, i.e., another sub-film (preferably of the same material) having about ⅓ of the final thickness is deposited during this step.
  • Next, the supply of the reactant gases is again stopped and the substrate holders 420 a, 420 b, and 420 c are again moved downward. The substrate loaded on the substrate holder 420 a is transferred to the substrate holder 420 b, the substrate loaded on the substrate holder 420 b is transferred to the substrate holder 420 c, and the substrate loaded on the substrate holder 420 c is transferred to the substrate holder 420 a by the arm axis 492. Next, when the substrates are positioned on the next substrate holders, the substrate holders 420 a, 420 b, and 420 c are moved upward to form enclosed reaction spaces. Then, the films on the substrates are grown with another sub-film thickness by sequentially supplying reactant gases (for an ALD example) into the reaction chambers, e.g., another film having about ⅓ of the final thickness is deposited during this step.
  • After the film having the desired thickness is formed, supply of the reactant gases is stopped and the substrate holders 420 a, 420 b, and 420 c are moved downward. Next, the three substrates are unloaded from the reaction chambers and three new substrates are loaded into the reaction chambers. The above processes can be repeated for other substrates.
  • As described above, films are deposited while exposing the substrates to different flow directions (relative to the substrate orientation) in different chambers. Accordingly, a film having a uniform thickness may be deposited in the lateral flow deposition apparatus and a plurality of substrates may be processed simultaneously.
  • Even though the present embodiment is described in a case of three reaction chambers, the deposition apparatus may include two to eight reaction chambers, and preferably includes two to four reaction chambers as described above. The rotation angle of the substrates may be changed by the number of reaction chambers such that the rotation angle of the substrate holders may be about 180°, about 120°, and about 90° when the number of the reaction chambers is two, three, and four, respectively.
  • Now, a lateral flow deposition apparatus and a deposition method using the deposition apparatus according to another embodiment will be described with reference to FIG. 5. FIG. 5 is a schematic plan view of a lateral flow deposition chamber having four reaction chambers according to another embodiment.
  • Referring to FIG. 5, the reactor 500 includes four reaction chambers 520 a, 520 b, 520 c, and 520 d. In FIG. 5, the gas flow direction in each reaction chamber is shown by arrows. Now, the deposition method according to one embodiment, using the lateral flow deposition apparatus shown in FIG. 5, will be described.
  • First, films having a thickness of about ¼ of a desired thickness are formed on substrates under the state in which the substrates are loaded on four reaction chambers 520 a, 520 b, 520 c, and 520 d. Then the substrates are transferred to adjacent reaction chambers 520 b, 520 c, 520 d, and 520 a, respectively. Assuming that the substrates are rotated from chamber to chamber, the inner edge of the substrate maintains its orientation relative to the center of the reactor 500, but the orientation relative to the gas flow changes. The above processes are repeated four times, each stage depositing about ¼ of the desired film thickness, to deposit a film having the desired thickness. On the other hand, if the desired film thickness is to be achieved in two circuits of the reaction chambers 520 a, 520 b, 520 c, 520 d, 8 such repetitions can be conducted, each stage depositing about ⅛ of the desired film thickness. Accordingly, films are deposited while changing the flow direction of reactant gases on the substrates by 90° sequentially by transferring the plurality of substrates from one reaction chamber to another. For ALD reactions, each stage involves multiple cycles.
  • In another embodiment, a film having a desired thickness may be formed by dividing the desired thickness of the film into two using the deposition apparatus of FIG. 5. Films having a thickness of about ½ of the desired thickness may be formed on substrates while the substrates are loaded in four reaction chambers 520 a, 520 b, 520 c, and 520 d. Then, the substrates are transferred to the opposite reaction chambers 520 c, 520 d, 520 a, and 520 b respectively, instead of the adjacent reaction chambers 520 b, 520 c, 520 d, and 520 a. Additional films having a thickness of about ½ of the desired thickness are formed on the substrates in the opposite reaction chambers.
  • Now, a lateral flow deposition apparatus according to another embodiment will be described with reference to FIG. 6. FIG. 6 is a cross-sectional view of the lateral flow deposition apparatus. The illustrated lateral flow deposition apparatus includes a plurality of independent reaction chambers 620 a-620 d and a transferring module 610 configured to load, unload, or transfer substrates between the reaction chambers 620 a-620 d. The transferring module 610 may include a robot arm.
  • The gas flow on the substrate is maintained substantially lateral, and the gas flow direction in each reaction chamber is shown by arrows in FIG. 6. As shown in FIG. 6, the gas flow directions in the reaction chambers 620 a-620 d are constant and different from one another, relative to the center of the transfer module. In addition, at least two of the reaction chambers 620 a-620 d have opposite gas flow directions from each other, relative to the center of the transfer module.
  • Now, the deposition method according to one embodiment, using the lateral flow deposition apparatus shown in FIG. 6 will be described. Two substrates are loaded on two reaction chambers having the opposite gas flow directions among the reaction chambers 620 a-620 d using the transferring module 610. Films having a thickness of about ½ of a desired thickness are formed on the substrates. Then, the two substrates are transferred to the other reaction chambers having the opposite gas flow directions by using the transferring module 610. Other films having a thickness of about ½ of the desired thickness are formed on the preformed films on the substrates. Accordingly, films are deposited while changing the flow direction of reactant gases on the substrates by transferring the substrates between the reaction chambers having the opposite gas flow directions, thereby depositing films having a uniform thickness. It will be understood that other portions of the desired film thickness can be selected, and twice as many transfers are needed if ¼ of the deposition is conducted at each stage.
  • The number of process modules to process in each reaction chamber may depend on the number of gas flow directions in each reaction chamber. Accordingly, if the number of gas flow directions in each reaction chamber is too numerous, too many process modules are used to deposit films. Therefore, it is preferable that the number of process modules is not too many. The lateral flow deposition apparatus shown in FIG. 6 includes only two reaction chambers having opposite gas flow directions per film (620 a, 620 b for one film and 620 c, 620 d for another), so efficiency of the deposition apparatus may be improved. In other embodiments, the deposition apparatus may have four reaction chambers per film, depending on plumbing and programming.
  • In FIG. 6, “opposite” flow patterns are determined relative to a center of the transferring modules. While the mechanism of transfer differs, each of FIGS. 3, 4B, 5, and 6 effectively employ rotational transfer, such that substrate orientations remain fixed relative to a radial direction (relative to the reactor or cluster tool center). In the case of FIG. 6, a wafer handling robot, with an axis of rotation at the center, may load/unload wafers.
  • As described above, the cluster deposition apparatus having independent process modules instead of a plurality of reaction chambers in one reactor may be applied to the deposition method according to embodiments of the present invention.
  • Referring to FIG. 7, another embodiment of deposition apparatus will be described below. The illustrated deposition apparatus 700 includes four reaction chambers 710 a-710 d and a robot arm 730. Each of the reaction chambers 710 a-710 d is used to process a single substrate 720 a-720 d at a time. Each of the reaction chambers 710 a-710 d is configured to provide a laminar reaction gas flow over the substrate 720 a-720 d processed therein. The gas flow directions in the reaction chambers 710 a-710 d are denoted by arrows in the chambers 710 a-710 d. In the illustrated embodiment, the reaction chambers 710 a-710 d are arranged to form a substantially half circle, and all the gas flow directions extend radially from the center of the half circle toward the periphery of the half circle, and thus can be considered to have the same gas flow orientations relative to the center of the deposition apparatus 700.
  • The robot arm 730 is configured to transfer a substrate from one of the reaction chambers 710 a-710 d to another. When transferring the substrate, the robot arm 730 may rotate the substrate such that the substrate is in different orientations relative to the gas flow directions in different reaction chambers 710 a-710 d. The robot arm 730 can have a mechanism to rotate a substrate while the substrate is held by the robot arm 730. Alternatively, the robot 730 can work in conjunction with a stand-alone alignment device, which can change the substrate angular orientation relative to the robot 720 and effector, and thus relative to the gas flow directions. As with FIG. 7, the number of chambers may be selected depending on how many deposition circuits are performed to form a film. The fewer the number of deposition circuits is, the better efficient the deposition process is. However, the more the number of deposition circuits is, the more uniformity the resulting film has. Details of a process of forming a film using the apparatus 700 can be as described above with respect to the above embodiments, except for the configurations of the reaction chambers and the use of the robot arm. A skilled artisan will appreciate that the reaction chambers can be arranged any suitable manner, and the robot arm can adjust the orientation of the substrate, depending on the arrangement of the reaction chambers and their gas flow directions.
  • In the embodiments described above, either the substrate orientation or gas flow direction is changed to provide different relative positions between the substrate orientation and the gas flow direction. In other embodiments, such different relative positions can also be achieved by changing both the substrate orientation and the gas flow direction.
  • While this invention has been described in connection with what is considered to be practical exemplary embodiments, it is to be understood that the invention is not limited to the disclosed embodiments, but, on the contrary, is intended to cover various modifications and equivalent arrangements included within the spirit and scope of the appended claims.

Claims (33)

1. A method of depositing a film over a substrate, the method comprising in sequence:
flowing one or more reactant gases horizontally over a substrate in a first direction relative to the substrate until a first film having a first thickness is formed over the substrate, the first thickness being thinner than a target thickness;
stopping flowing the reactant gases over the substrate; and
flowing the reactant gases horizontally over the substrate in a second direction relative to the substrate until a second film having a second thickness is formed over the first film, the second thickness being thinner than the target thickness, the second direction being different from the first direction.
2. The method of claim 1, wherein each of the first and second thicknesses is about 1/n of the target thickness, where n is a natural number equal to or greater than 2.
3. The method of claim 2, wherein n is a natural number of 2 to 8.
4. The method of claim 1, wherein the second direction is different in angle from the first direction by about 360°/n, n being a natural number equal to or greater than 2.
5. The method of claim 1, wherein the method comprises maintaining the flow direction of the reactant gases constant, and wherein the method further comprises rotating the substrate while stopping flowing the reactant gases.
6. The method of claim 1, wherein the method comprises maintaining the orientation of the substrate constant, and wherein the method further comprises changing the direction of the reactant gases for flowing the reactant gases in the second direction.
7. The method of claim 1, wherein the method comprises changing the orientation of the substrate and changing the direction of the reactant gases for flowing the reactant gases in the second direction.
8. A method of depositing a film over a substrate, the method comprising in sequence:
flowing one or more reactant gases horizontally over a substrate in a first direction in a first reactor to form a first film over the substrate;
transferring the substrate from the first reactor to a second reactor; and
flowing the same reactant gases horizontally over the substrate in a second direction in the second reactor to form a second film over the first film, the second direction being different from the first direction relative to the substrate, the first and second films being formed of the same material.
9. The method of claim 8, wherein the first film has a first thickness thinner than a target thickness, and wherein the second film has a second thickness thinner than the target thickness.
10. The method of claim 9, wherein each of the first and second thicknesses is about 1/n of the target thickness, where n is a natural number equal to or greater than 2.
11. The method of claim 10, wherein n is a natural number of 2 to 8.
12. The method of claim 8, wherein the second direction is different in angle from the first direction by about 360°/n, n being a natural number equal to or greater than 2.
13. The method of claim 8, wherein the direction of the reactant gases in the first reactor is substantially parallel to the direction of the reactant gases in the second reactor, and wherein transferring the substrate comprises rotating the substrate.
14. The method of claim 8, wherein the direction of the reactant gases in the first reactor is different in angle from the direction of the reactant gases in the second reactor.
15. The method of claim 8, wherein the direction of the reactant gases in the first reactor is different in angle from the direction of the reactant gases in the second reactor, and wherein transferring the substrate comprises rotating the substrate.
16. An apparatus for depositing a thin film over a substrate, the apparatus comprising:
a reaction chamber configured to define an enclosed reaction space in which deposition is performed on a substrate, the reaction space being configured to provide a laminar gas flow in a direction over the substrate; and
a driver configured to rotate the substrate while deposition is not performed on the substrate such that the orientation of the substrate relative to the direction of the laminar gas flow is different from the orientation of the substrate before being rotated.
17. The apparatus of claim 16, wherein the reaction chamber further comprises a reactor cover and a substrate holder together configured to form the reaction chamber, and wherein the reactor cover and the substrate holder are configured to be separated from each other while the driver rotates the substrate.
18. The apparatus of claim 16, further comprising a gas flow control guide structure configured to define the reaction space with the substrate holder.
19. The apparatus of claim 17, further comprising a supporting pin configured to support the substrate while the reactor cover and the substrate are separated from each other, wherein the driver is configured to rotate the supporting pin.
20. The apparatus of claim 16, wherein the driver is configured to rotate the substrate by an angle of about 360°/n at a time, and wherein n is a natural number greater than 2.
21. The apparatus of claim 20, wherein n is a natural number of 2 to 8.
22. An apparatus for depositing a thin film over a substrate, the apparatus comprising:
a plurality of reaction chambers, each of the reaction chambers being configured to define an enclosed reaction space in which deposition is performed on a substrate, the reaction space being configured to provide a laminar gas flow in a direction over the substrate, the reaction chambers being configured to provide the same reactant gases as one another into the reaction spaces; and
a transfer device configured to transfer a substrate from one of the reaction chambers to another of the reaction chambers, wherein the orientation of the substrate relative to the direction of the laminar gas flow in the one reaction chamber is different from the orientation of the substrate relative to the direction of the laminar gas flow in the other reaction chamber.
23. The apparatus of claim 22, wherein the reaction chambers are configured to provide the reactant gases in substantially the same direction as one another, and wherein the transfer device is further configured to rotate the substrate while transferring the substrate from the one reaction chamber to the other reaction chamber.
24. The apparatus of claim 23, wherein each of the reaction chambers further comprises a reactor cover and a substrate holder together configured to form the reaction chamber, and wherein the reactor cover and the substrate holder of each of the reaction chambers are configured to be separated from each other while the transfer device rotates the substrate.
25. The apparatus of claim 24, wherein each of the reaction chambers further comprises a gas flow control guide structure configured to define the reaction space with the substrate holder.
26. The apparatus of claim 24, further comprising a reactor base configured to support the substrate holders of the reaction chambers at least while the reactor cover and the substrate holder of each of the reaction chambers are separated from each other, wherein the transfer device is configured to rotate the reactor base.
27. The apparatus of claim 24, wherein the transfer device comprises one or more arms, each of the arms being configured to support a substrate while the reactor cover and the substrate holder of each of the reaction chambers are separated from each other, and wherein the one or more arms are configured to transfer the substrate from the one reaction chamber to the other reaction chamber.
28. The apparatus of claim 27, wherein the reaction chambers are arranged to form a substantially enclosed path, wherein the apparatus further comprises an arm axis positioned substantially in the center of the path, and coupled to the one or more arms, and wherein the arm axis is configured to rotate the one or more arms.
29. The apparatus of claim 22, wherein at least two of the reaction chambers are configured to provide the reactant gases in different directions from one another.
30. The apparatus of claim 29, wherein the at least two of the reaction chambers are configured to provide the reactant gases in directions that are different in angle by about 360°/n.
31. The apparatus of claim 22, wherein the transfer device is configured to rotate the substrate by an angle of about 360°/n when transferring the substrate from the one reaction chamber to the other reaction chamber, and wherein n is a natural number greater than 2.
32. The apparatus of claim 31, wherein n is a natural number of 2 to 8.
33. The apparatus of claim 22, wherein the transfer device comprises a robot arm configured to rotate the substrate such that the orientation of the substrate relative to the direction of the laminar gas flow in the one reaction chamber is different from the orientation of the substrate relative to the direction of the laminar gas flow in the other reaction chamber.
US12/058,364 2007-04-02 2008-03-28 Lateral flow deposition apparatus and method of depositing film by using the apparatus Abandoned US20080241384A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2007-0032422 2007-04-02
KR20070032422 2007-04-02

Publications (1)

Publication Number Publication Date
US20080241384A1 true US20080241384A1 (en) 2008-10-02

Family

ID=39794846

Family Applications (1)

Application Number Title Priority Date Filing Date
US12/058,364 Abandoned US20080241384A1 (en) 2007-04-02 2008-03-28 Lateral flow deposition apparatus and method of depositing film by using the apparatus

Country Status (2)

Country Link
US (1) US20080241384A1 (en)
KR (1) KR101512079B1 (en)

Cited By (359)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080210165A1 (en) * 2007-03-02 2008-09-04 Asm Japan K.K. Cluster type semiconductor processing apparatus
US20090041952A1 (en) * 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US20090136665A1 (en) * 2007-11-27 2009-05-28 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
US20100050942A1 (en) * 2008-08-29 2010-03-04 Tokyo Electron Limited Film deposition apparatus and substrate process apparatus
US20100055347A1 (en) * 2008-08-29 2010-03-04 Tokyo Electron Limited Activated gas injector, film deposition apparatus, and film deposition method
US20100267245A1 (en) * 2009-04-14 2010-10-21 Solexel, Inc. High efficiency epitaxial chemical vapor deposition (cvd) reactor
US20110031214A1 (en) * 2009-08-06 2011-02-10 Jisoo Kim Vacuum processing chambers incorporating a moveable flow equalizer
US20110100489A1 (en) * 2009-11-04 2011-05-05 Tokyo Electron Limited Substrate process apparatus, substrate process method, and computer readable storage medium
US20110126985A1 (en) * 2009-12-02 2011-06-02 Tokyo Electron Limited Substrate processing apparatus
US20110139074A1 (en) * 2009-12-10 2011-06-16 Tokyo Electron Limited Film deposition apparatus
US20110168094A1 (en) * 2010-01-13 2011-07-14 Honda Motor Co., Ltd. Plasma film forming apparatus
US20110214611A1 (en) * 2008-11-14 2011-09-08 Tokyo Electron Limited Film deposition apparatus
US20120272900A1 (en) * 2011-04-29 2012-11-01 Asm Genitech Korea Ltd. Lateral flow atomic layer deposition device
US20130017318A1 (en) * 2011-07-13 2013-01-17 Seo Sang-Joon Vapor Deposition Apparatus and Method, and Method of Manufacturing Organic Light Emitting Display Apparatus
US20130017343A1 (en) * 2011-07-13 2013-01-17 Seo Sang-Joon Vapor deposition apparatus, vapor deposition method, and method of manufacturing organic light-emitting display apparatus
US20140217193A1 (en) * 2013-02-06 2014-08-07 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US9012257B2 (en) 2011-07-13 2015-04-21 Samsung Display Co., Ltd. Vapor deposition apparatus and method, and method of manufacturing organic light emitting display apparatus
US20160060760A1 (en) * 2014-08-26 2016-03-03 Asm Ip Holding B.V. Deposition apparatus and cleansing method using the same
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
CN107086186A (en) * 2016-02-15 2017-08-22 北京北方微电子基地设备工艺研究中心有限责任公司 A kind of reaction chamber and substrate processing apparatus
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9870937B2 (en) 2010-06-09 2018-01-16 Ob Realty, Llc High productivity deposition reactor comprising a gas flow chamber having a tapered gas flow space
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US20180171477A1 (en) * 2016-12-19 2018-06-21 Asm Ip Holding B.V. Substrate processing apparatus
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
CN109326537A (en) * 2017-07-31 2019-02-12 Asm知识产权私人控股有限公司 Substrate processing device
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) * 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10287683B2 (en) 2012-06-25 2019-05-14 Lam Research Corporation Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10964531B1 (en) * 2019-09-26 2021-03-30 Kokusai Electric Corporation Method of manufacturing semiconductor device by supplying gas
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018032B2 (en) 2017-08-18 2021-05-25 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11110383B2 (en) 2018-08-06 2021-09-07 Applied Materials, Inc. Gas abatement apparatus
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11177128B2 (en) 2017-09-12 2021-11-16 Applied Materials, Inc. Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227797B2 (en) 2018-11-16 2022-01-18 Applied Materials, Inc. Film deposition using enhanced diffusion process
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361978B2 (en) 2018-07-25 2022-06-14 Applied Materials, Inc. Gas delivery module
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11462417B2 (en) 2017-08-18 2022-10-04 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527421B2 (en) 2017-11-11 2022-12-13 Micromaterials, LLC Gas delivery system for high pressure processing chamber
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581183B2 (en) 2018-05-08 2023-02-14 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610773B2 (en) 2017-11-17 2023-03-21 Applied Materials, Inc. Condenser system for high pressure processing system
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023129142A1 (en) * 2021-12-28 2023-07-06 Applied Materials, Inc. Electronic device manufacturing systems having paired deposition chambers for enhanced deposition uniformity
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11705337B2 (en) 2017-05-25 2023-07-18 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11749555B2 (en) 2018-12-07 2023-09-05 Applied Materials, Inc. Semiconductor processing system
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11881411B2 (en) 2018-03-09 2024-01-23 Applied Materials, Inc. High pressure annealing process for metal containing materials
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11959171B2 (en) 2022-07-18 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106206376A (en) * 2016-07-15 2016-12-07 无锡宏纳科技有限公司 The multi-platform workbench of IC manufacturing

Citations (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3397297A (en) * 1966-02-24 1968-08-13 Ca Atomic Energy Ltd Induction heating apparatus
US3696779A (en) * 1969-12-29 1972-10-10 Kokusai Electric Co Ltd Vapor growth device
US4058430A (en) * 1974-11-29 1977-11-15 Tuomo Suntola Method for producing compound thin films
US4421786A (en) * 1981-01-23 1983-12-20 Western Electric Co. Chemical vapor deposition reactor for silicon epitaxial processes
US4482419A (en) * 1983-02-03 1984-11-13 Anelva Corporation Dry etching apparatus comprising etching chambers of different etching rate distributions
US4834022A (en) * 1985-11-08 1989-05-30 Focus Semiconductor Systems, Inc. CVD reactor and gas injection system
US5281274A (en) * 1990-06-22 1994-01-25 The United States Of America As Represented By The Secretary Of The Navy Atomic layer epitaxy (ALE) apparatus for growing thin films of elemental semiconductors
US5338362A (en) * 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
US5366555A (en) * 1990-06-11 1994-11-22 Kelly Michael A Chemical vapor deposition under a single reactor vessel divided into separate reaction regions with its own depositing and exhausting means
US5370738A (en) * 1992-03-06 1994-12-06 Pioneer Electronic Corporation Compound semiconductor vapor phase epitaxial device
US5595606A (en) * 1995-04-20 1997-01-21 Tokyo Electron Limited Shower head and film forming apparatus using the same
US5667592A (en) * 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US5711811A (en) * 1994-11-28 1998-01-27 Mikrokemia Oy Method and equipment for growing thin films
US5730802A (en) * 1994-05-20 1998-03-24 Sharp Kabushiki Kaisha Vapor growth apparatus and vapor growth method capable of growing good productivity
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6002108A (en) * 1997-01-16 1999-12-14 Tokyo Electron Limited Baking apparatus and baking method
US6015590A (en) * 1994-11-28 2000-01-18 Neste Oy Method for growing thin films
US6113984A (en) * 1996-08-07 2000-09-05 Concept Systems Design, Inc. Gas injection system for CVD reactors
US6162299A (en) * 1998-07-10 2000-12-19 Asm America, Inc. Multi-position load lock chamber
US6190457B1 (en) * 1996-03-22 2001-02-20 Nippon Sanso Corporation CVD system and CVD process
US20010007244A1 (en) * 2000-01-06 2001-07-12 Kimihiro Matsuse Film forming apparatus and film forming method
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US20020043216A1 (en) * 2000-08-09 2002-04-18 Chul-Ju Hwang Atomic layer deposition method and semiconductor device fabricating apparatus having rotatable gas injectors
US6399510B1 (en) * 2000-09-12 2002-06-04 Applied Materials, Inc. Bi-directional processing chamber and method for bi-directional processing of semiconductor substrates
US20020122885A1 (en) * 2001-03-01 2002-09-05 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6539891B1 (en) * 1999-06-19 2003-04-01 Genitech, Inc. Chemical deposition reactor and method of forming a thin film using the same
US20030072645A1 (en) * 2001-08-09 2003-04-17 Terry Murray Edge gripping pre-aligner
US20030075273A1 (en) * 2001-08-15 2003-04-24 Olli Kilpela Atomic layer deposition reactor
US6562140B1 (en) * 1999-05-10 2003-05-13 Asm Microchemistry Oy Apparatus for fabrication of thin films
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US20030194493A1 (en) * 2002-04-16 2003-10-16 Applied Materials, Inc. Multi-station deposition apparatus and method
US6645574B1 (en) * 1999-04-06 2003-11-11 Genitech, Inc. Method of forming a thin film
US20040009307A1 (en) * 2000-06-08 2004-01-15 Won-Yong Koh Thin film forming method
US20040026037A1 (en) * 2000-08-11 2004-02-12 Hiroshi Shinriki Device and method for processing substrate
US20040052972A1 (en) * 2002-07-03 2004-03-18 Jacques Schmitt Method and apparatus for ALD on a rotary susceptor
US20040104439A1 (en) * 2002-12-03 2004-06-03 Asm International N.V. Method of depositing barrier layer from metal gates
US20040129212A1 (en) * 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
US20040151844A1 (en) * 2003-02-04 2004-08-05 Zhihong Zhang Method to plasma deposit on organic polymer dielectric film
US6797617B2 (en) * 2002-05-21 2004-09-28 Asm America, Inc. Reduced cross-contamination between chambers in a semiconductor processing tool
US20040187784A1 (en) * 2003-03-28 2004-09-30 Fluens Corporation Continuous flow deposition system
US6812157B1 (en) * 1999-06-24 2004-11-02 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
US20040221808A1 (en) * 2003-05-09 2004-11-11 Asm Japan K.K. Thin-film deposition apparatus
US20050034664A1 (en) * 2001-11-08 2005-02-17 Koh Won Yong Apparatus for depositing
US20050037154A1 (en) * 2001-11-08 2005-02-17 Koh Won Yong Method for forming thin film
US20050064298A1 (en) * 2003-09-18 2005-03-24 Silverman Peter J. Multilayer coatings for EUV mask substrates
US6902763B1 (en) * 1999-10-15 2005-06-07 Asm International N.V. Method for depositing nanolaminate thin films on sensitive surfaces
US6902620B1 (en) * 2001-12-19 2005-06-07 Novellus Systems, Inc. Atomic layer deposition systems and methods
US20050124154A1 (en) * 2001-12-28 2005-06-09 Hyung-Sang Park Method of forming copper interconnections for semiconductor integrated circuits on a substrate
US20050208217A1 (en) * 2003-10-09 2005-09-22 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US20050229848A1 (en) * 2004-04-15 2005-10-20 Asm Japan K.K. Thin-film deposition apparatus
US20050268848A1 (en) * 2004-04-28 2005-12-08 Nanodynamics, Inc Atomic layer deposition apparatus and process
US7022184B2 (en) * 2000-07-07 2006-04-04 Asm International N.V. Atomic layer CVD
US7020981B2 (en) * 2003-10-29 2006-04-04 Asm America, Inc Reaction system for growing a thin film
US20060249077A1 (en) * 2005-05-09 2006-11-09 Kim Daeyoun Multiple inlet atomic layer deposition reactor
US7138336B2 (en) * 2001-08-06 2006-11-21 Asm Genitech Korea Ltd. Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof
US20060286810A1 (en) * 2005-06-01 2006-12-21 Annelies Delabie Atomic layer deposition (ALD) method and reactor for producing a high quality layer
US20070215036A1 (en) * 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20080075858A1 (en) * 2006-09-22 2008-03-27 Asm Genitech Korea Ltd. Ald apparatus and method for depositing multiple layers using the same
US20080110399A1 (en) * 2006-11-09 2008-05-15 Asm Genitech Korea Ltd. Atomic layer deposition apparatus

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6916374B2 (en) * 2002-10-08 2005-07-12 Micron Technology, Inc. Atomic layer deposition methods and atomic layer deposition tools
KR100508755B1 (en) * 2003-05-20 2005-08-17 삼성전자주식회사 Method of forming a thin film having a uniform thickness in a semiconductor device and Apparatus for performing the same
KR20030047975A (en) * 2003-05-29 2003-06-18 배준호 The Transfering Method of Wafer and Glass for Manufacturing Semiconductor and LCD

Patent Citations (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3397297A (en) * 1966-02-24 1968-08-13 Ca Atomic Energy Ltd Induction heating apparatus
US3696779A (en) * 1969-12-29 1972-10-10 Kokusai Electric Co Ltd Vapor growth device
US4058430A (en) * 1974-11-29 1977-11-15 Tuomo Suntola Method for producing compound thin films
US4421786A (en) * 1981-01-23 1983-12-20 Western Electric Co. Chemical vapor deposition reactor for silicon epitaxial processes
US4482419A (en) * 1983-02-03 1984-11-13 Anelva Corporation Dry etching apparatus comprising etching chambers of different etching rate distributions
US4834022A (en) * 1985-11-08 1989-05-30 Focus Semiconductor Systems, Inc. CVD reactor and gas injection system
US5366555A (en) * 1990-06-11 1994-11-22 Kelly Michael A Chemical vapor deposition under a single reactor vessel divided into separate reaction regions with its own depositing and exhausting means
US5281274A (en) * 1990-06-22 1994-01-25 The United States Of America As Represented By The Secretary Of The Navy Atomic layer epitaxy (ALE) apparatus for growing thin films of elemental semiconductors
US5370738A (en) * 1992-03-06 1994-12-06 Pioneer Electronic Corporation Compound semiconductor vapor phase epitaxial device
US5338362A (en) * 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
US5730802A (en) * 1994-05-20 1998-03-24 Sharp Kabushiki Kaisha Vapor growth apparatus and vapor growth method capable of growing good productivity
US6015590A (en) * 1994-11-28 2000-01-18 Neste Oy Method for growing thin films
US7498059B2 (en) * 1994-11-28 2009-03-03 Asm America, Inc. Method for growing thin films
US7404984B2 (en) * 1994-11-28 2008-07-29 Asm America, Inc. Method for growing thin films
US5711811A (en) * 1994-11-28 1998-01-27 Mikrokemia Oy Method and equipment for growing thin films
US6572705B1 (en) * 1994-11-28 2003-06-03 Asm America, Inc. Method and apparatus for growing thin films
US5595606A (en) * 1995-04-20 1997-01-21 Tokyo Electron Limited Shower head and film forming apparatus using the same
US6190457B1 (en) * 1996-03-22 2001-02-20 Nippon Sanso Corporation CVD system and CVD process
US5667592A (en) * 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US6113984A (en) * 1996-08-07 2000-09-05 Concept Systems Design, Inc. Gas injection system for CVD reactors
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6002108A (en) * 1997-01-16 1999-12-14 Tokyo Electron Limited Baking apparatus and baking method
US6162299A (en) * 1998-07-10 2000-12-19 Asm America, Inc. Multi-position load lock chamber
US6645574B1 (en) * 1999-04-06 2003-11-11 Genitech, Inc. Method of forming a thin film
US6562140B1 (en) * 1999-05-10 2003-05-13 Asm Microchemistry Oy Apparatus for fabrication of thin films
US6579374B2 (en) * 1999-05-10 2003-06-17 Asm Microchemistry Oy Apparatus for fabrication of thin films
US6539891B1 (en) * 1999-06-19 2003-04-01 Genitech, Inc. Chemical deposition reactor and method of forming a thin film using the same
US6812157B1 (en) * 1999-06-24 2004-11-02 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US20070089669A1 (en) * 1999-09-08 2007-04-26 Ivo Raaijmakers Apparatus and method for growth of a thin film
US7141499B2 (en) * 1999-09-08 2006-11-28 Asm America Inc. Apparatus and method for growth of a thin film
US6764546B2 (en) * 1999-09-08 2004-07-20 Asm International N.V. Apparatus and method for growth of a thin film
US6902763B1 (en) * 1999-10-15 2005-06-07 Asm International N.V. Method for depositing nanolaminate thin films on sensitive surfaces
US20010007244A1 (en) * 2000-01-06 2001-07-12 Kimihiro Matsuse Film forming apparatus and film forming method
US20040009307A1 (en) * 2000-06-08 2004-01-15 Won-Yong Koh Thin film forming method
US7022184B2 (en) * 2000-07-07 2006-04-04 Asm International N.V. Atomic layer CVD
US20020043216A1 (en) * 2000-08-09 2002-04-18 Chul-Ju Hwang Atomic layer deposition method and semiconductor device fabricating apparatus having rotatable gas injectors
US20040026037A1 (en) * 2000-08-11 2004-02-12 Hiroshi Shinriki Device and method for processing substrate
US6806211B2 (en) * 2000-08-11 2004-10-19 Tokyo Electron Limited Device and method for processing substrate
US6399510B1 (en) * 2000-09-12 2002-06-04 Applied Materials, Inc. Bi-directional processing chamber and method for bi-directional processing of semiconductor substrates
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US20020122885A1 (en) * 2001-03-01 2002-09-05 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US20060276037A1 (en) * 2001-08-06 2006-12-07 Lee Chun S Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof
US7138336B2 (en) * 2001-08-06 2006-11-21 Asm Genitech Korea Ltd. Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof
US20030072645A1 (en) * 2001-08-09 2003-04-17 Terry Murray Edge gripping pre-aligner
US20050092249A1 (en) * 2001-08-15 2005-05-05 Olli Kilpela Atomic layer deposition reactor
US20030075273A1 (en) * 2001-08-15 2003-04-24 Olli Kilpela Atomic layer deposition reactor
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US20050034664A1 (en) * 2001-11-08 2005-02-17 Koh Won Yong Apparatus for depositing
US20050037154A1 (en) * 2001-11-08 2005-02-17 Koh Won Yong Method for forming thin film
US6902620B1 (en) * 2001-12-19 2005-06-07 Novellus Systems, Inc. Atomic layer deposition systems and methods
US20050124154A1 (en) * 2001-12-28 2005-06-09 Hyung-Sang Park Method of forming copper interconnections for semiconductor integrated circuits on a substrate
US20050271814A1 (en) * 2002-04-16 2005-12-08 Applied Materials, Inc. Multi-station deposition apparatus and method
US20030194493A1 (en) * 2002-04-16 2003-10-16 Applied Materials, Inc. Multi-station deposition apparatus and method
US6932871B2 (en) * 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US20040129212A1 (en) * 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
US6797617B2 (en) * 2002-05-21 2004-09-28 Asm America, Inc. Reduced cross-contamination between chambers in a semiconductor processing tool
US6869641B2 (en) * 2002-07-03 2005-03-22 Unaxis Balzers Ltd. Method and apparatus for ALD on a rotary susceptor
US20040052972A1 (en) * 2002-07-03 2004-03-18 Jacques Schmitt Method and apparatus for ALD on a rotary susceptor
US20040104439A1 (en) * 2002-12-03 2004-06-03 Asm International N.V. Method of depositing barrier layer from metal gates
US20040151844A1 (en) * 2003-02-04 2004-08-05 Zhihong Zhang Method to plasma deposit on organic polymer dielectric film
US20040187784A1 (en) * 2003-03-28 2004-09-30 Fluens Corporation Continuous flow deposition system
US20040221808A1 (en) * 2003-05-09 2004-11-11 Asm Japan K.K. Thin-film deposition apparatus
US20050064298A1 (en) * 2003-09-18 2005-03-24 Silverman Peter J. Multilayer coatings for EUV mask substrates
US20050208217A1 (en) * 2003-10-09 2005-09-22 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US7020981B2 (en) * 2003-10-29 2006-04-04 Asm America, Inc Reaction system for growing a thin film
US20050229848A1 (en) * 2004-04-15 2005-10-20 Asm Japan K.K. Thin-film deposition apparatus
US20050268848A1 (en) * 2004-04-28 2005-12-08 Nanodynamics, Inc Atomic layer deposition apparatus and process
US20060249077A1 (en) * 2005-05-09 2006-11-09 Kim Daeyoun Multiple inlet atomic layer deposition reactor
US20060286810A1 (en) * 2005-06-01 2006-12-21 Annelies Delabie Atomic layer deposition (ALD) method and reactor for producing a high quality layer
US20070215036A1 (en) * 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20080075858A1 (en) * 2006-09-22 2008-03-27 Asm Genitech Korea Ltd. Ald apparatus and method for depositing multiple layers using the same
US20080110399A1 (en) * 2006-11-09 2008-05-15 Asm Genitech Korea Ltd. Atomic layer deposition apparatus

Cited By (481)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080210165A1 (en) * 2007-03-02 2008-09-04 Asm Japan K.K. Cluster type semiconductor processing apparatus
US8758514B2 (en) 2007-03-02 2014-06-24 Asm Japan K.K. Cluster type semiconductor processing apparatus
US20090041952A1 (en) * 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US11261523B2 (en) 2007-08-10 2022-03-01 Asm Korea Ltd. Method of depositing silicon oxide films
US8282735B2 (en) * 2007-11-27 2012-10-09 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
US20090136665A1 (en) * 2007-11-27 2009-05-28 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
US8545940B2 (en) 2007-11-27 2013-10-01 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
US20100050942A1 (en) * 2008-08-29 2010-03-04 Tokyo Electron Limited Film deposition apparatus and substrate process apparatus
US20100055347A1 (en) * 2008-08-29 2010-03-04 Tokyo Electron Limited Activated gas injector, film deposition apparatus, and film deposition method
US9053909B2 (en) * 2008-08-29 2015-06-09 Tokyo Electron Limited Activated gas injector, film deposition apparatus, and film deposition method
US8808456B2 (en) * 2008-08-29 2014-08-19 Tokyo Electron Limited Film deposition apparatus and substrate process apparatus
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20110214611A1 (en) * 2008-11-14 2011-09-08 Tokyo Electron Limited Film deposition apparatus
US8951347B2 (en) * 2008-11-14 2015-02-10 Tokyo Electron Limited Film deposition apparatus
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
EP2419306A1 (en) * 2009-04-14 2012-02-22 Solexel, Inc. High efficiency epitaxial chemical vapor deposition (cvd) reactor
EP2419306A4 (en) * 2009-04-14 2013-01-02 Solexel Inc High efficiency epitaxial chemical vapor deposition (cvd) reactor
US8656860B2 (en) 2009-04-14 2014-02-25 Solexel, Inc. High efficiency epitaxial chemical vapor deposition (CVD) reactor
US20100267245A1 (en) * 2009-04-14 2010-10-21 Solexel, Inc. High efficiency epitaxial chemical vapor deposition (cvd) reactor
US8617347B2 (en) * 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
US20110031214A1 (en) * 2009-08-06 2011-02-10 Jisoo Kim Vacuum processing chambers incorporating a moveable flow equalizer
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8746170B2 (en) * 2009-11-04 2014-06-10 Tokyo Electron Limited Substrate process apparatus, substrate process method, and computer readable storage medium
US20110100489A1 (en) * 2009-11-04 2011-05-05 Tokyo Electron Limited Substrate process apparatus, substrate process method, and computer readable storage medium
US20110126985A1 (en) * 2009-12-02 2011-06-02 Tokyo Electron Limited Substrate processing apparatus
US8845857B2 (en) * 2009-12-02 2014-09-30 Tokyo Electron Limited Substrate processing apparatus
US8721790B2 (en) * 2009-12-10 2014-05-13 Tokyo Electron Limited Film deposition apparatus
US20110139074A1 (en) * 2009-12-10 2011-06-16 Tokyo Electron Limited Film deposition apparatus
US20110168094A1 (en) * 2010-01-13 2011-07-14 Honda Motor Co., Ltd. Plasma film forming apparatus
US8573154B2 (en) * 2010-01-13 2013-11-05 Honda Motor Co., Ltd. Plasma film forming apparatus
US9870937B2 (en) 2010-06-09 2018-01-16 Ob Realty, Llc High productivity deposition reactor comprising a gas flow chamber having a tapered gas flow space
US9145609B2 (en) * 2011-04-29 2015-09-29 Asm Genitech Korea Ltd. Lateral flow atomic layer deposition device
KR20120122516A (en) * 2011-04-29 2012-11-07 한국에이에스엠지니텍 주식회사 Lateral-flow atomic layer deposition apparatus
US20120272900A1 (en) * 2011-04-29 2012-11-01 Asm Genitech Korea Ltd. Lateral flow atomic layer deposition device
KR101685629B1 (en) * 2011-04-29 2016-12-12 한국에이에스엠지니텍 주식회사 Lateral-flow atomic layer deposition apparatus
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US20130017318A1 (en) * 2011-07-13 2013-01-17 Seo Sang-Joon Vapor Deposition Apparatus and Method, and Method of Manufacturing Organic Light Emitting Display Apparatus
US20130017343A1 (en) * 2011-07-13 2013-01-17 Seo Sang-Joon Vapor deposition apparatus, vapor deposition method, and method of manufacturing organic light-emitting display apparatus
US8828490B2 (en) * 2011-07-13 2014-09-09 Samsung Display Co., Ltd. Vapor deposition method
US8883267B2 (en) * 2011-07-13 2014-11-11 Samsung Display Co., Ltd. Vapor deposition apparatus, vapor deposition method, and method of manufacturing organic light-emitting display apparatus
US9012257B2 (en) 2011-07-13 2015-04-21 Samsung Display Co., Ltd. Vapor deposition apparatus and method, and method of manufacturing organic light emitting display apparatus
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
US11725282B2 (en) 2012-06-25 2023-08-15 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US11111581B2 (en) 2012-06-25 2021-09-07 Lam Research Corporation Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US10287683B2 (en) 2012-06-25 2019-05-14 Lam Research Corporation Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20140217193A1 (en) * 2013-02-06 2014-08-07 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10060031B2 (en) 2014-08-26 2018-08-28 Asm Ip Holding B.V. Deposition apparatus and cleansing method using the same
US9567672B2 (en) * 2014-08-26 2017-02-14 Asm Ip Holding B.V. Deposition apparatus and cleansing method using the same
US20160060760A1 (en) * 2014-08-26 2016-03-03 Asm Ip Holding B.V. Deposition apparatus and cleansing method using the same
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10276355B2 (en) * 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN107086186A (en) * 2016-02-15 2017-08-22 北京北方微电子基地设备工艺研究中心有限责任公司 A kind of reaction chamber and substrate processing apparatus
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) * 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US20180171477A1 (en) * 2016-12-19 2018-06-21 Asm Ip Holding B.V. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11705337B2 (en) 2017-05-25 2023-07-18 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
CN109326537A (en) * 2017-07-31 2019-02-12 Asm知识产权私人控股有限公司 Substrate processing device
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11018032B2 (en) 2017-08-18 2021-05-25 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11694912B2 (en) 2017-08-18 2023-07-04 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11469113B2 (en) 2017-08-18 2022-10-11 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11462417B2 (en) 2017-08-18 2022-10-04 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11177128B2 (en) 2017-09-12 2021-11-16 Applied Materials, Inc. Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11756803B2 (en) 2017-11-11 2023-09-12 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US11527421B2 (en) 2017-11-11 2022-12-13 Micromaterials, LLC Gas delivery system for high pressure processing chamber
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11610773B2 (en) 2017-11-17 2023-03-21 Applied Materials, Inc. Condenser system for high pressure processing system
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11881411B2 (en) 2018-03-09 2024-01-23 Applied Materials, Inc. High pressure annealing process for metal containing materials
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11581183B2 (en) 2018-05-08 2023-02-14 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11361978B2 (en) 2018-07-25 2022-06-14 Applied Materials, Inc. Gas delivery module
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11110383B2 (en) 2018-08-06 2021-09-07 Applied Materials, Inc. Gas abatement apparatus
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11227797B2 (en) 2018-11-16 2022-01-18 Applied Materials, Inc. Film deposition using enhanced diffusion process
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11749555B2 (en) 2018-12-07 2023-09-05 Applied Materials, Inc. Semiconductor processing system
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US10964531B1 (en) * 2019-09-26 2021-03-30 Kokusai Electric Corporation Method of manufacturing semiconductor device by supplying gas
US11380540B2 (en) 2019-09-26 2022-07-05 Kokusai Electric Corporation Substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11961741B2 (en) 2021-03-04 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2021-04-26 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023129142A1 (en) * 2021-12-28 2023-07-06 Applied Materials, Inc. Electronic device manufacturing systems having paired deposition chambers for enhanced deposition uniformity
US11959171B2 (en) 2022-07-18 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process

Also Published As

Publication number Publication date
KR101512079B1 (en) 2015-04-14
KR20080090328A (en) 2008-10-08

Similar Documents

Publication Publication Date Title
US20080241384A1 (en) Lateral flow deposition apparatus and method of depositing film by using the apparatus
US11742189B2 (en) Multi-zone reactor, system including the reactor, and method of using the same
US10475641B2 (en) Substrate processing apparatus
US8545940B2 (en) Atomic layer deposition apparatus
US10131984B2 (en) Substrate processing apparatus
TWI438300B (en) Atomic layer deposition systems and methods
US8828141B2 (en) Substrate processing apparatus and method for manufacturing semiconductor device
US9368380B2 (en) Substrate processing device with connection space
KR101803768B1 (en) Rotating semi-batch ald device and process
US20070215036A1 (en) Method and apparatus of time and space co-divided atomic layer deposition
US7923069B2 (en) Multi-station deposition apparatus and method
US5879459A (en) Vertically-stacked process reactor and cluster tool system for atomic layer deposition
TWI755607B (en) Catalyzed deposition of metal films
US8394201B2 (en) Atomic layer deposition apparatus
US20120199067A1 (en) Film-forming apparatus
WO2010035773A1 (en) Film formation device and substrate processing apparatus
WO2014178160A1 (en) Film formation device
JP7094367B2 (en) Selective atomic layer deposition of ruthenium
US20190271077A1 (en) Film deposition method and film deposition apparatus
US11581201B2 (en) Heat treatment apparatus and film deposition method
KR20210035741A (en) Film forming device and film forming method
KR101634694B1 (en) Multi-type deposition apparatus and methode thereof
KR101628786B1 (en) Apparatus and method for processing substrate
KR101570227B1 (en) Apparatus and method for processing substrate

Legal Events

Date Code Title Description
AS Assignment

Owner name: ASM GENITECH KOREA LTD., KOREA, REPUBLIC OF

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:JEONG, SANG JIN;KIM, DAE YOUN;KIM, JUNG SOO;AND OTHERS;REEL/FRAME:020739/0802

Effective date: 20080328

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION