US20080237716A1 - Integrated circuit structures having a boron etch-stop layer and methods, devices and systems related thereto - Google Patents

Integrated circuit structures having a boron etch-stop layer and methods, devices and systems related thereto Download PDF

Info

Publication number
US20080237716A1
US20080237716A1 US12/114,571 US11457108A US2008237716A1 US 20080237716 A1 US20080237716 A1 US 20080237716A1 US 11457108 A US11457108 A US 11457108A US 2008237716 A1 US2008237716 A1 US 2008237716A1
Authority
US
United States
Prior art keywords
silicon
integrated circuit
boron
circuit structure
etch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/114,571
Inventor
Darwin G. Enicks
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Atmel Corp
Original Assignee
Atmel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Atmel Corp filed Critical Atmel Corp
Priority to US12/114,571 priority Critical patent/US20080237716A1/en
Publication of US20080237716A1 publication Critical patent/US20080237716A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30608Anisotropic liquid etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement

Definitions

  • SOI substrates are separated by implantation of oxygen (SIMOX).
  • SIMOX oxygen is implanted below a surface of a silicon wafer.
  • a subsequent anneal step produces a buried silicon dioxide layer with a silicon overlayer.
  • the time required for an implantation in a SIMOX process can be extensive and, consequently, cost prohibitive.
  • an SOI substrate formed by SIMOX may be exposed to high surface damage and contamination.

Abstract

An integrated circuit structure comprising a boron etch-stop layer on a surface of the integrated circuit structure having a full-width half-maximum (FWHM) thickness value less than 100 nanometers, wherein the boron etch-stop layer is substantially free of germanium and carbon. In one embodiment, the boron etch-stop layer has a FWHM thickness value less than 20 nanometers and may contain added germanium or carbon. Systems and devices containing same are also disclosed. Chemical vapor deposition (CVD) may be used to form the boron etch-stop layer.

Description

  • This application is a Continuation of U.S. application Ser. No. 11/553,313, filed on Oct. 26, 2006, which is incorporated herein by reference in its entirety.
  • TECHNICAL FIELD
  • The subject matter herein relates to integrated circuit (IC) structures.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1A, 1B, 1C and 1D are cross-sectional views of a prior art bond and etch back silicon on insulator (BESOI) fabrication technique.
  • FIGS. 2A, 2B and 2C are cross-sectional views indicating a method to determine etch-stop efficiency in embodiments of the invention.
  • FIG. 3 is a graph indicating relative etch rates for an ethylenediamine-pyrocatechol (EDP) wet-chemical etchant as a function of boron concentration contained within a silicon (100) substrate at different annealing temperatures in embodiments of the invention.
  • FIG. 4 is a graph indicating a diffusion constant of boron as a function of germanium content at 800° C. in embodiments of the invention.
  • FIG. 5 is a graph indicating full-width half-maximum (FWHM) depth of a boron profile produced in accordance with the present invention and measured after thermal annealing steps in embodiments of the invention.
  • FIG. 6 is a graph indicating boron diffusion depth in SiGe:C:B at various anneal temperatures in embodiments of the invention.
  • FIG. 7 is a graph indicating boron diffusion profiles and relative depth in a remote carbon method in embodiments of the invention.
  • DETAILED DESCRIPTION General Background
  • Several material systems have emerged as key facilitators to extend Moore's law well into the next decade. These key facilitators include silicon-on-insulator (SOI), silicon-germanium (SiGe), and strained silicon. With reference to SOI and related technologies, there are numerous advantages associated with an insulating substrate. These advantages include reduced parasitic capacitances, improved electrical isolation, and reduced short-channel effects. Advantages of SOI can be combined with energy bandgap and carrier mobility improvements offered by Si1-xGex and strained silicon devices.
  • SOI substrates generally include a thin layer of silicon on top of an insulator. Integrated circuit components are formed in and on the thin layer of silicon. The insulator can be comprised of insulators such as silicon dioxide (SiO2), sapphire, or various other insulative materials.
  • Currently, several techniques are available to fabricate SOI substrates. One technique for fabricating SOI substrates is separation by implantation of oxygen (SIMOX). In a SIMOX process, oxygen is implanted below a surface of a silicon wafer. A subsequent anneal step produces a buried silicon dioxide layer with a silicon overlayer. However, the time required for an implantation in a SIMOX process can be extensive and, consequently, cost prohibitive. Moreover, an SOI substrate formed by SIMOX may be exposed to high surface damage and contamination.
  • Another technique is bond-and-etch-back SOI (BESOI), where an oxidized wafer is diffusion-bonded to a non-oxidized wafer. With reference to FIG. 1A, a silicon device wafer 100 and a silicon handle wafer 150 comprise the major components for forming a BESOI wafer. The silicon device wafer 100 includes a first silicon layer 101, which will serve as a device layer, an etch-stop layer 103, and a second silicon layer 105. The etch-stop layer 103 is frequently comprised of boron. The silicon handle wafer 150 includes a lower silicon dioxide layer 107A, a silicon substrate layer 109, and an upper silicon dioxide layer 107B. The lower 107A and upper 107B silicon dioxide layers are frequently thermally grown oxides formed concurrently.
  • In FIG. 1B, the silicon device wafer 100 and the silicon handle wafer 150 are brought into physical contact and bonded, one to the other. The initial bonding process is followed by a thermal anneal, thus strengthening the bond. The silicon device wafer 100 in the bonded pair is thinned. Initially, most of the second silicon layer 105 is removed by mechanical grinding and polishing until only a few tens of micrometers (i.e., “microns” or μm) remain. A high-selectivity wet or dry chemical etch removes remaining portions of the second silicon layer 105, stopping on the etch-stop layer 103. (Selectivity is discussed in detail, below.) An end-result of the second silicon layer 105 etch process is depicted in FIG. 1C.
  • During the etching process, the silicon handle wafer 150 is protected by a coated mask layer (not shown). In FIG. 1D, the etch-stop layer 103 has been removed using another high-selectivity etchant. As a result of these processes, the first silicon layer 101, serving as a device layer, is transferred to the silicon handle wafer 150. A backside of the silicon substrate layer 109 is ground, polished, and etched to achieve a desired overall thickness.
  • To ensure BESOI substrates are thin enough for subsequent fabrication steps, as well as meeting contemporary demands for ever-decreasing physical size and weight constraints, BESOI requires the presence of the etch-stop layer 103 during the layer transfer process. Currently, two main layer transfer technologies exist, namely selective chemical etching (as discussed above) and splitting of a hydrogen-implanted layer from a device layer (a hydrogen implantation and separation process). Both technologies meet requirements of advanced semiconductor processing.
  • In the hydrogen implantation and separation process, hydrogen (H2) is implanted into silicon having a thermally grown silicon dioxide layer. The implanted H2 embrittles the silicon substrate underlying the silicon dioxide layer. The H2 implanted wafer may be bonded with a second silicon wafer having a silicon dioxide overlayer. The bonded wafer may be cut across the wafer at a peak location of the hydrogen implant by appropriate annealing.
  • The BESOI process described is relatively free from ion implant damage inherent in the SIMOX process. However, the BESOI process requires a time consuming sequence of grinding, polishing, and chemical etching.
  • As described above, the BESOI process is a manufacturing-oriented technique to build silicon on insulator substrates and is partially dependent upon chemical etching. Etch-stop performance is described by a mean etch selectivity, S, which defines an etch rate ratio of silicon to the etch-stop layer
  • S = R Si R es
  • where RSi is an etch rate of silicon and Res is an etch rate of the etch-stop. Therefore, a selectivity value where S=1 relates to a case of no etch selectivity.
  • One method to evaluate etch-stop efficiency is to measure a maximum etch step height across an etch-stop and non-etch-stop boundary. In FIG. 2A, an etch-stop 203A is formed by ion implantation into a portion of a silicon substrate 201A. The etch-stop 203A has a thickness d1 at time t=0 (i.e., prior to application of any etchant). At time t=t1 (FIG. 2B) a partially etched silicon substrate 201B is etched to a depth h1. The etch-stop 203A is now a partially etched etch-stop 203B. The partially etched etch-stop 203B is etched to a thickness of d2. At time t=t2 (FIG. 2C), the partially etched etch-stop 203B has been completely etched and a fully etched silicon substrate 201C achieves a maximum etch step height of h2. An etch rate of the etch-stop 203A (FIG. 2A) is partially dependent upon both an implanted dopant material as well as an implant profile of the dopant employed. From a practical point of view, the maximum etch step is critical since it determines an acceptable thickness variation of the device wafer after grinding and polishing prior to etch back in the BESOI process.
  • For example, if a maximum etch step is three (3) units, the allowable thickness non-uniformity of the device wafer after the usual mechanical thinning procedure should be less than 1.5 units. The mean etch selectivity, S, can be derived from the effective etch-stop layer thickness d1 and the maximum etch step h2 as
  • S = d 1 + h 2 t d 1 t S = 1 + h 2 d 1
  • where t is the etch time required to reach the maximum etch step height h2. In the prior example, t2 is the etch time required to reach the maximum etch step height h2.
  • Aqueous alkaline solutions are commonly used anisotropic silicon etchants. Two categories of aqueous alkaline solutions employed are pure inorganic aqueous alkaline solutions such as potassium hydroxide (KOH), sodium hydroxide (NaOH), cesium hydroxide (CsOH), and ammonium hydroxide (NH4OH) and organic alkaline aqueous solutions such as ethylenediamine-pyrocatechol-water (aqueous EDP), tetramethyl ammonium hydroxide (TMAH or (CH3)4NOH)), and hydrazine (H4N2). Silicon etch rates of all aqueous alkaline etchants are reduced significantly if silicon is doped with boron in concentrations exceeding 2×1019 cm−3. FIG. 3 graphically indicates a rapid falloff in relative etch rate as a function of boron concentration using EDP as an etchant.
  • As detailed above, boron (B) is traditionally provided via ion implantation. However, problems arise with using boron as an etch-stop, as boron diffuses readily in pure silicon. Therefore, any etch non-uniformity is increasingly an issue as device design rules continue to decline. A boron etch-stop layer of the prior art is frequently hundreds of nanometers in width (at full-width half-maximum (FWHM)).
  • The boron etch-stop layer becomes very wide following thermal treatments performed subsequent to the implant, due to boron outdiffusion. One subsequent thermal treatment is a high temperature bonding step of the layer transfer process in BESOI processing. The boron outdiffusion is greatly enhanced by transient enhanced diffusion (TED) due to lattice damage and a large presence of silicon interstitial (SI) atoms. The lattice damage and the large number of SI atoms each contribute to anomalously high quantities of diffusion.
  • Widths of boron in ion implanted profiles can be greater than 200 nm to 300 nm depending on chosen quantities of ion implant energy and dosage. Typically, high dosage requirements also lead to a great deal of concentration-dependent outdiffusion. Therefore, the transferred silicon device layer thickness can exhibit a very wide thickness range since the etch process itself will have a wide profile range over which to stop on the boron-doped layer. The wide layer range poses significant process integration problems, especially when forming a deep (or even a shallow) trench isolation region. The inventor has therefore recognized a need for an extremely thin and robust etch-stop layer having a high etchant selectivity in comparison with silicon.
  • EXEMPLARY EMBODIMENTS OF THE INVENTION
  • In an exemplary embodiment, the invention comprises an etch-stop layer having a semiconductor layer having a first surface and a boron layer formed below the first surface of the semiconductor layer. The boron layer has a full-width half-maximum (FWHM) thickness value of less than 100 nanometers. The boron layer is formed by a chemical vapor deposition (CVD) system.
  • In another exemplary embodiment, the invention comprises a method to fabricate an etch-stop. The method includes flowing a carrier gas over a substrate in a chemical vapor deposition chamber, flowing a silicon precursor gas over the substrate in the deposition chamber and flowing a boron precursor gas over the substrate in the deposition chamber. The boron precursor gas forms a boron layer to act as the etch-stop and forms below a first surface of the substrate. The boron layer is less than 100 nanometers in thickness when measured as a full-width half-maximum (FWHM) value.
  • In another exemplary embodiment, the invention comprises a method to form an electronic device. The method includes flowing a boron precursor gas over a substrate in a chemical vapor deposition chamber such that the boron precursor gas forms a boron layer thereby acting as an etch-stop layer. The etch-stop layer is formed below a first surface of the substrate and is less than 100 nanometers in thickness when measured as a full-width half-maximum (FWHM) value. One or more dielectric spacers are formed on a surface of the substrate to provide a self-aligning structure. The one or more dielectric spacers are doped with carbon atoms. The carbon atoms are remotely injected from the one or more dielectric spacers by annealing the substrate, thereby allowing the carbon atoms to diffuse into the etch-stop layer.
  • In another exemplary embodiment, the invention comprises an etch-stop layer comprising a semiconductor substrate having a first surface and a boron layer formed below the first surface of the semiconductor substrate. The boron layer has a full-width half-maximum (FWHM) thickness value of less than 100 nanometers and is formed by a chemical vapor deposition (CVD) system. A germanium profile comprised of germanium atoms is formed substantially within the boron layer and has a germanium fraction of less than one percent to about 20 percent. A carbon profile comprised of carbon atoms is formed substantially within the boron layer and has a concentration within the profile area of between 1018 and 1021 atoms per cubic centimeter.
  • Disclosed herein is an exemplary fabrication method and a structure resulting therefrom for a boron-doped nanoscale etch-stop. The boron is doped into either a silicon (Si) substrate or film, or a compound semiconductor substrate or film. The compound semiconductor film may be chosen from a Group III-V semiconductor compound such as SiGe, GaAs, or InGaAs. Alternatively, a Group II-VI semiconductor compound may be chosen such as ZnSe, CdSe, or CdTe. The boron-doped nanoscale etch-stop described herein has particular applications in BESOI processing. However, the disclosed boron etch-stop is not limited only to BESOI applications.
  • A BESOI substrate fabricated in accordance with one exemplary embodiment of the invention has particular applications in low-power and radiation-hardened CMOS devices. Incorporation of embodiments of the invention in various electronic devices simplifies certain fabrication processes, improves scalability of devices, improves sub-threshold slopes, and reduces parasitic capacitances.
  • In one embodiment, an “as grown” boron profile remains very narrow (e.g., less than 100 nm) by forming an ultra-thin (for example, less than 100 nm) boron profile with chemical vapor deposition (CVD) instead of ion implantation and, in some embodiments, by including germanium and carbon. The boron profile in this case remains very narrow even after significant subsequent thermal treatments up to approximately 1000° C. for about 10 seconds or more. Details of exemplary CVD process steps are outlined below.
  • Silicon interstitial pairing with boron results in a rate of diffusion that is generally much greater than occurs with boron alone. The intrinsic diffusion coefficient (DSi) of silicon in silicon is approximately 560 whereas the intrinsic diffusion coefficient of boron (DB) in silicon is approximately one (1). Incorporating carbon (C) into boron-doped silicon minimizes a Si—B pair formation and thus reduces an overall rate of boron outdiffusion. In a heterojunction bipolar transistor (HBT), for example, the reduced boron outdiffusion results in less spreading of a p-type SiGe base region. Narrow base widths reduce transit times of minority carriers and improve a device shutoff frequency, ft. Adding carbon and/or germanium, the boron diffusion can be effectively mitigated at temperatures of approximately 1000° C. for 10 seconds or longer.
  • A device or substrate designer may prefer boron over carbon and/or Ge as an etch-stop, depending on device requirements. For example, a design decision may be driven by a preferred majority carrier type and concentration, or a minority carrier type and concentration. One skilled in the art will recognize that adding carbon to a boron-doped layer will diminish carrier mobility. Consequently, more boron is required to compensate for the diminished carrier effect. A skilled artisan will further recognize that the addition of Ge to form a strained lattice in elemental or compound semiconductors enhances in-plane majority carrier hole mobility, but diminishes in-plane majority carrier electron mobility. Therefore, if boron is added to a carbon and/or germanium-doped lattice, the fabrication process should be completely characterized. The process will be a function of gas flows, temperatures, and pressures.
  • Further, intrinsic diffusivity of boron (DB int), measured in units of an area transfer rate (e.g., cm2/sec), in silicon can be substantial. However, the addition of Ge results in a significant reduction of intrinsic boron diffusivity. (Intrinsic diffusivity of boron refers specifically to the diffusivity of a lone boron atom with no influence from diffusion “enhancing” species such as silicon interstitials as described above). FIG. 4 indicates measured rates of intrinsic boron diffusivity at 800° C. as a function of Ge content, x, in Si1-xGex in an embodiment of the invention.
  • FIG. 5 is a profile graph 500 in an embodiment of the invention representing data from a secondary-ion mass spectrometry (SIMS) profile of boron diffusion in carbon and Ge-doped silicon. A location of the Ge is illustrated by a lower 501 and an upper 503 vertical line positioned at 50 nm and 85 nm depths, respectively. The boron remains relatively fixed up to temperatures of 1000° C., then diffuses rapidly at higher temperatures (anneal times are ten (10) seconds at each temperature). However, the presence of both carbon and Ge, as introduced under embodiments of the present invention, reduces boron outdiffusion. Depending on concentrations and temperatures involved, the presence of carbon and Ge reduces overall boron diffusion by a factor of ten or more.
  • With reference to FIG. 6, a graph 600 in an embodiment of the invention indicates boron diffusion depths in SiGe:C:B and a germanium fraction. Boron profiles are displayed in the graph 600 following growth of SiGe:C:B where the subsequent thermal anneals, in this example, relate to bond steps. The graph 600 indicates boron in SiGe with carbon substantially present throughout portions of the structure. Thus, the graph 600 is a complete carbon method for providing a narrow boron profile. A germanium fraction indicates an increased germanium profile substantially within the boron layer. The germanium fraction is within a range from less than one percent to about 20%. As indicated, the profile width for the boron concentration at 1000° C. extends from roughly 62 nm to less than 82 nm. Consequently, the FWHM value is less than about 20 nm. Consequently, the etch-stop is on a nanoscale level. In an exemplary embodiment, the boron etch-stop is formed by chemical vapor deposition (CVD) techniques.
  • FIG. 7 illustrates an embodiment in which profiles of B, C, and Ge are shown with reference to a placement of outer spacers fabricated in a remote carbon injection method. In the remote injection method, carbon is only present in outer spacer regions as indicated. In a specific exemplary embodiment, the spacer regions are comprised of SiGe. A remote carbon technique, suitable for adding carbon in various embodiments described herein, is disclosed in U.S. patent application Ser. No. 11/166,287 filed Jun. 23, 2005, entitled “Method for Growth and Optimization of Heterojunction Bipolar Film Stacks by Remote Injection,” and commonly assigned to Atmel Corporation, San Jose, Calif. The Ser. No. 11/166,287 application is hereby incorporated by reference in its entirety.
  • Remote Carbon Injection Technique
  • Briefly, the remote carbon injection technique entails a carbon implantation or diffusion step in a semiconductor fabrication process to inject carbon atoms into, for example, a semiconductor device layer and surrounding regions. Alternatively, the carbon implantation or diffusion step may be performed into an insulating layer. The carbon is derived from a carbon precursor such as methyl silane (CH3SiH3). Carbon precursor injection can be accomplished by techniques such as LPCVD (low pressure chemical vapor deposition), UHCVD (ultra-high vacuum CVD), MBE (molecular beam epitaxy), or ion implantation.
  • In one embodiment, the carbon injection is followed by a thermal anneal step. The thermal anneal step allows the carbon to diffuse into, for example, a base region of a transistor. Note that, even though a carbon precursor may be injected outside of the base region, the position of the carbon after anneal is within the base region due to an energetically favorable diffusion mechanism. Therefore, remote injection is a means of doping a semiconductor with carbon and provides numerous advantages over conventional fabrication methods, discussed above (e.g., preventing boron outdiffusion thus allowing a higher boron-dopant concentration). Therefore, an injection location and not necessarily a final resting place of carbon following thermal cycles determines a definition of remote carbon injection.
  • If self-aligning techniques incorporating dielectric spacers are employed, for example, in transistor fabrication, the remote injection can occur during or after growth of a base-emitter spacer (BE) or a base-collector spacer (BC). (Formation of neither the BE nor BC spacer are shown, although such techniques are well-known in the art). Carbon injection may be performed at multiple points during fabrication of either the base, BC, BE, collector, and/or emitter regions. Thermal anneal cycles are then implemented to provide activation energy for the carbon to diffuse from the dielectric spacer into the one or more various semiconductor regions. A final position of carbon after anneal is within the semiconductor through a diffusion mechanism. Advantages of remote carbon injection thus include a reduced boron outdiffusion and a significant reduction in the transistor base resistance.
  • A skilled artisan will recognize that many other techniques of fabricating an etch-stop layer, other than remote carbon injection, may be utilized. General techniques for implementing these various techniques are described in detail, below. Various permutations of the general etch-stop fabrication method based on the methods disclosed herein may be employed. For example, a boron etch-stop may be fabricated by in-situ boron doping of silicon by CVD in which the silicon contains neither germanium nor carbon. Additionally, a boron etch-stop may be fabricated by in-situ boron doping of SiGe by CVD in which the SiGe contains no carbon. Further, a boron etch-stop may be fabricated by in-situ boron doping of silicon-carbide (SiC) by CVD in which the SiC contains no germanium. In each of these cases, the boron-doped semiconductor could be implanted by an ion implantation or molecular beam epitaxial (MBE) process. Following any implant step, a flash anneal (e.g., from 900° C. to 1200° C. for one (1) second to five (5) seconds) or a laser anneal (e.g., less than a one second pulse) may be used in order to alleviate any ion implantation damage and still maintain a narrow distribution of boron. If boron is either implanted or diffused, carbon and/or germanium may also be added.
  • CVD Reactor Fabrication Process
  • Overall, process conditions can vary widely depending upon particular devices fabricated, specific equipment types employed, and various combinations of starting materials. Also, as is known to a skilled artisan, various dopant profiles may be achieved by certain gases being injected simultaneously and/or ramping the gas flow rates. In a specific exemplary embodiment, the process conditions entail flowing hydrogen (H2) as a carrier gas in a chemical vapor deposition (CVD) system at a flow rate between 5 standard liters per minute (slpm) and 100 slpm. Alternatively, inert gases such as nitrogen (N2), argon (Ar), helium (He), xenon (Xe), and fluorine (F2) are all suitable carrier gases. Silane (SiH4) may be used as a silicon precursor gas, flowing between 5 standard cubic centimeters per minute (sccm) and 1000 sccm. Alternatively, disilane (Si2H6) or another silicon precursor gas, may be used in place of silane. Disilane deposits silicon at a faster rate and lower temperature than silane.
  • Diborane (B2H6) may be used as a boron precursor gas, flowing at between 5 sccm and 1000 sccm. Additionally, boron trichloride (BCl3) or any other boron precursor gas may be used in place of diborane. Methyl silane (CH3SiH3), or another carbon precursor gas, flowing at between 5 sccm and 1000 sccm may be employed as the carbon precursor. Germanium tetrahydride (GeH4) or another germanium precursor gas flowing at between 5 sccm and 1000 sccm may be employed as the germanium precursor gas.
  • For a low pressure CVD (LPCVD) reactor, growth temperatures may be in an exemplary range of 550° C. to 750° C. with processing pressures from 1 Torr to 100 Torr.
  • CONCLUSION
  • In the foregoing specification, the present invention has been described with reference to specific embodiments thereof. It will, however, be evident to a skilled artisan that various modifications and changes can be made thereto without departing from the broader spirit and scope of the invention as set forth in the appended claims. For example, although process steps and techniques are shown and described in detail, a skilled artisan will recognize that other techniques and methods may be utilized which are still included within a scope of the appended claims. For example, there are frequently several techniques used for depositing a film layer (e.g., chemical vapor deposition, plasma-enhanced vapor deposition, epitaxy, atomic layer deposition, etc.). Although not all techniques are amenable to all film types described herein, one skilled in the art will recognize that multiple methods for depositing a given layer and/or film type may be used.
  • Additionally, many industries allied with the semiconductor industry could make use of the remote carbon injection technique. For example, a thin-film head (TFH) process in the data storage industry or an active matrix liquid crystal display (AMLCD) in the flat panel display industry could readily make use of the processes and techniques described herein. The term “semiconductor” should be recognized as including the aforementioned and related industries. The specification and drawings are, accordingly, to be regarded in an illustrative rather than a restrictive sense.

Claims (32)

1. An integrated circuit structure comprising a boron etch-stop layer located on a surface of the integrated circuit structure and having a full-width half-maximum (FWHM) thickness value less than 100 nanometers, wherein the boron etch-stop layer is substantially free of germanium and carbon.
2. The integrated circuit structure of claim 1 comprising a substrate selected from silicon, silicon-germanium, and silicon carbide.
3. The integrated circuit structure of claim 1 comprising a film selected from epitaxial silicon, epitaxial silicon-carbide, and epitaxial silicon-germanium.
4. The integrated circuit structure of claim 1 comprising a deposited silicon film.
5. The integrated circuit structure of claim 1 wherein the boron etch-stop layer is less than about 20 nanometers in thickness when measured as a FWHM value.
6. (canceled)
7. The integrated circuit structure of claim 5 further comprising a carbon concentration of between 1018 and 1021 atoms per cubic centimeter in the boron etch-stop layer.
8. The integrated circuit structure of claim 5 further comprising a germanium concentration fraction of less than one percent to about 20 percent in the boron etch-stop layer.
9. The integrated circuit structure of claim 5 comprising a silicon-based semiconductor structure.
10. The integrated circuit structure of claim 9 wherein the silicon-based semiconductor structure is a compound semiconductor film selected from a Group II through Group VI semiconductor compound and combinations thereof.
11. The integrated circuit structure of claim 10 wherein the semiconductor compound is selected from SiGe, GaAs, InGaAs, ZnSe, CdSe, CdTe, and combinations thereof.
12. An electronic device comprising:
an integrated circuit structure; and
a boron etch-stop layer located on the integrated circuit structure and having a full-width half-maximum (FWHM) thickness value of less than 20 nanometers.
13. The electronic device of claim 12 comprising a heterojunction bipolar transistor.
14. The electronic device of claim 12 comprising a bond-and-etch-back silicon-on-insulator (BESOI) substrate.
15. The electronic device of claim 14 wherein the BESOI substrate is a complementary metal-oxide semiconductor (CMOS) device.
16. The electronic device of claim 12 further comprising a carbon concentration of between 1018 and 1021 atoms per cubic centimeter in the boron etch-stop layer.
17. The electronic device of claim 12 further comprising a germanium concentration fraction of less than one percent to about 20 percent in the boron etch-stop layer.
18. The electronic device of claim 12 further comprising one or more carbon-doped spacers on the electronic device to provide a source of carbon atoms for the etch-stop layer.
19. A system comprising:
an integrated circuit structure;
a boron etch-stop layer located on a surface of the integrated circuit structure and having a full-width half-maximum (FWHM) thickness value of less than 20 nanometers; and
a liquid crystal display or data storage coupled to the integrated circuit structure.
20. The system of claim 19 wherein the boron etch-stop layer further comprises an additional elemental concentration selected from a carbon concentration of between 1018 and 1021 atoms per cubic centimeter, a germanium concentration fraction of less than one percent to about 20 percent, and combinations thereof.
21. The system of claim 20 wherein the integrated circuit structure is a silicon-based semiconductor structure.
22. A method comprising:
flowing a carrier gas over an integrated circuit structure in a chemical vapor deposition chamber;
flowing a silicon precursor gas over the integrated circuit structure in the deposition chamber; and
flowing a boron precursor gas over the integrated circuit structure in the deposition chamber to form a boron etch-stop layer on the integrated circuit structure which is less than 100 nanometers in thickness when measured as a full-width half-maximum (FWHM) value.
23. The method of claim 22 wherein the carrier gas is selected from hydrogen, nitrogen, argon, helium, xenon, fluorine, and combinations thereof.
24. The method of claim 23 wherein the boron etch-stop layer is less than about 20 nanometers in thickness when measured as a FWHM value.
25. The method of claim 22 wherein the silicon precursor gas is silane or disilane flowing at between five (5) standard cubic centimeters per minute (sccm) and 1000 sccm.
26. The method of claim 22 wherein the boron precursor gas is diborane or boron trichloride flowing at between five (5) and 1000 sccm.
27. The method of claim 22 further comprising:
flowing a carbon precursor gas over the integrated circuit structure; and
flowing a germanium precursor gas over the integrated circuit structure to limit a diffusivity value of boron atoms within the boron etch-stop layer.
28. The method of claim 27 wherein the carbon precursor gas is methyl silane flowing at between five (5) and 1000 sccm and the germanium precursor gas is germanium tetrahydride flowing at between five (5) and 1000 sccm.
29. The method of claim 27 wherein temperatures in the chemical vapor deposition chamber are between 550 and 750° C. and pressures are between one (1) and 100 Torr, and the integrated circuit structure is a bond-and-etch-back silicon-on-insulator (BESOI) wafer comprised of a silicon device wafer and a silicon handle wafer, wherein the silicon device wafer includes a first silicon layer covered by the boron etch-stop layer and a second silicon layer, and the silicon device wafer includes a lower silicon dioxide layer covered by a silicon substrate layer and an upper silicon dioxide layer, wherein the method further comprises:
diffusion bonding the silicon device wafer to the silicon handle wafer to form a BESOI wafer having a diffusion bond;
thermally annealing the BESOI wafer to strengthen the diffusion bond; and
thinning the BESOI wafer to remove most of the second silicon layer.
30. The method of claim 29 wherein the thinning step is followed by an etching step to remove a remaining portion of the second silicon layer up to the boron etch-stop layer.
31. The method of claim 29 wherein the thinning step is followed by a hydrogen implantation and separation step.
32. The method of claim 29 wherein the annealing occurs at approximately 1000° C. for about 10 seconds or more.
US12/114,571 2006-10-26 2008-05-02 Integrated circuit structures having a boron etch-stop layer and methods, devices and systems related thereto Abandoned US20080237716A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/114,571 US20080237716A1 (en) 2006-10-26 2008-05-02 Integrated circuit structures having a boron etch-stop layer and methods, devices and systems related thereto

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/553,313 US7569913B2 (en) 2006-10-26 2006-10-26 Boron etch-stop layer and methods related thereto
US12/114,571 US20080237716A1 (en) 2006-10-26 2008-05-02 Integrated circuit structures having a boron etch-stop layer and methods, devices and systems related thereto

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/553,313 Continuation US7569913B2 (en) 2006-10-26 2006-10-26 Boron etch-stop layer and methods related thereto

Publications (1)

Publication Number Publication Date
US20080237716A1 true US20080237716A1 (en) 2008-10-02

Family

ID=39329097

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/553,313 Active US7569913B2 (en) 2006-10-26 2006-10-26 Boron etch-stop layer and methods related thereto
US12/114,571 Abandoned US20080237716A1 (en) 2006-10-26 2008-05-02 Integrated circuit structures having a boron etch-stop layer and methods, devices and systems related thereto

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/553,313 Active US7569913B2 (en) 2006-10-26 2006-10-26 Boron etch-stop layer and methods related thereto

Country Status (3)

Country Link
US (2) US7569913B2 (en)
TW (1) TW200828431A (en)
WO (1) WO2008057692A2 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060292809A1 (en) * 2005-06-23 2006-12-28 Enicks Darwin G Method for growth and optimization of heterojunction bipolar transistor film stacks by remote injection
US20070054460A1 (en) * 2005-06-23 2007-03-08 Atmel Corporation System and method for providing a nanoscale, highly selective, and thermally resilient silicon, germanium, or silicon-germanium etch-stop
US20080099882A1 (en) * 2006-10-26 2008-05-01 Atmel Corporation System and method for providing a nanoscale, highly selective, and thermally resilient carbon etch-stop
US20080099840A1 (en) * 2006-10-26 2008-05-01 Atmel Corporation System and method for providing a nanoscale, highly selective, and thermally resilient boron etch-stop
US8173526B2 (en) 2006-10-31 2012-05-08 Atmel Corporation Method for providing a nanoscale, high electron mobility transistor (HEMT) on insulator
US8530934B2 (en) 2005-11-07 2013-09-10 Atmel Corporation Integrated circuit structures containing a strain-compensated compound semiconductor layer and methods and systems related thereto
US9466729B1 (en) 2015-05-08 2016-10-11 Qualcomm Incorporated Etch stop region based fabrication of bonded semiconductor structures

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080050883A1 (en) * 2006-08-25 2008-02-28 Atmel Corporation Hetrojunction bipolar transistor (hbt) with periodic multilayer base
US20070102834A1 (en) * 2005-11-07 2007-05-10 Enicks Darwin G Strain-compensated metastable compound base heterojunction bipolar transistor
US20070148890A1 (en) * 2005-12-27 2007-06-28 Enicks Darwin G Oxygen enhanced metastable silicon germanium film layer
US20070262295A1 (en) * 2006-05-11 2007-11-15 Atmel Corporation A method for manipulation of oxygen within semiconductor materials
US8148230B2 (en) * 2009-07-15 2012-04-03 Sandisk 3D Llc Method of making damascene diodes using selective etching methods
US9653353B2 (en) * 2009-08-04 2017-05-16 Novellus Systems, Inc. Tungsten feature fill
JP5554142B2 (en) * 2010-05-14 2014-07-23 株式会社豊田中央研究所 Method for vapor phase growth of semiconductor film
US20120235058A1 (en) * 2010-09-15 2012-09-20 Ashwini Sinha Method for extending lifetime of an ion source
WO2013025748A1 (en) * 2011-08-15 2013-02-21 King Abdullah University Of Science And Technology Method for producing mechanically flexible silicon substrate
US20170148726A1 (en) * 2015-11-03 2017-05-25 Applied Materials, Inc. Semiconductor processing method and semiconductor device
US10163719B2 (en) * 2015-12-15 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming self-alignment contact
US9842913B1 (en) 2016-05-18 2017-12-12 Globalfoundries Inc. Integrated circuit fabrication with boron etch-stop layer
US10249538B1 (en) 2017-10-03 2019-04-02 Globalfoundries Inc. Method of forming vertical field effect transistors with different gate lengths and a resulting structure

Citations (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4652183A (en) * 1979-02-16 1987-03-24 United Technologies Corporation Amorphous boron-carbon alloy tool bits and methods of making the same
US5155571A (en) * 1990-08-06 1992-10-13 The Regents Of The University Of California Complementary field effect transistors having strained superlattice structure
US5378901A (en) * 1991-12-24 1995-01-03 Rohm, Co., Ltd. Heterojunction bipolar transistor and method for producing the same
US5466949A (en) * 1994-08-04 1995-11-14 Texas Instruments Incorporated Silicon oxide germanium resonant tunneling
US5569538A (en) * 1993-10-06 1996-10-29 Texas Instruments Incorporated Semiconductor-on-insulator structure and method for producing same
US5906708A (en) * 1994-11-10 1999-05-25 Lawrence Semiconductor Research Laboratory, Inc. Silicon-germanium-carbon compositions in selective etch processes
US5965931A (en) * 1993-04-19 1999-10-12 The Board Of Regents Of The University Of California Bipolar transistor having base region with coupled delta layers
US6165891A (en) * 1999-11-22 2000-12-26 Chartered Semiconductor Manufacturing Ltd. Damascene structure with reduced capacitance using a carbon nitride, boron nitride, or boron carbon nitride passivation layer, etch stop layer, and/or cap layer
US6323108B1 (en) * 1999-07-27 2001-11-27 The United States Of America As Represented By The Secretary Of The Navy Fabrication ultra-thin bonded semiconductor layers
US6399970B2 (en) * 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US6512252B1 (en) * 1999-11-15 2003-01-28 Matsushita Electric Industrial Co., Ltd. Semiconductor device
US20030040130A1 (en) * 2001-08-09 2003-02-27 Mayur Abhilash J. Method for selection of parameters for implant anneal of patterned semiconductor substrates and specification of a laser system
US6531369B1 (en) * 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6552375B2 (en) * 2000-11-15 2003-04-22 Leland S. Swanson Blocking of boron diffusion through the emitter-emitter poly interface in PNP HBTs through use of a SiC layer at the top of the emitter epi layer
US20030080394A1 (en) * 2001-10-31 2003-05-01 Babcock Jeffrey A. Control of dopant diffusion from polysilicon emitters in bipolar integrated circuits
US20030082882A1 (en) * 2001-10-31 2003-05-01 Babcock Jeffrey A. Control of dopant diffusion from buried layers in bipolar integrated circuits
US20030132453A1 (en) * 2002-01-15 2003-07-17 International Business Machines Corporation Method to fabricate SiGe HBTs with controlled current gain and improved breakdown voltage characteristics
US20030146448A1 (en) * 2002-02-04 2003-08-07 Conexant Systems, Inc. Band gap compensated HBT
US6670542B2 (en) * 1999-12-28 2003-12-30 Sanyo Electric Co., Ltd. Semiconductor device and manufacturing method thereof
US20040009649A1 (en) * 2002-07-12 2004-01-15 Kub Francis J. Wafer bonding of thinned electronic materials and circuits to high performance substrates
US6680494B2 (en) * 2000-03-16 2004-01-20 Northrop Grumman Corporation Ultra high speed heterojunction bipolar transistor having a cantilevered base
US20040031979A1 (en) * 2002-06-07 2004-02-19 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US20040079989A1 (en) * 2002-10-11 2004-04-29 Nissan Motor Co., Ltd. Insulated gate tunnel-injection device having heterojunction and method for manufacturing the same
US6744079B2 (en) * 2002-03-08 2004-06-01 International Business Machines Corporation Optimized blocking impurity placement for SiGe HBTs
US6750484B2 (en) * 1996-12-09 2004-06-15 Nokia Corporation Silicon germanium hetero bipolar transistor
US6759694B1 (en) * 2003-09-10 2004-07-06 Industrial Technology Research Institute Semiconductor phototransistor
US20040164336A1 (en) * 1998-11-24 2004-08-26 Micron Technology, Inc. Films doped with carbon for use in integrated circuit technology
US20040222486A1 (en) * 2003-05-09 2004-11-11 International Business Machines Corporation BiCMOS TECHNOLOGY ON SOI SUBSTRATES
US6855963B1 (en) * 2003-08-29 2005-02-15 International Business Machines Corporation Ultra high-speed Si/SiGe modulation-doped field effect transistors on ultra thin SOI/SGOI substrate
US20050051861A1 (en) * 2003-09-09 2005-03-10 Industrial Technology Research Institute Avalanche photo-detector with high saturation power and high gain-bandwidth product
US20050112857A1 (en) * 2003-11-25 2005-05-26 International Business Machines Corporation Ultra-thin silicidation-stop extensions in mosfet devices
US6906400B2 (en) * 2003-01-14 2005-06-14 Interuniversitair Microelektronica Centrum (Imec) SiGe strain relaxed buffer for high mobility devices and a method of fabricating it
US6927140B2 (en) * 2002-08-21 2005-08-09 Intel Corporation Method for fabricating a bipolar transistor base
US20050181555A1 (en) * 2000-03-07 2005-08-18 Haukka Suvi P. Thin films
US20050191911A1 (en) * 2004-02-27 2005-09-01 International Business Machines Corporation Transistor structure with minimized parasitics and method of fabricating the same
US20050230705A1 (en) * 2002-04-26 2005-10-20 Taylor Geoff W Thz detection employing modulation doped quantum well device structures
US6995430B2 (en) * 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US20060030093A1 (en) * 2004-08-06 2006-02-09 Da Zhang Strained semiconductor devices and method for forming at least a portion thereof
US20060068557A1 (en) * 2003-08-05 2006-03-30 Fujitsu Limited Semiconductor device and method for fabricating the same
US7091114B2 (en) * 2002-04-16 2006-08-15 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US20060231862A1 (en) * 2003-04-15 2006-10-19 Nobuyuki Otsuka Ballistic semiconductor device
US20060284165A1 (en) * 2005-04-19 2006-12-21 The Ohio State University Silicon-based backward diodes for zero-biased square law detection and detector arrays of same
US20060292809A1 (en) * 2005-06-23 2006-12-28 Enicks Darwin G Method for growth and optimization of heterojunction bipolar transistor film stacks by remote injection
US20070054460A1 (en) * 2005-06-23 2007-03-08 Atmel Corporation System and method for providing a nanoscale, highly selective, and thermally resilient silicon, germanium, or silicon-germanium etch-stop
US20070096142A1 (en) * 2005-08-29 2007-05-03 Kabushiki Kaisha Toshiba Semiconductor device
US20070105335A1 (en) * 2005-11-01 2007-05-10 Massachusetts Institute Of Technology Monolithically integrated silicon and III-V electronics
US20070290193A1 (en) * 2006-01-18 2007-12-20 The Board Of Trustees Of The University Of Illinois Field effect transistor devices and methods
US20080050883A1 (en) * 2006-08-25 2008-02-28 Atmel Corporation Hetrojunction bipolar transistor (hbt) with periodic multilayer base
US20080099882A1 (en) * 2006-10-26 2008-05-01 Atmel Corporation System and method for providing a nanoscale, highly selective, and thermally resilient carbon etch-stop
US20080099840A1 (en) * 2006-10-26 2008-05-01 Atmel Corporation System and method for providing a nanoscale, highly selective, and thermally resilient boron etch-stop

Patent Citations (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4652183A (en) * 1979-02-16 1987-03-24 United Technologies Corporation Amorphous boron-carbon alloy tool bits and methods of making the same
US5155571A (en) * 1990-08-06 1992-10-13 The Regents Of The University Of California Complementary field effect transistors having strained superlattice structure
US5378901A (en) * 1991-12-24 1995-01-03 Rohm, Co., Ltd. Heterojunction bipolar transistor and method for producing the same
US5965931A (en) * 1993-04-19 1999-10-12 The Board Of Regents Of The University Of California Bipolar transistor having base region with coupled delta layers
US5569538A (en) * 1993-10-06 1996-10-29 Texas Instruments Incorporated Semiconductor-on-insulator structure and method for producing same
US5466949A (en) * 1994-08-04 1995-11-14 Texas Instruments Incorporated Silicon oxide germanium resonant tunneling
US20020081861A1 (en) * 1994-11-10 2002-06-27 Robinson Mcdonald Silicon-germanium-carbon compositions and processes thereof
US5906708A (en) * 1994-11-10 1999-05-25 Lawrence Semiconductor Research Laboratory, Inc. Silicon-germanium-carbon compositions in selective etch processes
US6064081A (en) * 1994-11-10 2000-05-16 Lawrence Semiconductor Research Laboratory, Inc. Silicon-germanium-carbon compositions and processes thereof
US20020105015A1 (en) * 1996-09-17 2002-08-08 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method of producing the same
US6399970B2 (en) * 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US6750484B2 (en) * 1996-12-09 2004-06-15 Nokia Corporation Silicon germanium hetero bipolar transistor
US20040164336A1 (en) * 1998-11-24 2004-08-26 Micron Technology, Inc. Films doped with carbon for use in integrated circuit technology
US6323108B1 (en) * 1999-07-27 2001-11-27 The United States Of America As Represented By The Secretary Of The Navy Fabrication ultra-thin bonded semiconductor layers
US6512252B1 (en) * 1999-11-15 2003-01-28 Matsushita Electric Industrial Co., Ltd. Semiconductor device
US6165891A (en) * 1999-11-22 2000-12-26 Chartered Semiconductor Manufacturing Ltd. Damascene structure with reduced capacitance using a carbon nitride, boron nitride, or boron carbon nitride passivation layer, etch stop layer, and/or cap layer
US6670542B2 (en) * 1999-12-28 2003-12-30 Sanyo Electric Co., Ltd. Semiconductor device and manufacturing method thereof
US6531369B1 (en) * 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US20050181555A1 (en) * 2000-03-07 2005-08-18 Haukka Suvi P. Thin films
US6680494B2 (en) * 2000-03-16 2004-01-20 Northrop Grumman Corporation Ultra high speed heterojunction bipolar transistor having a cantilevered base
US6552375B2 (en) * 2000-11-15 2003-04-22 Leland S. Swanson Blocking of boron diffusion through the emitter-emitter poly interface in PNP HBTs through use of a SiC layer at the top of the emitter epi layer
US20030040130A1 (en) * 2001-08-09 2003-02-27 Mayur Abhilash J. Method for selection of parameters for implant anneal of patterned semiconductor substrates and specification of a laser system
US20030082882A1 (en) * 2001-10-31 2003-05-01 Babcock Jeffrey A. Control of dopant diffusion from buried layers in bipolar integrated circuits
US20030080394A1 (en) * 2001-10-31 2003-05-01 Babcock Jeffrey A. Control of dopant diffusion from polysilicon emitters in bipolar integrated circuits
US6656809B2 (en) * 2002-01-15 2003-12-02 International Business Machines Corporation Method to fabricate SiGe HBTs with controlled current gain and improved breakdown voltage characteristics
US20030132453A1 (en) * 2002-01-15 2003-07-17 International Business Machines Corporation Method to fabricate SiGe HBTs with controlled current gain and improved breakdown voltage characteristics
US20040063293A1 (en) * 2002-01-15 2004-04-01 International Business Machines Corporation Method to fabricate SiGe HBTs with controlled current gain and improved breakdown voltage characteristics
US20030146448A1 (en) * 2002-02-04 2003-08-07 Conexant Systems, Inc. Band gap compensated HBT
US6744079B2 (en) * 2002-03-08 2004-06-01 International Business Machines Corporation Optimized blocking impurity placement for SiGe HBTs
US20060273392A1 (en) * 2002-04-16 2006-12-07 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US7091114B2 (en) * 2002-04-16 2006-08-15 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US20050230705A1 (en) * 2002-04-26 2005-10-20 Taylor Geoff W Thz detection employing modulation doped quantum well device structures
US20060186510A1 (en) * 2002-06-07 2006-08-24 Amberwave Systems Corporation Strained-semiconductor-on-insulator bipolar device structures
US20040031979A1 (en) * 2002-06-07 2004-02-19 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US7074623B2 (en) * 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
US6995430B2 (en) * 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US20050280103A1 (en) * 2002-06-07 2005-12-22 Amberwave Systems Corporation Strained-semiconductor-on-insulator finFET device structures
US20040009649A1 (en) * 2002-07-12 2004-01-15 Kub Francis J. Wafer bonding of thinned electronic materials and circuits to high performance substrates
US6927140B2 (en) * 2002-08-21 2005-08-09 Intel Corporation Method for fabricating a bipolar transistor base
US20040079989A1 (en) * 2002-10-11 2004-04-29 Nissan Motor Co., Ltd. Insulated gate tunnel-injection device having heterojunction and method for manufacturing the same
US6906400B2 (en) * 2003-01-14 2005-06-14 Interuniversitair Microelektronica Centrum (Imec) SiGe strain relaxed buffer for high mobility devices and a method of fabricating it
US20060231862A1 (en) * 2003-04-15 2006-10-19 Nobuyuki Otsuka Ballistic semiconductor device
US20040222486A1 (en) * 2003-05-09 2004-11-11 International Business Machines Corporation BiCMOS TECHNOLOGY ON SOI SUBSTRATES
US6936910B2 (en) * 2003-05-09 2005-08-30 International Business Machines Corporation BiCMOS technology on SOI substrates
US20060068557A1 (en) * 2003-08-05 2006-03-30 Fujitsu Limited Semiconductor device and method for fabricating the same
US20050127392A1 (en) * 2003-08-29 2005-06-16 International Business Machines Corporation Ultra high-speed Si/SiGe modulation-doped field effect transistors on ultra thin SOI/SGOI substrate
US6855963B1 (en) * 2003-08-29 2005-02-15 International Business Machines Corporation Ultra high-speed Si/SiGe modulation-doped field effect transistors on ultra thin SOI/SGOI substrate
US20050045905A1 (en) * 2003-08-29 2005-03-03 International Business Machines Corporation Ultra high-speed si/sige modulation-doped field effect transistors on ultra thin soi/sgoi substrate
US20050051861A1 (en) * 2003-09-09 2005-03-10 Industrial Technology Research Institute Avalanche photo-detector with high saturation power and high gain-bandwidth product
US6963089B2 (en) * 2003-09-09 2005-11-08 Industrial Technology Research Institute Avalanche photo-detector with high saturation power and high gain-bandwidth product
US6759694B1 (en) * 2003-09-10 2004-07-06 Industrial Technology Research Institute Semiconductor phototransistor
US20050112857A1 (en) * 2003-11-25 2005-05-26 International Business Machines Corporation Ultra-thin silicidation-stop extensions in mosfet devices
US20050191911A1 (en) * 2004-02-27 2005-09-01 International Business Machines Corporation Transistor structure with minimized parasitics and method of fabricating the same
US20060030093A1 (en) * 2004-08-06 2006-02-09 Da Zhang Strained semiconductor devices and method for forming at least a portion thereof
US20060284165A1 (en) * 2005-04-19 2006-12-21 The Ohio State University Silicon-based backward diodes for zero-biased square law detection and detector arrays of same
US20060292809A1 (en) * 2005-06-23 2006-12-28 Enicks Darwin G Method for growth and optimization of heterojunction bipolar transistor film stacks by remote injection
US20070054460A1 (en) * 2005-06-23 2007-03-08 Atmel Corporation System and method for providing a nanoscale, highly selective, and thermally resilient silicon, germanium, or silicon-germanium etch-stop
US20070096142A1 (en) * 2005-08-29 2007-05-03 Kabushiki Kaisha Toshiba Semiconductor device
US20070105335A1 (en) * 2005-11-01 2007-05-10 Massachusetts Institute Of Technology Monolithically integrated silicon and III-V electronics
US20070290193A1 (en) * 2006-01-18 2007-12-20 The Board Of Trustees Of The University Of Illinois Field effect transistor devices and methods
US20080050883A1 (en) * 2006-08-25 2008-02-28 Atmel Corporation Hetrojunction bipolar transistor (hbt) with periodic multilayer base
US20080099882A1 (en) * 2006-10-26 2008-05-01 Atmel Corporation System and method for providing a nanoscale, highly selective, and thermally resilient carbon etch-stop
US20080099840A1 (en) * 2006-10-26 2008-05-01 Atmel Corporation System and method for providing a nanoscale, highly selective, and thermally resilient boron etch-stop
US7495250B2 (en) * 2006-10-26 2009-02-24 Atmel Corporation Integrated circuit structures having a boron- and carbon-doped etch-stop and methods, devices and systems related thereto
US7569913B2 (en) * 2006-10-26 2009-08-04 Atmel Corporation Boron etch-stop layer and methods related thereto

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060292809A1 (en) * 2005-06-23 2006-12-28 Enicks Darwin G Method for growth and optimization of heterojunction bipolar transistor film stacks by remote injection
US20070054460A1 (en) * 2005-06-23 2007-03-08 Atmel Corporation System and method for providing a nanoscale, highly selective, and thermally resilient silicon, germanium, or silicon-germanium etch-stop
US8530934B2 (en) 2005-11-07 2013-09-10 Atmel Corporation Integrated circuit structures containing a strain-compensated compound semiconductor layer and methods and systems related thereto
US9012308B2 (en) 2005-11-07 2015-04-21 Atmel Corporation Integrated circuit structures containing a strain-compensated compound semiconductor layer and methods and systems related thereto
US20080099882A1 (en) * 2006-10-26 2008-05-01 Atmel Corporation System and method for providing a nanoscale, highly selective, and thermally resilient carbon etch-stop
US20080099840A1 (en) * 2006-10-26 2008-05-01 Atmel Corporation System and method for providing a nanoscale, highly selective, and thermally resilient boron etch-stop
US7495250B2 (en) 2006-10-26 2009-02-24 Atmel Corporation Integrated circuit structures having a boron- and carbon-doped etch-stop and methods, devices and systems related thereto
US7569913B2 (en) 2006-10-26 2009-08-04 Atmel Corporation Boron etch-stop layer and methods related thereto
US8173526B2 (en) 2006-10-31 2012-05-08 Atmel Corporation Method for providing a nanoscale, high electron mobility transistor (HEMT) on insulator
US9466729B1 (en) 2015-05-08 2016-10-11 Qualcomm Incorporated Etch stop region based fabrication of bonded semiconductor structures
US9865747B2 (en) 2015-05-08 2018-01-09 Qualcomm Incorporated Etch stop region based fabrication of bonded semiconductor structures

Also Published As

Publication number Publication date
US20080099840A1 (en) 2008-05-01
WO2008057692A2 (en) 2008-05-15
US7569913B2 (en) 2009-08-04
TW200828431A (en) 2008-07-01
WO2008057692A3 (en) 2008-07-03

Similar Documents

Publication Publication Date Title
US7569913B2 (en) Boron etch-stop layer and methods related thereto
US7550758B2 (en) Method for providing a nanoscale, high electron mobility transistor (HEMT) on insulator
US7495250B2 (en) Integrated circuit structures having a boron- and carbon-doped etch-stop and methods, devices and systems related thereto
US20070054460A1 (en) System and method for providing a nanoscale, highly selective, and thermally resilient silicon, germanium, or silicon-germanium etch-stop
US6723622B2 (en) Method of forming a germanium film on a semiconductor substrate that includes the formation of a graded silicon-germanium buffer layer prior to the formation of a germanium layer
US6362065B1 (en) Blocking of boron diffusion through the emitter-emitter poly interface in PNP HBTs through use of a SiC layer at the top of the emitter epi layer
US6316818B1 (en) Vertical bipolar transistor including an extrinsic base with reduced roughness, and fabrication process
JP2000031155A (en) Low noise vertical bipolar transistor and fabrication thereof
US9484430B2 (en) Back-end transistors with highly doped low-temperature contacts
US7714361B2 (en) Bipolar junction transistor having a high germanium concentration in a silicon-germanium layer and a method for forming the bipolar junction transistor
US6867428B1 (en) Strained silicon NMOS having silicon source/drain extensions and method for its fabrication
US6924182B1 (en) Strained silicon MOSFET having reduced leakage and method of its formation
US8227319B2 (en) Bipolar junction transistor having a high germanium concentration in a silicon-germanium layer and a method for forming the bipolar junction transistor
US9349864B1 (en) Methods for selectively forming a layer of increased dopant concentration
JP2001196385A (en) Method for manufacturing vertical bipolar transistor
US6893929B1 (en) Method of forming strained silicon MOSFET having improved threshold voltage under the gate ends
Jung et al. Application of RTP-CVD Technology to Ulsi Device Fabrication

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION