US20080220380A1 - Enhancing photoresist performance using electric fields - Google Patents

Enhancing photoresist performance using electric fields Download PDF

Info

Publication number
US20080220380A1
US20080220380A1 US12/075,703 US7570308A US2008220380A1 US 20080220380 A1 US20080220380 A1 US 20080220380A1 US 7570308 A US7570308 A US 7570308A US 2008220380 A1 US2008220380 A1 US 2008220380A1
Authority
US
United States
Prior art keywords
photoresist
exposure
electric fields
electric field
aggregates
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/075,703
Inventor
Robert Bristol
Heidi Cao
Manish Chandhok
Robert Meagley
Vijayakumar S. Ramachandrarao
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US12/075,703 priority Critical patent/US20080220380A1/en
Publication of US20080220380A1 publication Critical patent/US20080220380A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/093Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antistatic means, e.g. for charge depletion
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/3007Imagewise removal using liquid means combined with electrical means, e.g. force fields
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking

Definitions

  • This invention relates generally to the patterning of photoresist.
  • Photoresist may be utilized to transfer a pattern from a mask to a semiconductor wafer in a repeatable fashion.
  • the process of photolithography involves a few basic steps. Initially, a photoresist is formed on top of the wafer by a spin-on process. Excess solvent is then removed in a pre-exposure bake. Thereafter, certain regions on the wafer are selectively exposed to radiation. Next, the wafer is baked in the so-called post-exposure bake. Then the wafer and, particularly, the photoresist is developed and rinsed. Regions that are exposed may either be resistant to removal or may be more prone to removal so that the pattern of a mask is transferred to the wafer in a repeatable fashion.
  • the quality of the pattern that is transferred from the photoresist to the underlying layer is based at least in part on what is call line edge roughness. The rougher the resulting lines transferred to the photoresist, the rougher the transfer in the pattern to the semiconductor wafer, which in turn may impact the performance of the device under fabrication.
  • FIG. 1 is a schematic cross section of one embodiment of the present invention
  • FIG. 2 is a schematic depiction of an aggregate exposed to the electric fields shown in FIG. 1 ;
  • FIG. 3 is a schematic depiction of the effect of the electric field on the aggregate shown in FIG. 2 ;
  • FIG. 4 is a schematic cross section of another embodiment of the present invention.
  • FIG. 5 is a schematic cross section of still another embodiment of the present invention.
  • FIG. 7 is a cross section of still another embodiment of the present invention.
  • FIG. 8 is a schematic cross section of an apparatus in accordance with one embodiment of the present invention.
  • a semiconductor substrate 12 such as a wafer covered by layers of other material, such as dielectric layers, may be covered by an unexposed, undeveloped photoresist 10 .
  • the photoresist 10 may be spun-on the substrate 12 .
  • the substrate 12 may be grounded and the photoresist 12 may be exposed to an electric field indicated by arrows marked E.
  • an electric field is applied before or during a pre-apply bake and may improve the distribution of polymers in the photoresist.
  • the photoresist 12 may be a 193 nanometer or an extreme ultraviolet (EUV) photoresist which may be a blend of two polymers and/or a random copolymer containing both polar and non-polar components.
  • the photoresist 12 may be a hydrogen-bonding polymer or copolymer, such as a poly(methylmethacrylate)-based or polyhydroxy styrene maleic anhydride and olefin-based block polymer.
  • the 193 nanometer photoresist may have aggregates randomly distributed in the resulting photoresist 12 . These aggregates may contribute to the line edge roughness in some embodiments.
  • the aggregates may be formed right after spin coating the photoresist 10 on the semiconductor substrate 12 irrespective of subsequent exposure and development processes. Further, the roughness of the photoresist 10 is transferred to the underlying substrate 12 in subsequent etch processes.
  • the aggregates may be denser than the bulk of the photoresist 10 .
  • the density of these aggregates may prevent their complete development, after exposure, by reducing the diffusivity/access of acids into the aggregates.
  • One problem posed by these aggregates is their extension in both the lateral and vertical directions. In particular, the extension in the direction parallel to the surface of the substrate 12 may be a contributing cause of line edge roughness in some cases.
  • One potential reason for the aggregates may be hydrogen bond formation between polar parts of the polymer chains forming the photoresist 10 . Orienting the polar polymer chain elements in a more vertical direction, than in a horizontal direction, may reduce line edge roughness.
  • the aggregate M 1 ( FIG. 2 ) may become more aligned in the vertical direction, as indicated in FIG. 3 , at M 2 and compacted horizontally.
  • line edge roughness may be reduced.
  • Electric fields may be applied prior to exposure, before or during pre-exposure bake, when the photoresist 10 is above its glass transition temperature. This may be done by heating the photoresist film 10 or by solvent-induced depression of the glass transition temperature. Exposure to the electric field E shown in FIG. 1 may involve a photoresist 10 swollen with a non-polar solvent. Once the photoresist 10 has been oriented by the electric field, the solvent may be removed, for example by heating (pre-exposure bake) or other solvent removal techniques. This solvent removal may effectively “freeze” or make permanent the molecular vertical orientation. The orientation of the polymer molecules may occur during the pre-bake or before the pre-exposure bake. In one embodiment two pre-exposure bakes may be used: an initial bake to orient the polymers, and a second bake to remove the solvent.
  • the oriented photoresist 10 with the solvent removed is ready for exposure and development in a conventional lithographic process. These techniques may be particularly useful in connection with 193 mm or EUV photoresists that have aggregates.
  • the voltage of the electric field E used to orient the polymers or diblock copolymers that may form the photoresist 10 may be on the order of tens of volts in one embodiment.
  • the distance between the electrodes that generate the electric field E may be on the order of one micrometer in one embodiment, resulting in long range order within the photoresist 10 .
  • the polymer film forming the photoresist 10 may be on the order of 200 nanometers thick with high electric fields in the polymer matrix on the order of 10 7 to 10 8 V/m as one example.
  • the degree of ordering may be on the order of 5-20 nanometers horizontally, for example.
  • the voltage to achieve such results may be on the order of less than ten volts but the distance of separation between the electrodes that apply the field and the semiconductor substrate 10 may on the order of a few millimeters where a 300 mm wafer is used to form the substrate 12 . Depending on the size of the wafer, a higher voltage on the order of tens to hundreds of volts may be utilized to maintain an equivalent electric field.
  • a potential benefit of applying an electric field during pre-exposure bake in some embodiments is that an applied oscillating potential may more evenly distribute the photoacid generators in the resist, reducing one source of line edge roughness.
  • chemically amplified extreme ultraviolet resist may be controlled using an applied voltage from a voltage source 16 .
  • the substrate 12 can be covered by a photoresist layer 10 .
  • a voltage potential is applied across the photoresist 10 during post-exposure bake, pre-exposure bake or possibly during exposure.
  • the electrode 16 a may be in the form of a ring, in one embodiment, to avoid obstructing the exposing radiation when the electrode 16 a is used prior to exposure.
  • electrons e may be released. While a DC potential 16 is depicted, an AC source may also be used.
  • a thin layer of conductive material 14 may be applied over the resist 10 in order to apply the potential. Upon exposure to extreme ultraviolet radiation R, electrons e may be released.
  • the conductive material 14 may be deposited, for example, by spin-on coating in one embodiment.
  • the material 14 may comprise a water-soluble conductive organic material, for example, a functionalized polythiophene.
  • the material 14 may also comprise a conductive polymer, for example an onium sulfonate salt photoacid generator.
  • the material 14 may also comprise acidic species, for example, ammonium sulfonate salts.
  • the spun-on electrode material 14 may work with conventional resists. In one embodiment, the material 14 is water soluble so it may be washed away during the development stages.
  • passing alternating current through a radio (or other) frequency coil 16 b may enhance photospeed by adding energy to the extreme ultraviolet generated secondary electrons e.
  • the coil 16 b may induce the desired electric field without obstructing the exposure of the photoresist 10 .
  • the coil 16 b may be used both before, after, and during exposure.
  • an electric field may be applied to the conductive layer 14 during post-exposure bake. If sufficiently thin, the layer 14 may also be used prior to or during exposure.
  • Each of a low energy radio frequency coil 16 b or electrode 16 a may apply the potential to the photoresist without the use of a conductive material 14 .
  • the coil 16 b or electrode 16 a simplify the field exposure during post-exposure bake or pre-exposure bake.
  • the resist may be spun-on and exposed. Then the conductive material as shown in FIGS. 5 and 7 may be spun-on. Post-exposure bake of the wafer may be done with an applied potential as shown in FIGS. 6 and 8 . Thereafter, the exposed structure may be developed and rinsed. Alternatively, a potential may be applied during exposure. In still another alternative, potential may be applied during pre-exposure bake. The potential may be applied during exposure or pre-exposure for example using the radio frequency applied field.
  • electric fields may assist during development of photoresist.
  • Removal of the exposed, baked photoresist by a developer may be by way of an electrochemical reaction.
  • the reaction may occur between an negatively charged basic developer material, such as TMAH, and the polymer forming the photoresist, for example a phenolic compound with the diblocked polymer to be developed away.
  • TMAH negatively charged basic developer material
  • the local concentration of the developer hydroxyl ions is given by the Boltzmann distribution:
  • ⁇ o is the ion concentration at the top of the developer
  • e is the electron charge
  • Z is the valence of the ions
  • ⁇ (z) is the local potential
  • k is the Boltzmann's constant
  • T is temperature.
  • An AC potential from the source 22 attracts negatively charged ions and the base developer solution closer to the bottom of the photoresist 26 when the ground plane is at a relatively positive potential.
  • the AC potential attracts the negatively charged ions to the top of the resist when the powered electrode is at a relatively positive potential. This results in more uniform distribution of developer ions, such as negatively charged ions, smoothing line edge roughness.

Abstract

Electric fields may be advantageously used in various steps of photolithographic processes. For example, prior to the pre-exposure bake, photoresists that have been spun-on the wafer may be exposed to an electric field to orient aggregates or other components within the unexposed photoresist. By aligning these aggregates or other components with the electric field, line edge roughness may be reduced, for example in connection with 193 nanometer photoresist. Likewise, during exposure, electric fields may be applied through uniquely situated electrodes or using a radio frequency coil. In addition, electric fields may be applied at virtually any point in the photolithography process by depositing a conductive electrode, which is subsequently removed during development. Finally, electric fields may be applied during the developing process to improve line edge roughness.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This application is a divisional of U.S. patent application Ser. No. 10/679,816, filed Oct. 6, 2003.
  • BACKGROUND
  • This invention relates generally to the patterning of photoresist.
  • Photoresist may be utilized to transfer a pattern from a mask to a semiconductor wafer in a repeatable fashion. Generally the process of photolithography involves a few basic steps. Initially, a photoresist is formed on top of the wafer by a spin-on process. Excess solvent is then removed in a pre-exposure bake. Thereafter, certain regions on the wafer are selectively exposed to radiation. Next, the wafer is baked in the so-called post-exposure bake. Then the wafer and, particularly, the photoresist is developed and rinsed. Regions that are exposed may either be resistant to removal or may be more prone to removal so that the pattern of a mask is transferred to the wafer in a repeatable fashion.
  • The quality of the pattern that is transferred from the photoresist to the underlying layer is based at least in part on what is call line edge roughness. The rougher the resulting lines transferred to the photoresist, the rougher the transfer in the pattern to the semiconductor wafer, which in turn may impact the performance of the device under fabrication.
  • Thus, it would be desirable to reduce the line edge roughness of the photoresist.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a schematic cross section of one embodiment of the present invention;
  • FIG. 2 is a schematic depiction of an aggregate exposed to the electric fields shown in FIG. 1;
  • FIG. 3 is a schematic depiction of the effect of the electric field on the aggregate shown in FIG. 2;
  • FIG. 4 is a schematic cross section of another embodiment of the present invention;
  • FIG. 5 is a schematic cross section of still another embodiment of the present invention;
  • FIG. 6 is a cross section of yet another embodiment of the present invention;
  • FIG. 7 is a cross section of still another embodiment of the present invention; and
  • FIG. 8 is a schematic cross section of an apparatus in accordance with one embodiment of the present invention.
  • DETAILED DESCRIPTION
  • Referring to FIG. 1, a semiconductor substrate 12, such as a wafer covered by layers of other material, such as dielectric layers, may be covered by an unexposed, undeveloped photoresist 10. The photoresist 10 may be spun-on the substrate 12. In one embodiment, the substrate 12 may be grounded and the photoresist 12 may be exposed to an electric field indicated by arrows marked E.
  • In one embodiment, an electric field is applied before or during a pre-apply bake and may improve the distribution of polymers in the photoresist. The photoresist 12 may be a 193 nanometer or an extreme ultraviolet (EUV) photoresist which may be a blend of two polymers and/or a random copolymer containing both polar and non-polar components. The photoresist 12 may be a hydrogen-bonding polymer or copolymer, such as a poly(methylmethacrylate)-based or polyhydroxy styrene maleic anhydride and olefin-based block polymer.
  • The 193 nanometer photoresist may have aggregates randomly distributed in the resulting photoresist 12. These aggregates may contribute to the line edge roughness in some embodiments. The aggregates may be formed right after spin coating the photoresist 10 on the semiconductor substrate 12 irrespective of subsequent exposure and development processes. Further, the roughness of the photoresist 10 is transferred to the underlying substrate 12 in subsequent etch processes.
  • The aggregates may be denser than the bulk of the photoresist 10. The density of these aggregates may prevent their complete development, after exposure, by reducing the diffusivity/access of acids into the aggregates. One problem posed by these aggregates is their extension in both the lateral and vertical directions. In particular, the extension in the direction parallel to the surface of the substrate 12 may be a contributing cause of line edge roughness in some cases.
  • One potential reason for the aggregates may be hydrogen bond formation between polar parts of the polymer chains forming the photoresist 10. Orienting the polar polymer chain elements in a more vertical direction, than in a horizontal direction, may reduce line edge roughness.
  • Through exposure to an electric field, the aggregate M1 (FIG. 2) may become more aligned in the vertical direction, as indicated in FIG. 3, at M2 and compacted horizontally. As a result of this action on a large number of aggregates, molecules or elements of the photoresist 10, line edge roughness may be reduced.
  • Electric fields may be applied prior to exposure, before or during pre-exposure bake, when the photoresist 10 is above its glass transition temperature. This may be done by heating the photoresist film 10 or by solvent-induced depression of the glass transition temperature. Exposure to the electric field E shown in FIG. 1 may involve a photoresist 10 swollen with a non-polar solvent. Once the photoresist 10 has been oriented by the electric field, the solvent may be removed, for example by heating (pre-exposure bake) or other solvent removal techniques. This solvent removal may effectively “freeze” or make permanent the molecular vertical orientation. The orientation of the polymer molecules may occur during the pre-bake or before the pre-exposure bake. In one embodiment two pre-exposure bakes may be used: an initial bake to orient the polymers, and a second bake to remove the solvent.
  • The oriented photoresist 10 with the solvent removed is ready for exposure and development in a conventional lithographic process. These techniques may be particularly useful in connection with 193 mm or EUV photoresists that have aggregates.
  • The voltage of the electric field E used to orient the polymers or diblock copolymers that may form the photoresist 10 may be on the order of tens of volts in one embodiment. The distance between the electrodes that generate the electric field E may be on the order of one micrometer in one embodiment, resulting in long range order within the photoresist 10. The polymer film forming the photoresist 10 may be on the order of 200 nanometers thick with high electric fields in the polymer matrix on the order of 107 to 108 V/m as one example. For 193 nanometer line edge roughness reduction, the degree of ordering may be on the order of 5-20 nanometers horizontally, for example. The voltage to achieve such results may be on the order of less than ten volts but the distance of separation between the electrodes that apply the field and the semiconductor substrate 10 may on the order of a few millimeters where a 300 mm wafer is used to form the substrate 12. Depending on the size of the wafer, a higher voltage on the order of tens to hundreds of volts may be utilized to maintain an equivalent electric field.
  • A potential benefit of applying an electric field during pre-exposure bake in some embodiments is that an applied oscillating potential may more evenly distribute the photoacid generators in the resist, reducing one source of line edge roughness.
  • In another embodiment, an electric field may be applied during exposure. During exposure, the field may enhance photospeed in some embodiments by adding energy to the extreme ultraviolet-generated secondary electrons that may be responsible for activating the photoacid generators (PAGs). Photoresist with inherently low line edge roughness may be accelerated to acceptably fast photospeeds under exposure to an electric field. During exposure, the energy added to a liberated electron depends on the applied field strength and the distance traveled by the electron before it is re-absorbed or scattered. For a 5 nanometer scattering distance and 100 volts applied over 100 nanometer thickness, the extra energy is about 5 eV, which would be more than the original energy of the secondary electron.
  • According to FIG. 4, chemically amplified extreme ultraviolet resist may be controlled using an applied voltage from a voltage source 16. In this case, the substrate 12 can be covered by a photoresist layer 10. A voltage potential is applied across the photoresist 10 during post-exposure bake, pre-exposure bake or possibly during exposure. The electrode 16 a may be in the form of a ring, in one embodiment, to avoid obstructing the exposing radiation when the electrode 16 a is used prior to exposure. Upon exposure to extreme ultraviolet radiation R, electrons e may be released. While a DC potential 16 is depicted, an AC source may also be used.
  • In another embodiment, shown in FIG. 5, a thin layer of conductive material 14 may be applied over the resist 10 in order to apply the potential. Upon exposure to extreme ultraviolet radiation R, electrons e may be released. The conductive material 14 may be deposited, for example, by spin-on coating in one embodiment.
  • The material 14 may comprise a water-soluble conductive organic material, for example, a functionalized polythiophene. The material 14 may also comprise a conductive polymer, for example an onium sulfonate salt photoacid generator. In addition to onium sulfonate salts, the material 14 may also comprise acidic species, for example, ammonium sulfonate salts. The spun-on electrode material 14 may work with conventional resists. In one embodiment, the material 14 is water soluble so it may be washed away during the development stages.
  • Next, referring to FIG. 6, passing alternating current through a radio (or other) frequency coil 16 b may enhance photospeed by adding energy to the extreme ultraviolet generated secondary electrons e. The coil 16 b may induce the desired electric field without obstructing the exposure of the photoresist 10. Thus, the coil 16 b may be used both before, after, and during exposure.
  • Referring to FIG. 7, an electric field may be applied to the conductive layer 14 during post-exposure bake. If sufficiently thin, the layer 14 may also be used prior to or during exposure.
  • Each of a low energy radio frequency coil 16 b or electrode 16 a may apply the potential to the photoresist without the use of a conductive material 14. The coil 16 b or electrode 16 a simplify the field exposure during post-exposure bake or pre-exposure bake.
  • Thus, in one embodiment, the resist may be spun-on and exposed. Then the conductive material as shown in FIGS. 5 and 7 may be spun-on. Post-exposure bake of the wafer may be done with an applied potential as shown in FIGS. 6 and 8. Thereafter, the exposed structure may be developed and rinsed. Alternatively, a potential may be applied during exposure. In still another alternative, potential may be applied during pre-exposure bake. The potential may be applied during exposure or pre-exposure for example using the radio frequency applied field.
  • In another embodiment, electric fields may assist during development of photoresist. Removal of the exposed, baked photoresist by a developer may be by way of an electrochemical reaction. The reaction may occur between an negatively charged basic developer material, such as TMAH, and the polymer forming the photoresist, for example a phenolic compound with the diblocked polymer to be developed away. In the presence of an electric field, the local concentration of the developer hydroxyl ions is given by the Boltzmann distribution:

  • ρ(z)=ρo exp[eZΨ(z)/kT]
  • where ρo is the ion concentration at the top of the developer, e is the electron charge, Z is the valence of the ions, Ψ(z) is the local potential, k is the Boltzmann's constant and T is temperature.
  • By adding an external potential V(operating system), the local density changes as:

  • ρ(z)=ρo exp[ez(Ψ(z)+V(z)kT]
  • allowing the developer concentration to be modified by the applied electric field.
  • Referring to FIG. 8, in accordance with another embodiment of the present invention, an exposed, undeveloped wafer W may be placed on a ground plane 12 and developer sprayed inside the development module 30 until a puddle is created. A powered electrode 28 is then placed on top of the puddle and an electric field is applied between the powered electrode 28 and the ground plane 12. A DC field (from DC potential 20) may result in a potential gradient between the top and bottom of the photoresist 26 positioned on the wafer 10. The resist development reaction rate is higher at the bottom of the photoresist 26, resulting in more vertical profiles and hence enhanced resolution.
  • An AC potential from the source 22 attracts negatively charged ions and the base developer solution closer to the bottom of the photoresist 26 when the ground plane is at a relatively positive potential. The AC potential attracts the negatively charged ions to the top of the resist when the powered electrode is at a relatively positive potential. This results in more uniform distribution of developer ions, such as negatively charged ions, smoothing line edge roughness.
  • While the present invention has been described with respect to a limited number of embodiments, those skilled in the art will appreciate numerous modifications and variations therefrom. It is intended that the appended claims cover all such modifications and variations as fall within the true spirit and scope of this present invention.

Claims (5)

1. A method comprising:
forming a photoresist on a substrate;
baking said photoresist before exposure; and
while baking said photoresist, applying an electric field.
2. The method of claim 1 including exposing said photoresist to an electric field using a radio frequency coil.
3. The method of claim 1 including exposing said photoresist to an electric field using an electrode with an opening therethrough.
4. The method of claim 3 including using a ring shaped electrode.
5. The method of claim 1 including exposing said baked photoresist to extreme ultraviolet radiation.
US12/075,703 2003-10-06 2008-03-13 Enhancing photoresist performance using electric fields Abandoned US20080220380A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/075,703 US20080220380A1 (en) 2003-10-06 2008-03-13 Enhancing photoresist performance using electric fields

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/679,816 US7374867B2 (en) 2003-10-06 2003-10-06 Enhancing photoresist performance using electric fields
US12/075,703 US20080220380A1 (en) 2003-10-06 2008-03-13 Enhancing photoresist performance using electric fields

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/679,816 Division US7374867B2 (en) 2003-10-06 2003-10-06 Enhancing photoresist performance using electric fields

Publications (1)

Publication Number Publication Date
US20080220380A1 true US20080220380A1 (en) 2008-09-11

Family

ID=34394247

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/679,816 Expired - Fee Related US7374867B2 (en) 2003-10-06 2003-10-06 Enhancing photoresist performance using electric fields
US12/075,703 Abandoned US20080220380A1 (en) 2003-10-06 2008-03-13 Enhancing photoresist performance using electric fields

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/679,816 Expired - Fee Related US7374867B2 (en) 2003-10-06 2003-10-06 Enhancing photoresist performance using electric fields

Country Status (3)

Country Link
US (2) US7374867B2 (en)
TW (1) TWI294562B (en)
WO (1) WO2005038527A2 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9903518B2 (en) 2013-10-24 2018-02-27 Swagelok Company Single action push to connect conduit fitting
US10458582B2 (en) 2015-04-23 2019-10-29 Swagelok Company Single action push to connect conduit fitting with colleting
US10584820B2 (en) 2010-10-15 2020-03-10 Swagelok Company Push to connect conduit fitting with ferrule
US10704722B2 (en) 2015-04-23 2020-07-07 Swagellok Company Single action push to connect conduit fitting
US10782606B2 (en) 2018-06-29 2020-09-22 Globalfoundries Inc. Photolithography methods and structures that reduce stochastic defects
US11781688B2 (en) 2019-04-01 2023-10-10 Swagelok Company Push to connect conduit fitting assemblies and arrangements

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7463336B2 (en) * 2004-04-14 2008-12-09 Asml Netherlands B.V. Device manufacturing method and apparatus with applied electric field
US20060154152A1 (en) * 2005-01-10 2006-07-13 Intel Corporation Flare reduction in photolithography
US7541137B2 (en) * 2005-12-19 2009-06-02 Beach James V Resist resolution using anisotropic acid diffusion
AU2007248170B2 (en) 2006-05-01 2012-08-16 Arrowhead Center, Inc. Fiber photovoltaic devices and applications thereof
SI2022108T1 (en) * 2006-05-01 2009-10-31 Univ Wake Forest Organic optoelectronic devices and applications thereof
US20080149178A1 (en) * 2006-06-27 2008-06-26 Marisol Reyes-Reyes Composite organic materials and applications thereof
EP2378581B1 (en) 2006-08-07 2013-07-31 Wake Forest University Photovoltaic Cell
DE102006053074B4 (en) * 2006-11-10 2012-03-29 Qimonda Ag Structuring method using chemically amplified photoresists and exposure device
US8394483B2 (en) * 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US8083953B2 (en) * 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8557128B2 (en) 2007-03-22 2013-10-15 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US7959975B2 (en) 2007-04-18 2011-06-14 Micron Technology, Inc. Methods of patterning a substrate
US8097175B2 (en) 2008-10-28 2012-01-17 Micron Technology, Inc. Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
US8294139B2 (en) 2007-06-21 2012-10-23 Micron Technology, Inc. Multilayer antireflection coatings, structures and devices including the same and methods of making the same
US8372295B2 (en) * 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
US8404124B2 (en) * 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8080615B2 (en) * 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
US8283258B2 (en) 2007-08-16 2012-10-09 Micron Technology, Inc. Selective wet etching of hafnium aluminum oxide films
US20100307580A1 (en) * 2007-11-01 2010-12-09 David Loren Carroll Lateral Organic Optoelectronic Devices And Applications Thereof
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US8101261B2 (en) 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US8425982B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US8114300B2 (en) 2008-04-21 2012-02-14 Micron Technology, Inc. Multi-layer method for formation of registered arrays of cylindrical pores in polymer films
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US8097402B2 (en) * 2009-03-31 2012-01-17 Tokyo Electron Limited Using electric-field directed post-exposure bake for double-patterning (D-P)
US8304493B2 (en) 2010-08-20 2012-11-06 Micron Technology, Inc. Methods of forming block copolymers
US8288174B1 (en) 2011-03-24 2012-10-16 Tokyo Electron Limited Electrostatic post exposure bake apparatus and method
US8900963B2 (en) 2011-11-02 2014-12-02 Micron Technology, Inc. Methods of forming semiconductor device structures, and related structures
US9087699B2 (en) 2012-10-05 2015-07-21 Micron Technology, Inc. Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure
US9229328B2 (en) 2013-05-02 2016-01-05 Micron Technology, Inc. Methods of forming semiconductor device structures, and related semiconductor device structures
KR102099880B1 (en) * 2013-05-06 2020-04-10 삼성전자 주식회사 Lithography apparatus having effective thermal electron enhancement unit and method of forming pattern
US9177795B2 (en) 2013-09-27 2015-11-03 Micron Technology, Inc. Methods of forming nanostructures including metal oxides
US9377692B2 (en) 2014-06-10 2016-06-28 Applied Materials, Inc. Electric/magnetic field guided acid diffusion
US9366966B2 (en) 2014-07-10 2016-06-14 Applied Materials, Inc. Electric/magnetic field guided acid profile control in a photoresist layer
US9280070B2 (en) * 2014-07-10 2016-03-08 Applied Materials, Inc. Field guided exposure and post-exposure bake process
US9733579B2 (en) 2014-10-15 2017-08-15 Applied Materials, Inc. Tooling configuration for electric/magnetic field guided acid profile control in a photoresist layer
US10095114B2 (en) 2014-11-14 2018-10-09 Applied Materials, Inc. Process chamber for field guided exposure and method for implementing the process chamber
US9823570B2 (en) 2015-04-02 2017-11-21 Applied Materials, Inc. Field guided post exposure bake application for photoresist microbridge defects
US9864276B2 (en) 2015-04-07 2018-01-09 Applied Materials, Inc. Laser annealing and electric field
US9829790B2 (en) 2015-06-08 2017-11-28 Applied Materials, Inc. Immersion field guided exposure and post-exposure bake process
US9927709B2 (en) 2015-10-02 2018-03-27 Applied Materials, Inc. Resist sensitivity and profile improvement via acid anion control during field-guided post exposure bake
US10203604B2 (en) 2015-11-30 2019-02-12 Applied Materials, Inc. Method and apparatus for post exposure processing of photoresist wafers
US9958782B2 (en) 2016-06-29 2018-05-01 Applied Materials, Inc. Apparatus for post exposure bake
US9996006B2 (en) 2016-10-14 2018-06-12 Applied Materials, Inc. Resist sensitivity and profile improvement via acid anion control during field-guided post exposure bake
US9964863B1 (en) 2016-12-20 2018-05-08 Applied Materials, Inc. Post exposure processing apparatus
US10615058B2 (en) 2016-12-29 2020-04-07 Applied Materials, Inc. Apparatus for field guided acid profile control in a photoresist layer
WO2020149903A1 (en) 2019-01-18 2020-07-23 Applied Materials, Inc. A film structure for electric field guided photoresist patterning process
US20210041785A1 (en) * 2019-08-09 2021-02-11 Applied Materials, Inc. Process control of electric field guided photoresist baking process
US11429026B2 (en) 2020-03-20 2022-08-30 Applied Materials, Inc. Lithography process window enhancement for photoresist patterning
CN111438944B (en) * 2020-04-02 2021-10-01 吉林大学 Method for preparing nanoscale electric jet nozzle based on SU-8 glue electrolysis method
US11894240B2 (en) 2020-04-06 2024-02-06 Tokyo Electron Limited Semiconductor processing systems with in-situ electrical bias
US11335792B2 (en) * 2020-04-06 2022-05-17 Tokyo Electron Limited Semiconductor processing system with in-situ electrical bias and methods thereof
KR20220112135A (en) * 2021-02-03 2022-08-10 에스케이하이닉스 주식회사 Methods and apparatus for forming resist pattern using EUV with electrical field

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5602486A (en) * 1994-03-14 1997-02-11 Sandia Corporation Impedance sensing of flaws in non-homogenous materials
US6530342B1 (en) * 1998-12-30 2003-03-11 Tokyo Electron Limited Large area plasma source
US20050158670A1 (en) * 2000-12-26 2005-07-21 Kabushiki Kaisha Toshiba At Reel 012413/Frame 0041 Apparatus for processing substrate and method of processing the same

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5732632A (en) 1980-08-04 1982-02-22 Mitsubishi Electric Corp Development of resist
JPS6371842A (en) 1986-09-16 1988-04-01 Hitachi Ltd Resist pattern forming method
JPS63244622A (en) 1987-03-30 1988-10-12 Nec Corp Manufacture of semiconductor device
JPH03159114A (en) 1989-11-16 1991-07-09 Mitsubishi Electric Corp Formation of fine pattern
US5370825A (en) 1993-03-03 1994-12-06 International Business Machines Corporation Water-soluble electrically conducting polymers, their synthesis and use
JP3254064B2 (en) 1993-09-27 2002-02-04 株式会社半導体エネルギー研究所 Plasma processing method
US5631314A (en) 1994-04-27 1997-05-20 Tokyo Ohka Kogyo Co., Ltd. Liquid coating composition for use in forming photoresist coating films and photoresist material using said composition
JPH10106930A (en) 1996-10-02 1998-04-24 Oki Electric Ind Co Ltd Resist film, its forming method and resist solution
TW432397B (en) * 1997-10-23 2001-05-01 Sumitomo Metal Mining Co Transparent electro-conductive structure, progess for its production, transparent electro-conductive layer forming coating fluid used for its production, and process for preparing the coating fluid
US6225215B1 (en) * 1999-09-24 2001-05-01 Lsi Logic Corporation Method for enhancing anti-reflective coatings used in photolithography of electronic devices
US6830389B2 (en) 2000-10-25 2004-12-14 Advanced Micro Devices, Inc. Parallel plate development with the application of a differential voltage
US6686132B2 (en) * 2001-04-20 2004-02-03 The Regents Of The University Of California Method and apparatus for enhancing resist sensitivity and resolution by application of an alternating electric field during post-exposure bake
TW499706B (en) 2001-07-26 2002-08-21 Macronix Int Co Ltd Adjustable polarization-light-reacted photoresist and photolithography process using the same
US6841342B2 (en) * 2001-08-08 2005-01-11 Tokyo Electron Limited Substrate processing apparatus and substrate processing method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5602486A (en) * 1994-03-14 1997-02-11 Sandia Corporation Impedance sensing of flaws in non-homogenous materials
US6530342B1 (en) * 1998-12-30 2003-03-11 Tokyo Electron Limited Large area plasma source
US20050158670A1 (en) * 2000-12-26 2005-07-21 Kabushiki Kaisha Toshiba At Reel 012413/Frame 0041 Apparatus for processing substrate and method of processing the same

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10584820B2 (en) 2010-10-15 2020-03-10 Swagelok Company Push to connect conduit fitting with ferrule
US11002395B2 (en) 2010-10-15 2021-05-11 Swagelok Company Push to connect conduit fitting with ferrule
US9903518B2 (en) 2013-10-24 2018-02-27 Swagelok Company Single action push to connect conduit fitting
US10619780B2 (en) 2013-10-24 2020-04-14 Swagelok Company Single action push to connect conduit fitting
US10458582B2 (en) 2015-04-23 2019-10-29 Swagelok Company Single action push to connect conduit fitting with colleting
US10704722B2 (en) 2015-04-23 2020-07-07 Swagellok Company Single action push to connect conduit fitting
US11073234B2 (en) 2015-04-23 2021-07-27 Swagelok Company Single action push to connect conduit fitting
US10782606B2 (en) 2018-06-29 2020-09-22 Globalfoundries Inc. Photolithography methods and structures that reduce stochastic defects
US11781688B2 (en) 2019-04-01 2023-10-10 Swagelok Company Push to connect conduit fitting assemblies and arrangements

Also Published As

Publication number Publication date
TWI294562B (en) 2008-03-11
US7374867B2 (en) 2008-05-20
WO2005038527A3 (en) 2006-01-12
TW200525304A (en) 2005-08-01
US20050074706A1 (en) 2005-04-07
WO2005038527A2 (en) 2005-04-28

Similar Documents

Publication Publication Date Title
US7374867B2 (en) Enhancing photoresist performance using electric fields
US7341939B2 (en) Method for patterning micro features by using developable bottom anti-reflection coating
US5686223A (en) Method for reduced pitch lithography
CN106662816B (en) Negative tone developer compatible photoresist compositions and methods of use
TWI557518B (en) Direct current superposition freeze
US5948219A (en) Apparatus for selectively exposing a semiconductor topography to an electric field
US5104479A (en) Resist material for energy beam lithography and method of using the same
JP3456461B2 (en) Patterning method, thin-film device manufacturing method, and thin-film magnetic head manufacturing method
Ocola et al. Negative chemically amplified resist characterization for direct write and SCALPEL nanolithography
JPH1055068A (en) Production of semiconductor device using high resolving power lithography and device therefor
JPH03261953A (en) Formation of fine pattern
JPH02156244A (en) Pattern forming method
JPS6343320A (en) Manufacture of semiconductor device
JPH06266099A (en) Pattern forming material, pattern forming method, and forming method for functional element using the same
JPH0334053B2 (en)
JP2848625B2 (en) Pattern formation method
JPH042183B2 (en)
JP2003066619A (en) Resist pattern forming method
US20060292500A1 (en) Cure during rinse to prevent resist collapse
JPS63165845A (en) Pattern forming method
JPH0313949A (en) Resist pattern forming method
Hashimoto et al. New chemically amplified positive resist for electron beam lithography
JPS646448B2 (en)
JPS5923565A (en) Manufacture of semiconductor device
JPS61121332A (en) Pattern forming method

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION