US20080176149A1 - Endpoint detection for photomask etching - Google Patents

Endpoint detection for photomask etching Download PDF

Info

Publication number
US20080176149A1
US20080176149A1 US11/926,417 US92641707A US2008176149A1 US 20080176149 A1 US20080176149 A1 US 20080176149A1 US 92641707 A US92641707 A US 92641707A US 2008176149 A1 US2008176149 A1 US 2008176149A1
Authority
US
United States
Prior art keywords
substrate
etch
endpoint
photomask
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/926,417
Inventor
Michael Grimbergen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US11/926,417 priority Critical patent/US20080176149A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GRIMBERGEN, MICHAEL
Publication of US20080176149A1 publication Critical patent/US20080176149A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection

Definitions

  • Embodiments of the present invention generally relate to the fabrication of integrated circuits and to the fabrication of photomasks useful in the manufacture of integrated circuits.
  • microelectronics or integrated circuit devices typically involves a complicated process sequence requiring hundreds of individual steps performed on semiconductor, dielectric and conductive substrates. Examples of these process steps include oxidation, diffusion, ion implantation, thin film deposition, cleaning, etching and lithography.
  • lithography and etching (often referred to as pattern transfer steps), a desired pattern is first transferred to a photosensitive material layer, e.g., a photoresist, and then to the underlying material layer during subsequent etching.
  • a blanket photoresist layer is exposed to a radiation source through a reticle or photomask containing a pattern so that an image of the pattern is formed in the photoresist.
  • photoresist By developing the photoresist in a suitable chemical solution, portions of the photoresist are removed, thus resulting in a patterned photoresist layer.
  • the underlying material layer With this photoresist pattern acting as a mask, the underlying material layer is exposed to a reactive environment, e.g., using wet or dry etching, which results in the pattern being transferred to the underlying material layer.
  • the pattern on a photomask which is typically formed in a metal-containing layer supported on a glass or quartz substrate, is also generated by etching through a photoresist pattern.
  • the photoresist pattern is created by a direct write technique, e.g., with an electron beam or other suitable radiation beam, as opposed to exposing the photoresist through a reticle.
  • the pattern can be transferred to the underlying metal-containing layer using plasma etching.
  • An example of a commercially available photomask etch equipment suitable for use in advanced device fabrication is the TetraTM Photomask Etch System, available from Applied Materials, Inc., of Santa Clara, Calif.
  • the terms “mask”, “photomask” or “reticle” will be used interchangeably to denote generally a substrate containing a pattern.
  • endpoint data from the etching of the photomasks may be used to determine whether the process is operating according to required specifications, and whether the desired results such as etch uniformity are achieved. Since each photomask generally has its own set of features or patterns, different photomasks being etched using the same process recipe may yield different endpoint data, thereby making it difficult to determine if the desired etch results are obtained for a specific photomask.
  • Embodiments of the invention generally provide a method and apparatus for etching a substrate.
  • the invention is particularly suitable for etching photomasks, among other substrates used vacuum processing.
  • a method for etching a substrate includes (a) providing an etch chamber having a substrate support member, the substrate support member comprising at least a first window in a center region and a second window in a peripheral region, (b) providing a substrate on the substrate support member, (c) introducing a process gas into the etch chamber, (d) generating a plasma from the process gas for etching the substrate, (e) detecting a first optical signal through the first window and a second optical signal through the second window using an endpoint detection system, and terminating the plasma based on information obtained from at least one of the detected first and second optical signals.
  • a method for etching a substrate includes (a) providing an etch chamber having a substrate support member, the substrate support member comprising a first window and a second window, (b) providing a substrate on the substrate support member, (c) generating a plasma from a process gas for etching the substrate, (d) providing an endpoint detection system comprising a photodetector, (e) monitoring at least one optical signal through at least one of the first window and the second window using the photodetector, and (f terminating the plasma based on information obtained from the at least one optical signal.
  • an apparatus for substrate etching in another embodiment, includes a plasma etching chamber, a substrate support member inside the chamber, the substrate support member having a first window disposed in a center region and a second window disposed in a peripheral region, and an endpoint detection system operatively coupled to the chamber through the first and second windows.
  • an apparatus for substrate etching may include a plasma etching chamber comprising a substrate support member, an endpoint detection system configured for operating in at least one of a reflection mode and a transmission mode, wherein the endpoint detection system comprises a first optical component disposed in a center region of the substrate support member and a second optical component disposed in a peripheral region of the substrate support member.
  • Another embodiment provides an apparatus for substrate etching that includes a plasma etching chamber, a substrate support member inside the chamber, the substrate support member having a first window and a second window disposed therein, the first window being in a center region of the support member, and an endpoint detection system operatively coupled to the chamber through one of the first and second windows.
  • a method for etching a substrate may include providing an etch chamber having a substrate support member, the substrate support member comprising a first window in a center region and a second window in a peripheral region, providing a substrate on the substrate support member, introducing a process gas into the etch chamber, generating a plasma from the process gas for etching the substrate, detecting a first optical signal through the first window and a second optical signal through the second window using an endpoint detection system, and terminating the plasma based on information obtained from at least one of the detected first and second optical signals.
  • a method for processing a substrate includes etching a patterned substrate disposed on a substrate support in a plasma etch chamber, detecting a first signal reflected from the substrate during etching, the first signal collected through a first window of the substrate support, detecting a second signal transmitted through the substrate during etching, the second signal collected through a second window of the substrate support, that second window spatially separated from the first window, and determining an endpoint of the etch process using the first and second signals.
  • a method for processing a substrate includes placing a patterned substrate on a substrate support a plasma etch chamber, etching a substrate, and detecting an etching endpoint using a light having a wavelength absorbed by a layer disposed on the substrate.
  • a method for processing a substrate includes detecting an orientation of a patterned photomask reticle while disposed on a substrate support in a plasma etch chamber and selecting and/or altering an etch process in response to the detected orientation.
  • a method for processing a substrate includes etching a substrate through a patterned masking layer in a plasma etch chamber, the substrate having a non-etching side disposed on a substrate support and an etching side facing away from the substrate support, exposing the etching side of the patterned substrate while etching to radiation from a radiation source while etching the substrate, collecting a signal from the radiation source from the non-etching side of the patterned substrate, and controlling the etch process in response to the collected signal.
  • a method for etching a substrate includes (a) providing an etch chamber having a substrate support member, the substrate support member having a first window and a second window, (b) providing a substrate on the substrate support member, (d) generating a plasma from a process gas for etching the substrate, (e) providing an endpoint detection system that includes a photodetector, (f) monitoring at least one optical signal through at least one of the first window and the second window using the photodetector, and (g) terminating the plasma based on information obtained from the at least one optical signal.
  • FIG. 1A illustrates a process chamber incorporating one embodiment of the present invention
  • FIG. 1B illustrates a cross-sectional view of two optical configurations for endpoint monitoring according to embodiments of the present invention
  • FIG. 1C is a schematic top view of one embodiment for endpoint detection
  • FIG. 2 illustrates sample locations on a substrate for endpoint detection
  • FIG. 3 illustrates a top view of a 6-inch substrate with peripheral locations for endpoint detection
  • FIGS. 4A-C are illustrate schematically structures of several types of photomasks during fabrication
  • FIG. 5 is an illustration of various optical signals used for endpoint detection
  • FIG. 6 is a flow diagram of one embodiment of a process for etching a photomask
  • FIG. 7 is a schematic diagram of another embodiment of an etch chamber having an endpoint detection system that includes an optical fiber bundle.
  • FIG. 8 is a schematic diagram of one embodiment of an exemplary detector
  • FIG. 9 is a schematic diagram of another embodiment of an etch reactor suitable for etching a photomask reticle having an endpoint detection system
  • FIG. 10 is a schematic diagram of a top view of a substrate support illustrating the distribution of a center window and edge windows within an area covered by a reticle during processing;
  • FIG. 11 is graphs of average (side OES) endpoint, left endpoint and top endpoint for one embodiment of a photomask etching process
  • FIG. 12 is a graph of endpoint data taken using side OES, center, left, top and upper right corner during one embodiment of a photomask reticle etching process
  • FIG. 13 is a graph of endpoint data taken through the mask and side OES (and resultant transmission) obtained during two periods of the same photomask reticle etching process;
  • FIG. 14 is a flow chart of one embodiment of a method for monitoring an etch process using normalized transmission endpoint information
  • FIGS. 15-16 are schematic diagrams illustrating thin film interference occurring between the top and bottom of a masking layer for light in which the film is substantially transparent, and the absence of interference when the light is substantially absorbed;
  • FIG. 17 shows endpoint data which demonstrates the combined transmission from the component signals shown in FIG. 18 ;
  • FIG. 18 depicts the magnitude of the transmission signals T 1 , T 2 and T 3 shown in FIG. 16 ;
  • FIGS. 19-20 are illustrative of an etching process utilizing an endpoint monitoring signal by using a wavelength that is absorbed by the photoresist layer;
  • FIG. 21 depicts the magnitude of the transmission signals T 1 and T 2 shown in FIG. 19 and demonstrated in the data of FIG. 20 ;
  • FIG. 22 is another graph of endpoint data obtained during one embodiment of a photomask reticle etching process using deep UV signal and its derivative;
  • FIG. 23 depicts an endpoint signal dominated by optical interference from a photoresist layer
  • FIG. 24 depicts an endpoint signal wherein optical interference from a photoresist layer is minimized by utilizing deep UV wavelength monitoring signals, wherein the left graph shows the transmission and the right graph shows its derivative;
  • FIG. 25 is a schematic diagram of one embodiment of a processing chamber for etching a photomask reticle and having an endpoint detection system that utilizes both OES and TEP endpoint information;
  • FIG. 26 shows optical reflection and transmission curves for photoresist on a mask showing increased absorption in the deep-UV portion of the spectrum.
  • the present invention provides a method and apparatus for etching a photomask substrate with enhanced process monitoring, for example, by providing for optical monitoring at different regions of the photomask.
  • the discussions and illustrative examples focus on the etching of a photomask substrate, various embodiments of the invention can also be adapted for process monitoring of other suitable substrates, including transparent or dielectric substrates.
  • FIG. 1A is a schematic cross sectional view of a plasma etch chamber 10 in accordance with one embodiment of the invention.
  • Suitable plasma etch chambers include the TetraTM II photomask etch chamber or the Decoupled Plasma Source (DPSTM) chamber available from Applied Materials, Inc., of Santa Clara, Calif.
  • DPSTM Decoupled Plasma Source
  • Other process chambers may also be used in connection with embodiments of the invention, including, for example, capacitive coupled parallel plate chambers and magnetically enhanced ion etch chambers, as well as inductively coupled plasma etch chambers of different designs.
  • the particular embodiment of the etch chamber 10 shown herein is provided for illustrative purposes and should not be used to limit the scope of the invention. It is contemplated that the invention may be utilized in other processing systems, including those from other manufacturers.
  • the process chamber 10 generally includes a cylindrical sidewall or chamber body 12 , an energy transparent ceiling 13 mounted on the body 12 , and a chamber bottom 17 .
  • the ceiling 13 may be flat, rectangular, arcuate, conical, dome or multi-radius shaped.
  • At least one inductive coil 26 is disposed above at least a portion of the ceiling 13 . In the embodiment depicted in FIG. 1A , two concentric coils 26 are shown.
  • the chamber body 12 and the chamber bottom 17 of the process chamber 10 can be made of a metal, such as anodized aluminum, and the ceiling 13 can be made of an energy transparent material such as a ceramic or other dielectric material.
  • a substrate support member 16 is disposed in the process chamber 10 to support a substrate 220 during processing.
  • the support member 16 may be a conventional mechanical or electrostatic chuck with at least a portion of the support member 16 being electrically conductive and capable of serving as a process bias cathode.
  • a photomask adapter may be used to secure the photomask on the support member 16 .
  • the photomask adapter generally includes a lower portion milled to cover an upper portion of the support member and a top portion having an opening that is sized and shaped to hold a photomask. In one embodiment, the top portion of the photomask adapter has a square opening.
  • a suitable photomask adapter is disclosed in U.S. Pat. No. 6,251,217, issued on Jun. 26, 2001, which is incorporated herein by reference to the extent not inconsistent with aspects and claims of the invention.
  • Process gases are introduced into the process chamber 10 from a process gas source 48 through a gas distributor 22 peripherally disposed about the support member 16 .
  • Mass flow controllers (not shown) for each process gas, or alternatively, for mixtures of the process gas, are disposed between the process chamber 10 and the process gas source 48 to regulate the respective flow rates of the process gases.
  • a plasma zone 14 is defined by the process chamber 10 , the substrate support member 16 and the ceiling 13 .
  • a plasma is generated in the plasma zone 14 from the process gases by supplying power from a power supply 27 to the inductive coils 26 through an RF match network 35 .
  • the support member 16 may include an electrode disposed therein, which is powered by an electrode power supply 28 and generates a capacitive electric field in the process chamber 10 through an RF match network 25 .
  • RF power is applied to the electrode in the support member 16 while the body 12 is electrically grounded.
  • the capacitive electric field which is transverse to the plane of the support member 16 , influences the directionality of charged species to provide more anisotropic etching of the substrate 220 .
  • Process gases and etchant byproducts are exhausted from the process chamber 10 through an exhaust port 34 to an exhaust system 30 .
  • the exhaust system 30 may be disposed in the bottom 17 of the process chamber 10 or may be disposed in the body 12 of the process chamber 10 for removal of process gases.
  • a throttle valve 32 is provided in the exhaust port 34 for controlling the pressure in the process chamber 10 .
  • FIG. 1A further illustrates an endpoint detection system 164 operatively coupled to the process chamber 10 in accordance with one embodiment of the invention.
  • at least two optical access ports or viewports are provided in different regions of the substrate support member 16 .
  • at least one access port is provided in a non-peripheral region.
  • the substrate support member 16 is provided with at least one window in a center region.
  • the two optical access ports comprise respectively a window 110 at a peripheral region 16 P, and a window 112 at a central region 16 C.
  • the endpoint detection system 164 is configured to detect optical signals through one or more of these windows, which allows optical monitoring of various locations on a photomask substrate 220 from its backside during etching.
  • a third window (not shown) may also be provided in the peripheral region 16 P of the substrate support member 16 .
  • different numbers of windows may be provided at other locations of the substrate support member 16 .
  • a larger window facilitates the installation of optical components within the substrate support member 16 .
  • the size of the window is selected to be sufficiently large for optical monitoring, yet small enough to avoid potential adverse impact for the RF bias. Selecting a small window also improves the lateral temperature uniformity of the support member 16 .
  • the optical access port may generally comprise a flat window made of quartz or other materials that transmit light over a broad wavelength spectrum.
  • FIG. 2 illustrates schematically several locations of the photomask substrate 220 that are monitored for endpoint detection according to one embodiment of the present invention.
  • a central region 225 of the substrate 220 may be defined as the area of the photomask that is patterned for lithographic purposes, while a peripheral region is outside of the patterned central region, and may include patterns or features utilized for endpoint or monitoring of other process parameters.
  • Several windows disposed in the substrate support member 16 are shown in phantom.
  • optical access through window 112 allows monitoring of an area 222 around the center of the photomask 220 , while areas 224 and 226 in a peripheral region 227 of the photomask 220 can be monitored through windows 114 and 110 , respectively.
  • monitored areas 224 and 226 are located respectively along one side and at a corner of the photomask 220 .
  • the monitored area 224 is located on one side of the photomask 220 , e.g., at a midpoint of the side, along a x-direction with respect to the center of the photomask 220 , while another area 224 A located on an adjacent side of the photomask 220 , e.g., along a y-direction with respect to the center of the photomask 220 , is monitored through another window 114 A.
  • Optical signals obtained through windows such as 112 , 114 and 114 A can be used to obtain center to edge etch uniformity along the x- and y-directions, or more generally, along directions that are perpendicular to each other.
  • One or more windows 112 A may also be provided in the substrate support member 16 to allow for monitoring of different areas such as 222 A in the central region 225 of the photomask 220 .
  • the additional windows 112 A, 114 A facilitate determination of an edge to center etch profile. For example, information regarding process uniformity, such as the edge to center etch profile, can be obtained by comparing the endpoint results at different regions or locations of the photomask 220 , e.g., based on signals from areas 222 , 224 and 224 A.
  • the windows may also be used for ensuring that at least one window 112 , 122 A is below a feature being etched.
  • the endpoint detection system 164 comprises optical setup for operating in reflection or transmission modes, and is configured for different types of measurements such as reflectance or transmittance, interferometry, or optical emission spectroscopy.
  • endpoints may be detected based on a change in the reflectance or transmittance intensities, the number of interference fringes, or changes in optical emission intensities at specific wavelengths, or a combination thereof.
  • the reflection mode of operation allows reflectance (or reflectometry) and interferometric measurement to be performed.
  • the endpoint system 164 generally comprises an optical source 166 , a focusing assembly 168 for focusing an incident optical beam 176 from the optical source 166 onto an area or spot 180 on the backside of substrate 220 , and a photodetector 170 for measuring the intensity of a return optical beam 178 reflected off the area 180 of the substrate 220 .
  • the photodetector 170 may generally be a single wavelength or multi-wavelength detector, or a spectrometer.
  • a computer 172 calculates portions of the real-time waveform and compares it with a stored characteristic waveform pattern to extract information relating to the etch process.
  • the calculation may be based on slope changes or other characteristic changes in the detected signals, either in reflection or transmission mode, for example, when a film is etched through.
  • the calculation may be based on interferometric signals as the depth of a trench or the thickness of a film changes during etching.
  • more detailed calculations may be performed based on reflection and transmission data obtained over a wide spectrum in order to determine the depth or thickness at any point in the etch process, or to determine the lateral dimensions of the features being etched.
  • the light source 166 may be monochromatic, polychromatic, white light, or other suitable light source.
  • the optical signal from the reflected beam 178 may be analyzed to extract information regarding the presence or absence of a layer (e.g., metal-containing layer), or the thickness of certain material layers within the area 180 .
  • the intensity of the incident light beam 176 is selected to be sufficiently high to provide a return beam 178 with a measurable intensity.
  • the light source 166 provides polychromatic light, e.g., from an Hg—Cd, Hg—Ar or Xe lamp or a light emitting diode (LED), which generates light in a wavelength range from about below 200 nm to about above 800 nm, or about 400 to about 800 nm, respectively.
  • the polychromatic light source 166 can be filtered to provide an incident light beam 176 having selected frequencies.
  • Color filters can be placed in front of the light detector 170 to filter out all wavelengths except for the desired wavelength of light, prior to measuring the intensity of the return light beam 178 entering the light detector 170 .
  • the light can be analyzed by a spectrometer (array detector with a wavelength-dispersive element) to provide data over a wide wavelength range, such as ultraviolet to visible, from about 200 nm to 800 nm.
  • the light source may be configured to operate in a continuous or pulsed mode. With continuous detection, it is preferable to have a light source with an output intensity that is higher than that of the plasma emission. In the case of a light source with multiple wavelength outputs, one can select a wavelength whose intensity is higher than that of the corresponding wavelength from the plasma. For pulsed mode operation, such requirements of the light source intensity may be relaxed, as long as the detector is not saturated by the intensity from the light source and plasma.
  • the light source 166 may be any suitable source that provides a steady or continuous radiation output.
  • a shutter (not shown) can be provided to block and unblock the output beam from the light source 166 so as to provide alternate beam off/on cycles for signal detection.
  • a signal acquired during the beam “on” period will include contributions from the plasma emission and the signal induced by the light source 166 , while a signal acquired during the beam “off” period will correspond to the plasma emission. Subtracting the beam “off” signal from the beam “on” signal can result in improved measurement because potential interference from the plasma emission can be eliminated.
  • Such a data subtraction routine can be provided as part of algorithm associated with the endpoint detection system.
  • Pulsed mode operation may also be achieved by configuring the light source 166 to be switched on and off in alternate cycles, for example, as shown in FIG. 7 .
  • a reticle 700 is positioned in an etch chamber below a plasma 702 .
  • An endpoint detection system 704 is positioned to interface with the bottom of the reticle 700 .
  • the endpoint detection system 704 includes an optical fiber bundle 706 having one end positioned to view the bottom of the reticle 700 through one or more windows formed in the substrate support (not shown).
  • the optical fiber bundle 706 carries a signal generated from the light source 166 and reflected off the reticle 700 to a detector 170 .
  • the shuttering or switching of the light source can be performed at various combinations of duty cycles and signal acquisition times, e.g., with the light source duty cycle selected to match that of the detector duty cycle for background subtraction.
  • the light source intensity may also be adjustable to avoid saturating the detector 170 , such as a charge-coupled device (CCD) or other suitable device.
  • CCD charge-coupled device
  • the lamp may be pulsed a number of times to form a higher total intensity by integration. In one embodiment, a 50 percent duty cycle is used.
  • light sensed by the detector includes both light from the lamp and from the plasma.
  • the light source is off (or shutter is closed)
  • light sensed by the detector includes only light from the plasma. Utilizing the difference in the signals, the background contribution of light from the plasma may be subtracted the detected signal, thereby providing a more accurate endpoint indication.
  • unequal sampling periods may also be used for background subtraction.
  • the sampling time for the detector e.g., a CCD
  • the sampling time for the detector can be kept short during the light source “on” period, followed by a longer sampling time during the light source “off” period, during which the background plasma emission is collected. This may be useful for reducing the noise in the background plasma emission if the emission itself is used as a secondary signal, e.g., as in transmission monitoring.
  • the selection of the signal acquisition time and the light source “on” period may depend on the specific application and the intensity of the light source. In general, using a light source with a relatively low intensity output will require a longer signal acquisition time. In one embodiment, the beam “on” period can range from about 0.1 second to about 2 seconds.
  • the light source 166 may be a monochromatic source that provides optical emission at a selected wavelength, for example, a He—Ne or ND-YAG laser, or a solid state source such as a light emitting diode (LED).
  • a monochromatic source that provides optical emission at a selected wavelength
  • a He—Ne or ND-YAG laser or a solid state source such as a light emitting diode (LED).
  • LED light emitting diode
  • Other options include various discharge lamps such as hydrogen (H 2 ), deuterium (D 2 ), vapor lamps such as those disclosed in Grimbergen, U.S. Pat. No. 6,534,756, or hollow cathode lamps, with radiation outputs at multiple wavelengths.
  • the light source 166 includes a number of LEDs providing radiation outputs at different wavelength regions.
  • the light source 166 may include at least one of the following: a LED in the ultraviolet (UV) region, a LED in the infrared (IR) region, and a LED with broadband (e.g., white light) output, or any combinations thereof.
  • a combination of LEDs with different output wavelengths e.g., 370 nm (UV), 390 nm (UV), 400-700 nm (white), 800 nm (IR), 1300 nm (IR), 1500 nm (IR)
  • spectral output from the UV to the IR region can be achieved, e.g., from about 350 nm to about 1500 nm.
  • the light source 166 can be provided with an output fiber bundle with fibers coupling to respective LEDs.
  • one or more convex focusing lenses 174 a , 174 b may be used to focus the incident light beam 176 to the area 180 on the substrate surface, and to focus the return light beam 178 back on the active surface of light detector 170 .
  • the area 180 should be sufficiently large to compensate for variations in surface topography of the substrate 220 and device design features. This enables detection of etch endpoints for high aspect ratio features having small openings, such as vias or deep narrow trenches, which may be densely present or more isolated.
  • the area of the return light beam should be sufficiently large to activate a large portion of the active light-detecting surface of the light detector 170 .
  • the incident and return light beams 176 , 178 are directed through a transparent window 110 in the process chamber 10 that allows the light beams to pass in and out of the processing environment.
  • lenses 172 a and 174 b are shown in FIG. 1A as mounted away from the window 110 , in practice, they may also be mounted close to the window 110 , as shown in FIG. 1B .
  • the incident and return light beams 176 , 178 can generally be coupled via optical fibers to the endpoint detection system 164 .
  • the use of fiber optics for coupling light beams to and from the windows also allows electrical isolation to be maintained between the substrate support member 16 and the detector electronics.
  • the diameter of the beam spot 180 is generally about 2 mm to about 10 mm. However, if the beam spot 180 encompasses large isolated areas of the substrate containing only a small number of etched features, it may be necessary to use a larger beam spot in order to encompass a greater number of etched features. The size of the beam spot can therefore be optimized, depending on the design features for a particular device. If the signal is sufficient, a large beam spot or field of view will enable process control without precisely matching the position of the substrate support hole and the etched area of the substrate giving rise to the signal.
  • a light beam positioner 184 may be used to move the incident light beam 176 across the substrate 220 to locate a suitable portion of the substrate surface on which to position the beam spot 180 to monitor an etching process.
  • the light beam positioner 184 may include one or more primary mirrors 186 that rotate at small angles to deflect the light beam from the light source 166 onto different positions of the substrate surface. Additional secondary mirrors may be used (not shown) to direct the return light beam 178 on the photodetector 170 .
  • the light beam positioner 184 may also be used to scan the light beam in a raster pattern across the backside of the substrate 220 .
  • the light beam positioner 184 comprises a scanning assembly consisting of a movable stage (not shown), upon which the light source 166 , the focusing assembly 168 and the detector 170 are mounted.
  • the movable stage can be moved through set intervals by a drive mechanism, such as a stepper motor or galvanometer, to scan the beam spot 180 across the substrate 220 .
  • the photodetector 170 comprises a light-sensitive electronic component, such as a photovoltaic cell, photodiode, or phototransistor, which provides a signal in response to a measured intensity of the return light beam 178 .
  • the signal can be in the form of a change in the level of a current passing through an electrical component or a change in a voltage applied across an electrical component.
  • the photodetector 170 can also comprise a spectrometer (array detector with a wavelength-dispersive element) to provide data over a wide wavelength range, such as ultraviolet to visible, from about 200 nm to 800 nm.
  • the return light beam 178 undergoes constructive and/or destructive interference which increases or decreases the intensity of the light beam, and the light detector 170 provides an electrical output signal in relation to the measured intensity of the reflected light beam 178 .
  • the electrical output signal is plotted as a function of time to provide a spectrum having numerous waveform patterns corresponding to the varying intensity of the reflected light beam 178 .
  • a computer program on a computer system 172 compares the shape of the measured waveform pattern of the reflected light beam 178 to a stored characteristic (or reference) waveform pattern and determines the endpoint of the etching process when the measured waveform pattern is the same as the characteristic waveform pattern. As such, the period of the interference signal may be used to calculate the depth and etch rate.
  • the program may also operate on the measured waveform to detect a characteristic waveform, such as, an inflection point.
  • the operations can be simple mathematic operations, such as evaluating a moving derivative to detect an inflection point.
  • FIG. 1A shows the computer system 172 connected to the endpoint system 164 , it is also used for processing data from other endpoint detectors in the system.
  • FIG. 1A is meant to illustrate the relative positioning of the optical access ports or windows 110 and 112 in the substrate support member 16 .
  • a close-up cross-section view of two alternative optical configurations is shown schematically in FIG. 1B .
  • the substrate support member 16 is provided with recessed portions 132 and 134 , which are separately connected to openings or channels 136 and 138 to allow optical access to the backside of substrate 220 .
  • the recess portions 132 and 134 are provided with O-rings and grooves 142 and 144 for vacuum sealing to windows 124 and 126 , respectively.
  • One configuration illustrates endpoint detection based on reflection measurements through window 124 , with incident light in a fiber 121 being focused by lens 123 onto the substrate 220 .
  • the signal returning from the backside of substrate 220 is then collimated by the lens 123 and coupled via a fiber 125 to the endpoint detection system 164 .
  • Different focal lengths may be used for lens 123 , and in one embodiment, a focal length of about 15 mm is used.
  • collimating lens 123 may be omitted, in which case, fibers 121 and 125 can be mounted up against the window 124 .
  • fibers 121 and 125 may refer to either a single fiber or a fiber bundle (having more than one fiber). The use of multiple fibers offers additional capabilities, including, for example, improved signal strengths and simultaneous sampling of different areas.
  • a transmission signal e.g., plasma emission or external light source
  • the opening or channel 138 is provided with a tapered or conical section 140 near the top surface of the substrate support member 16 .
  • the conical section 140 has a larger diameter (or lateral dimension) at the top compared to the interior portion, i.e., the portion closer to the recessed portion 134 .
  • This design has an advantage of providing a wider field of view or sampling area at the substrate 220 , without requiring the use of a larger size window 126 .
  • the conical section 140 is shaped to provide a field of view with a full angle of about 25° for use with a fiber having a numerical aperture of about 0.22.
  • the field of view can also be changed by adjusting the distance between the fiber 127 and the window 126 .
  • a diverging lens may also be used for coupling the emission to the fiber 127 .
  • the various optical components are mounted and secured inside the substrate support member 16 using a variety of hardware known to one skilled in the art, and have been omitted in FIG. 1B for the sake of clarity. Since the substrate support member 16 is made of a conductive material, e.g., anodized aluminum, the mounting hardware are either non-conducting or otherwise insulated from the substrate support member 16 .
  • the size of the openings 136 , 138 and recessed portions 132 , 134 may vary according to specific design and/or process needs, for example, taking into account factors such as the optical beam spot size, desired sample areas, minimal impact on RF bias, and so on.
  • the recessed portions 132 , 134 may have diameters ranging from several millimeters (mm) to several centimeters (cm), while openings 136 , 138 may have diameters up to about one centimeter.
  • an opening with a diameter of about 7 mm is used with a beam spot size of about 2 mm.
  • Other design alternatives may include providing a conductive grid or conductive transparent coating on the windows in order to minimize potential impact on the RF bias to the substrate support member 16 .
  • the endpoint detection system 164 can be configured to detect patterns disposed in any region of the substrate surface.
  • the patterns on the substrate may be any suitable device features on the photomask, or they may be test patterns with specific feature design or dimension to facilitate endpoint detection.
  • test patterns may be line/space patterns with a single or varying pitch and/or linewidth.
  • FIG. 1C is a schematic top view showing one embodiment of the relative positions of openings 136 , 138 , windows 124 , 126 , substrate support member 16 and the substrate 220 .
  • the side or edge 220 E of the substrate 220 extends beyond the edge 16 E of the substrate support member 16 .
  • the separation between the peripheral region 227 and the central region 225 of the substrate 220 is indicated by a dashed line.
  • Opening 138 is used for monitoring endpoint in the central region 225 .
  • opening 136 covers an area that includes both the peripheral region 227 and the central region 225 of the substrate 220 , it can still be used for endpoint monitoring purposes, e.g., to obtain etch uniformity information, among others.
  • test patterns are provided at locations sufficiently separate from features in the central region 225 of the substrate 220 .
  • one or more test patterns are provided at distances up to about 10 mm from the edge 220 E of the substrate 220 , and openings are provided at corresponding locations of the substrate support member 16 for endpoint monitoring.
  • FIG. 3 illustrates a top view of a 6-inch square substrate with various locations in the peripheral region for endpoint monitoring.
  • endpoint detection is performed based on the monitoring of one or more test patterns 330 disposed in the peripheral region 315 or at the corners 325 of the substrate, and the endpoint detection system 164 may be disposed directly below these regions of the substrate.
  • the windows of the endpoint detection system 164 may be disposed at least about 2.6 inches, such as between about 2.6-2.9 inches, from a horizontal center line 310 of the substrate 220 and at least about 2.6 inches, such as between about 2.6-2.9 inches, from a vertical center line 320 of the substrate 220 , as illustrated in FIG. 3 .
  • the window 112 is generally located at the intersection of lines 310 , 320 .
  • Windows 112 A are generally located less than 2.6 inches from the center in the plane of the substrate support member 16 for monitoring areas within the central region 225 of the substrate.
  • the test pattern has a size that is about the same or larger than the beam spot.
  • the light beams reflected from each substrate having the same test patterns are configured to have the same waveform patterns when detected by the endpoint detection system 164 .
  • the waveform patterns derived from the same test patterns may be used to determine whether the chamber is operating according to a particular process recipe, and whether the desired etch results are obtained for different substrates.
  • test patterns or various dimensions and/or designs can readily be provided in the peripheral region, the placement of such patterns in the central region of the photomask is much more restrictive.
  • the availability of features for endpoint monitoring in the central region usually depend on the device design and layout on the photomask.
  • alternative optical configurations may be used to increase the field of view or to provide multiple sampling areas.
  • Such alternatives may include the use of optical components, e.g., lenses and fibers, with higher numerical apertures (NA), including fibers with tapered ends or the use of fiber bundles to sample different areas.
  • NA numerical apertures
  • the use of larger NA optics allows the sampling area to be increased without necessarily increasing the size of the window.
  • the use of multiple fibers allows optical signals to be monitored at different areas of the substrate. Depending on the specific features and detection techniques, signals from these different areas, such as different locations across the center region of the substrate, may be added together to provide an improved signal, or the different signals may be compared with each other and the best one selected for use in endpoint detection.
  • the collection optics is configured to sample optical signals in a direction substantially perpendicular to the plane of the substrate. In another embodiment, the collection optics may also sample signals from an oblique view angle, i.e., not perpendicular to the substrate. This oblique viewing configuration will also result in an increased sampling area compared to the perpendicular configuration using the same collection optics.
  • the endpoint detection system 164 monitors the transmittance (e.g., total light intensity) or optical emission signals (e.g., wavelength-resolved emission) as a function of time.
  • the plasma in the chamber 10 serves as the light source for the optical emission monitoring. This configuration has the advantage of a simpler optical setup compared to the reflection mode, because it does not require an external light source and only one optical fiber is needed.
  • the plasma emission typically includes light at discrete wavelengths that are characteristic of various species present in the plasma. For example, emission can be monitored at one or more wavelengths that correspond to one or more etchant/reactant or etch product species. At the etch endpoint, e.g., when a certain material layer is completely etched and an underlying layer is exposed, the monitored emission intensity changes according to whether there is an increase or decrease of the emitting species being monitored.
  • the optical emission detection apparatus 150 of the endpoint detection system 164 comprises light collection assembly 152 , a wavelength dispersive element 156 and a photodetector 158 .
  • the light collection assembly 152 includes an optical fiber 153 , and optionally, a lens 154 for coupling the optical signal to the fiber 153 .
  • the wavelength dispersive element 156 may be a spectrometer for separating the optical signal 178 into its component wavelengths.
  • the light collection optical assembly 152 may include various bulk optical components such as lenses and mirrors, and the wavelength dispersive element 156 may be a variety of filters to pass a selective range of wavelengths.
  • the photodetector 158 may be configured to detect optical signals at a specific wavelength, or it may detect the signals at different wavelengths simultaneously. Suitable photodetectors may include a photodiode, photomultiplier tube or a charged-coupled device, among others.
  • the two signals monitored through windows 110 and 112 may also be the same type of optical signals, e.g., both being reflectance signals or transmittance signals, and so on.
  • the two optical signals from windows 110 and 112 may be coupled to the same photodetector. For example, if an imaging photodetector is used, a plasma emission signal from one window may be imaged onto a first set of detector elements or pixels of the detector, and the other emission signal from the second window may be imaged onto a second set of detector elements or pixels of the same detector.
  • FIG. 1A shows only windows 110 and 112 as being disposed in the substrate support member 16
  • other optical components are shown as external to the substrate support member 16
  • depiction is partly illustrative, and partly for the sake of clarity in the figure.
  • one or more optical components e.g., windows, optical fibers, lenses, photodetectors, among others, of the endpoint detection system 164 may also be disposed or embedded in the substrate support member 16 , or be integrated with the optical access window 110 or 112 .
  • Other combinations of different optical measurements and configurations of signal detection can also be advantageously used for endpoint monitoring at two or more locations of the substrate.
  • an external light source 190 in conjunction with or in place of the plasma source, can expand the capabilities or provide advantages for transmittance measurements.
  • the external light source 190 will be coupled into the chamber 10 through a window 192 provided on the ceiling 13 .
  • the use of the external light source 190 for transmittance measurements has an advantage over the plasma source because it can provide a more stable signal than plasma emission, which may be subjected to fluctuations arising from the etch process.
  • the external light source 190 may be configured to allow monitoring at selected wavelengths that are free from potential interferences from the plasma species.
  • the external light source 190 can also be operated in a pulsed mode to allow for various signal processing options for enhancing endpoint detection capabilities, e.g., by subtracting out possible fluctuations from plasma emission, and so on. Details for pulsed source operation with light source 190 are similar to those previously described for source 166 . Other embodiments may involve the use of a pulsed source for both reflection and transmission measurements. In another embodiment, the external light source 190 may be provided through an optical access window (not shown) in the substrate support member 16 , and the transmission signal monitored through the window 192 .
  • output from the light source 190 is coupled via a fiber 194 to pass through the window 192 onto the substrate 220 such as a photomask.
  • Reflected light e.g., off a feature on the photomask
  • the spectrometer 198 separates the light into its wavelength components, e.g., about 200 nm to 800 mm, to record a first spectrum.
  • a second spectrum is collected with the pulsed source off. This provides a background spectrum which can then be subtracted from the first spectrum.
  • the difference spectrum which includes contribution from the reflected light only, and will not be affected by plasma light. This sequence of collecting two spectra is repeated for each data point during the etch process. As a result, any changes in the plasma will not affect the measured reflectance, as might happen if the plasma emission is relatively intense.
  • the reflection measurement setup with background subtraction can be performed from either side of the substrate 220 . That is, the fiber bundle and collimating optics can be placed on the ceiling 13 for collecting a signal from the substrate 220 through a ceiling window 192 , or they can be placed below the substrate 220 for monitoring from the backside of the substrate.
  • the latter configuration of endpoint monitoring from below offers at least two advantages.
  • the use of backside monitoring in which the collimating optics are close to the substrate enables a smaller optical beam to be used than one that would originate from the ceiling of the chamber.
  • This subtraction technique can also be applied to transmission measurements, in which the light source and the detector are on opposite sides of the substrate being processed. This might entail a window in the ceiling and a window in the substrate holder, and separate optics for collection.
  • FIGS. 4A-C illustrate various structures during the fabrication of a photomask substrate that may be monitored by different endpoint detection techniques.
  • FIG. 4A shows a binary photomask structure 410 with a patterned photoresist 416 for etching a metal-containing layer 414 , e.g., a chrome layer comprising chromium oxide and chromium, which is disposed over a glass or quartz layer 412 .
  • the endpoint for etching the chrome layer 414 can be monitored either in reflection or transmission mode, and reflectance, transmittance and/or optical emission measurements can be performed.
  • an incident optical beam 402 from the endpoint detection system 164 may be directed, through one of the windows in the substrate support member, onto one area of the photomask substrate 410 .
  • a return beam 404 arising from the interaction between the incident beam 402 and the photomask structure 410 , e.g., reflecting off the back surface of chrome layer 414 (or interface between the chrome layer and the quartz layer), is detected by the photodetector 170 of the endpoint detection system 164 .
  • the reflectance signal decreases because the chrome layer in the open areas 415 (where there is no photoresist) of the photomask is removed, resulting in a loss of the reflected beam from these areas, as shown by the dashed arrow 405 .
  • diffraction analysis of the reflection spectrum may be performed to estimate the etch profile of a chrome feature, and to terminate the etch process when the foot of the chrome feature is cleared. Such analysis will allow the control of the etch profile of the feature.
  • the optical emission signal e.g., from the plasma, passing through the open areas 415 is monitored.
  • the total intensity of the emission i.e., the transmittance
  • the emission may be coupled to a wavelength dispersive element and signals monitored at one or more selected wavelengths. Towards the end of the chrome etch when the remaining chrome thickness is relatively small, the chrome thickness can also be estimated from the transmission signal.
  • FIG. 4B shows another photomask structure 420 during the fabrication of an attenuated phase shift mask.
  • the structure 420 has a phase shifting material layer 428 , e.g., molybdenum silicide (MoSi), formed over a quartz layer 422 .
  • a chrome layer 424 is deposited on top of the MoSi layer 428 , followed by a photoresist layer 426 .
  • the photoresist layer 426 is patterned and used as an etch mask for the chrome layer 424 .
  • MoSi molybdenum silicide
  • the molybdenum silicide (MoSi) layer can then be etched with either the patterned photoresist layer 426 acting as a mask, or with the patterned chrome layer 424 as a hardmask (after stripping of the photoresist layer 426 ). Similar to chrome etching, the endpoint for MoSi etching can be monitored in either reflection or transmission mode, and reflectance, transmittance, or optical emission measurement can be performed. Since MoSi is partially transmitting, interferometric measurements can also be used for endpoint monitoring.
  • FIG. 4C shows another mask structure 430 for fabrication a quartz phase shift mask, with a patterned chrome layer 434 serving as a hard mask for etching the underlying quartz layer 432 .
  • the original, or pre-etch, top surface 436 of the quartz substrate 432 is shown as a dashed line in FIG. 4C .
  • the quartz layer 432 has to be etched down to a certain predetermined depth d, below the original surface 436 .
  • the return beam 178 at a particular wavelength can be monitored as a function of time to provide interferometric data, e.g., the appearance of fringes arising from optical interference between different portions of the reflected beam 178 that travel through different thicknesses of a material layer.
  • interferometric data e.g., the appearance of fringes arising from optical interference between different portions of the reflected beam 178 that travel through different thicknesses of a material layer.
  • one portion 402 A of an incident optical beam is reflected off an open area of the photomask 430
  • another portion 402 B of the incident optical beam is reflected off a masked area of the photomask 430 , e.g., an area with a chrome layer/feature 434 .
  • Interferences between the two reflected portions 405 A and 405 B produce interference fringes (i.e., intensity modulations) that are indicative of the difference in quartz layer thickness traversed by these portions 405 A, 405 B.
  • the etch depth d 1 can be obtained.
  • interferometric endpoint monitoring is performed in a pulsed mode, as previously described in connection with light source 166 in FIG. 1A .
  • any narrow band source may be suitable for interferometric monitoring.
  • the plasma it is also possible to use the plasma as a light source for interferometric monitoring, as long as the plasma emission has a sufficiently narrow bandwidth for this purpose.
  • FIG. 5 shows three optical signals monitored simultaneously as a function of time during the etching of a Cr mask using the endpoint detection system.
  • the chrome layer is etched using a plasma containing chlorine and oxygen gases.
  • the top trace 510 is obtained by monitoring an emission signal originating from Cr, for example, either by directly monitoring an atomic line from Cr e.g., at a wavelength of 520 nm, or by monitoring the Cr emission line and a chlorine line (e.g., 258 nm) and taking a ratio of the Cr:Cl emission signals.
  • the signal to noise can be improved by taking a ratio of emission signals of etch products to reactants (or vice versa).
  • the concentration of chromium-containing species (etch products) in the plasma decreases, resulting in a corresponding change in the Cr emission signal (or Cr:Cl emission ratio), as shown at point 512 of the top trace 510 .
  • the optical emission signal can be monitored through one or more windows in chamber 10 , e.g., those provided in the substrate support member 16 or in the ceiling, by looking directly at the plasma.
  • a side window 193 may be provided in the chamber wall for detecting the plasma emission, for example, by coupling the emission to an optical emission detector system 195 , as shown in FIG. 1A .
  • the emission monitoring through the sidewall window 193 may be performed in conjunction with endpoint monitoring through one or more other windows.
  • the middle trace 520 is obtained by monitoring a reflection signal originating from light reflecting off the bottom surface of the chrome layer, similar to that shown in FIG. 4A .
  • the chrome layer in the open areas 415 of the mask becomes thinner as the chrome is etched away, which results in a decrease in the monitored reflectance signal intensity, as shown in the portion 514 .
  • the bottom trace 530 is obtained by monitoring the transmittance. As shown in portion 516 , the transmittance signal intensity increases towards endpoint when the chrome layer in the open areas 415 of the mask is removed, allowing the emission to be transmitted through the quartz layer in these areas.
  • the endpoint detection system is configured to operate in both the reflection and transmission modes.
  • a transmission signal e.g., transmittance or plasma emission
  • a reflection signal e.g., reflectance or interferometric
  • Monitoring the central region of the substrate in the transmission mode is advantageous because the alignment requirement between the etched features and the access window is less stringent than the reflection mode, and furthermore, a larger area can be monitored.
  • the apparatus of this invention includes a computer readable medium containing instructions, that when executed by the controller, such as the computer 172 or other processor suitable for controlling an etch reactor as commonly known in the art, cause an etch chamber to perform a method such as that shown in FIG. 6 .
  • the computer readable medium may be stored in the memory of the computer 172 , which also includes support circuits and processor.
  • the method 600 starts at a step 602 where an etch chamber is provided with a substrate support member having a first window and a second window disposed respectively in a center region and a peripheral region of the support member.
  • a photomask is provided on the support member in step 604 , and a process gas is introduced into the chamber in step 606 .
  • Halogen-containing gases are typically used for etching different materials found on a photomask structure.
  • a process gas containing chlorine may be used for etching a chrome layer
  • a fluorine-containing gas such as trifluoromethane (CHF 3 ) or tetrafluoromethane (CF 4 ) may be used for etching quartz.
  • a plasma is generated from the process gas, and in step 610 , a first and second optical signals are detected through the first and second windows, respectively.
  • step 612 the plasma in the chamber is terminated based on information obtained from at least one of the two detected optical signals. Furthermore, based on the etch profile results such as center to edge uniformity, process parameters such as etchant gas composition, flow rate, coil bias, and so on, can be adjusted for optimization of the process.
  • embodiments of the present invention provide an improved apparatus and method with enhanced process monitoring and control capabilities. These improvements also allow reliable endpoint detection for photomask etching applications with low open areas. For example, optical emission endpoint detection has been demonstrated for etching photomasks with open areas down to about 3 percent for chrome and about 1 percent for molybdenum silicide, and reflectometry has been demonstrated for low open area chrome and quartz etching for phase shift mask applications. Aside from providing information for center to edge etch uniformity, etch rate variations arising from areas with different pattern densities can also be obtained by monitoring multiple optical signals using the endpoint detection system of the present invention. For example, test patterns with different feature size or pattern densities can be provided in different areas of the peripheral region of a photomask and the monitored optical signals can be used for assessing or determining the proper etch endpoint for pattern densities of interest.
  • a single window may be utilized in the substrate support to provide substrate monitoring. Particularly, features described above may be utilized with a single window to enhance substrate monitoring over conventional systems having a single window endpoint detector.
  • an etch process monitoring system is provided with a direct way to view plasma (e.g., side window) and direct way to view etching through the workpiece at one or more locations in the cathode (e.g., cathode windows under the photomask or wafer).
  • the etch process monitoring system includes cathodes with 3 or 4 windows, with 2 or 3 windows in the peripheral region of the etching area, a CCD endpoint system configured to simultaneously collect 2 channels of information one side OES (optical emission spectroscopy), and one “bottom” (through the photomask).
  • the side oes signal is indicative of the state of etching averaged over a large area of the mask, as the entire upper surface of the mask is exposed to the plasma.
  • the “bottom” fiber-optic cable can be placed under any of the 3 or 4 windows in the cathode. Typically this installation is static and the fiber optic cable is fixed in either the center or one of the edge locations. The bottom fiber cable is kept electrically insulating to not transmit bias RF energy to the endpoint system.
  • the side OES signal arises from a large area of the mask, while the bottom signal arises from a localized area under the mask determined by the optical configuration. Typically this region is of the order of 2-5 mm, but could be changed by altering the design.
  • the bottom signal can be reflection (by using a light source, called interferometric endpoint “IEP”) or transmission (plasma as the light source, called transmission endpoint “TEP”).
  • IEP interferometric endpoint
  • TEP transmission endpoint
  • a light source and associated fiber cable may be used, alternatively transmission mode (TEP) may be used which utilizes light provided by the plasma signals detected through the photomask.
  • improved etch process control is facilitated by monitoring real-time transmission of the film on the photomask being etched.
  • the absorbing layer e.g., Cr
  • the absorbing layer has a small but measurable transmittance at the start of etching (typically 1% to 15%, depending on the film type), that increases in a predictable way as the film gets thinner during etching until it is gone completely (100% transmission) at the etch endpoint.
  • a single optical fiber bundle is placed beneath a window under the photomask to collect the increasing plasma light.
  • the viewing region is of the order of 2-5 mm, which could be changed by altering the design. This configuration may be referred to as “transmission endpoint” (TEP).
  • Benefits of TEP include endpoint based on actual optical clearing of absorbing film being etched. Provided the location of the window is under an area of film being etched, endpoint may have better reliability than OES, especially for low-open area etch applications.
  • the endpoint system can utilize plasma as a light source, obviating the need for an external light source. With plasma light source, a wide field of view can be used, thereby minimizing the size of the opening in the cathode.
  • the embodiment can be as simple as placing an optical fiber near the cathode window, without any additional optics.
  • TEP may be advantageously used for chromium and other etch applications.
  • Such applications may include an optic fiber positioned under the photomask to detect an increase of plasma light passing through the chromium layer as it is etched.
  • a light source may also be used to monitor reflection, although the single change is somewhat smaller than the TEP signal, and as such, TEP provides better resolution during chromium applications.
  • TEP may also be utilized in quartz etch applications.
  • optical interferometry may be used. Transmission interferometry can be used to monitor the etch rate and endpoint.
  • the plasma is used as a light source so no lamp is required.
  • the endpoint transmission may be normalized, as discussed above, by dividing the TEP signal by the OES signal obtained through a side window formed in the chamber to reduce signal enhances caused by changes in the plasma.
  • Reflection interferometry may also be utilized for **quartz etching which requires a light source.
  • the light source may be a steady lamp, for example, in the UV region or brighter than the plasma background.
  • Suitable light sources include deuterium lamp, a high intensity discharge lamp (HID), an arc lamp and a solid state UV LED lamp.
  • the light source may also be switched on and off so that the contribution to the signal from the plasma background may be subtracted from the signal, thereby providing a more accurate signal indicative of the endpoint.
  • collimation optics may be used.
  • a simple field-of-view cone in the cathode may be used, or diverging lenses added.
  • a scanning detector may also be used. If the optical window in the cathode is large, a metallic grid may be placed over the window or a transparent conductive film (e.g., ITO or ZnO) can be used to maintain the RF bias needed for processing the substrate.
  • a transparent conductive film e.g., ITO or ZnO
  • an exemplary detector is illustrated in FIG. 8 .
  • the detector can be a single wavelength detector, such as a photodiode PMT with filter or monochromator. Each window in the substrate support may be coupled to a separate detector by a fiber optic cable.
  • the detector may also be a multi-wavelength detector such as a spectrometer.
  • the spectrometer may be imaging so that individual portions of the fiber bundle can be treated as separate spectrometers. More than one spectrometer may be used to accommodate simultaneous multiple data collections from different locations.
  • a photo detector 800 is shown interfaced with a plurality of windows 804 positioned in the substrate support below a reticle (not shown) by a fiber optic bundle 802 .
  • the signals (reflective and/or transmissive) from each window 804 enter the photo detector 800 through a port 806 .
  • the signals in the photo detector 800 are interacted with a wavelength-dispersive element 810 , such as a grating or prism, prior to interacting with a spectrometer 808 .
  • the signals from each fiber bundle 802 may be provided to a single spectrometer 808 , or the signal from each window 804 may be analyzed separately, by sequentially providing the signals to a single spectrometer, or by providing each signals to a separate spectrometer.
  • a specific implementation may includes cathodes with 3 or 4 windows, with 2 or 3 windows in the peripheral region of the etching area, one in the center, a CCD endpoint system configured to simultaneously collect 2 channels of information one side OES (optical emission spectroscopy), and one “bottom” (through the mask).
  • the “bottom” fiber-optic cable can be placed under any of the 3 or 4 windows in the cathode. This installation is static and the fiber optic cable is fixed in either the center or one of the edge locations.
  • the bottom fiber cable is kept electrically insulating to not transmit bias RF energy to the endpoint system.
  • inventions may be useful for photomask deposition applications, other substrate (e.g., wafer) etch applications, MEMS through-wafer etching, infrared monitoring/process control of either deposition or etching of silicon wafers and infrared band-edge wafer temperature measurements.
  • FIG. 9 is a schematic diagram of an etch reactor 900 suitable for etching a photomask reticle 902 .
  • the etch reactor 900 is coupled to an endpoint detection system 904 which monitors etching of the reticle 902 through windows disposed through the substrate support 906 .
  • the substrate support 906 of the etch reactor 900 is coupled to an RF generator 910 through an RF probe 908 .
  • the RF probe 908 is coupled to a controller 912 configured with process state monitoring software that actively controls the etch process performed in the etch reactor 900 .
  • the substrate support 906 includes a plurality of windows through which signals indicative of etch rate and/or endpoint are provided to the endpoint detection system 904 .
  • FIG. 10 depicts a top view of the substrate support 906 illustrating the distribution of a center window 1002 and edge windows 1004 formed in the top of the substrate support 906 within the area covered by the reticle 902 during processing. Corner windows and/or windows in other locations are contemplated.
  • the edge 1004 windows are positioned below the peripheral area of the reticle 902 as described above.
  • optical fibers 912 are positioned below each window so that endpoint signals (transmissive and/or reflective) may be provided to the detection system 902 .
  • An optical fiber 914 is positioned to view the plasma through a window formed through the side of the etch chamber 900 to provide OES information to the detection system 904 .
  • the fiber 914 is coupled to a first detector 916 , such as a spectrometer, while the fibers 914 are coupled to at least one second detector 918 .
  • the second detector 918 may be configured as described with reference to FIG. 8 or other suitable manner.
  • a lamp 920 may optionally be provided to provide reflective signals.
  • the signals may be analyzed by a dedicated endpoint processor 922 , such as a PLC or other processor.
  • the endpoint controller 922 is in communication with the controller 912 configured with the process state monitoring software to provide real time etching and/or endpoint information.
  • at least one of the controllers 932 or processor 922 is coupled to a front end server 924 and/or host controller 926 to allow integrated metrology information sharing between the production and other tools within the facility.
  • the detector 920 may be configured to have up to three inputs. Additionally, the detectors may be synchronized to all data to be viewed as taken from a single detector.
  • FIG. 11 depicts graphs of average (side OES) endpoint, left endpoint and top endpoint.
  • Trace 1102 depicts the average endpoint
  • traces 1104 and 1106 depict the endpoint signals respectively obtained at the left and top windows.
  • the traces 1104 and 1106 illustrate a slight lag in the endpoint of the top location, while the trace 1102 illustrates the average endpoint taken using side OES.
  • FIG. 12 is illustrative of the ability of two spectrometers to provide information suitable for monitoring process uniformity.
  • FIG. 12 depicts a first trace 1202 representing the average endpoint taken using side OES.
  • a second trace 1204 represents the time to etch in the center of the photomask reticle.
  • the third and fourth traces 1206 , 1208 represent the time to etch in the left edge and top edge of the photomask reticle.
  • a fifth trace 1210 represents the time to etch in the corner of the photomask reticle and is shown with the edge traces 1206 , 1208 .
  • the endpoint signals may be utilized to determine which area is etching faster and/or clears faster than another area. Such information is useful for adjusting the etch process recipe for the next substrate, or proving such information for adjusting processes performed on the substrate from which the endpoint data was obtained to better control and/or correct the process results.
  • FIG. 13 depicts endpoint signal data obtained from two periods for the same etching cycles.
  • Graph 1300 A depicts a trace 1302 of an OES signal obtained through the photomask and a trace 1304 of an OES chamber signal obtained through the window disposed in the side of the chamber.
  • a trace 1306 of the normalized endpoint signal is generated.
  • the data obtained in graphs 1300 A and 1310 A are obtained after thirty seconds of etching.
  • the data shown in graphs 1300 B and 1310 B include data taken after 380 seconds of etching.
  • graph 1300 B includes a trace 1322 of an OES signal taken through the mask and a trace 1324 of an OES chamber signal.
  • the normalized signal is shown in FIG. 1310B by trace 1326 .
  • FIG. 14 depicts a flow chart of a method 1400 for monitoring an etch process using normalized transmission, such as described with reference to FIG. 13 .
  • the method 1400 for monitoring an etch process may use a normalized transmission spectrum to measure the chromium thickness and/or resist thickness from an optical thin film model.
  • the process 400 provides information relating to two separate areas, chromium etch rate and photoresist etch rate.
  • the chromium layer generally absorbs the light when having greater than a predetermined thickness.
  • the transmission of light through the chromium layer increases rapidly as the thickness becomes less than about 20 nanometers.
  • the change in transmission is nearly flat after the chromium clears.
  • the photoresist is largely transparent and shows thin film interference.
  • the method 1400 begins at block 1402 .
  • the process begins at block 1402 wherein light transmitted through a workpiece being etched (e.g., a photomask reticle) is measured by a detector.
  • the transmitted signal is divided by the emission signal taken through the side window to calculate a normalized transmission.
  • the normalized transmission is compared with a thin film optical model to calculate real time thickness.
  • the information obtained at block 1406 may be utilized to analyze at least one of the chromium and/or photoresist thickness and/or etch rate.
  • the transmission data obtained through the photoresist/chromium stack is analyzed.
  • the photoresist thickness in etch rate is determined using the data analyzed at block 1408 .
  • the chromium layer may be analyzed at blocks 1412 and 1414 .
  • the transmission data obtained through the chromium layer is analyzed.
  • chromium thickness and/or etch rate is determined through the data analyzed at block 1412 . This method can also be applied to etching other materials such as MoSi to determine a MoSi thickness and/or etch rate.
  • a method of making endpoint detection more reliable is also provided.
  • the reliability of endpoint detection may be improved by eliminating thin film interference from the photoresist masking layer.
  • optical monitoring of etching may be confounded by the signal rising from etching of the mask rather than of the layer being etched.
  • thin film interference occurs between the top and bottom of the masking layer, specifically by components R 2 and R 3 for reflection and T 2 and T 3 for transmission modes.
  • the interference may be substantially eliminated by using a wavelength regime in which the masking layer is absorbing, such as a deep UV wavelength.
  • Optical monitoring of etching is then determined by the layer being etched and from its exposure fraction.
  • Thin film interference between the top and the bottom of the masking layer is substantially eliminated by using wavelength from a light source that is absorbed by the photoresist, as shown by the absence of the components of R 3 and T 3 .
  • a patterned etch mask can create difficulties in determining endpoint.
  • the optical signal can be confounded by the presence of thin film optical interference caused by concurrent thinning of the masking layer while the etching layer is etched. Transmission is more immune to this problem than reflection, especially if the etching layers are substantially opaque, as in the case for thick chromium layers, e.g., chromium layers having a thickness greater than 100 nm.
  • chromium layers e.g., chromium layers having a thickness greater than 100 nm.
  • the advantage is further reduced.
  • the confounding interference from the masking layer is virtually eliminated. This occurs when the optical length is short enough that the absorbance of the masking layer is significant, thereby spoiling the interference. This will occur for light at wavelengths less than 240 nm for DUV resist, such as FEP 171.
  • FIGS. 16 , 17 and 18 are illustrative of the optical interference of the photoresist.
  • FIG. 16 is a schematic showing the optical interference components T 2 and T 3 .
  • FIG. 18 depicts the magnitude of the transmission signals T 1 , T 2 and T 3 .
  • FIG. 18 illustrates the measured transmission signal depicted in the graph of FIG. 17 as being the superposition of the transmission signal T 1 and the vector added signals T 2 and T 3 .
  • FIGS. 19 , 20 and 21 are illustrative of an etching process utilizing an endpoint monitoring signal by using a wavelength that is absorbed by the photoresist layer.
  • the incident light absorbed by the photoresist masking layer will not generate an interference between the top and the bottom of the masking layer, specifically components R 3 and T 3 are eliminated.
  • the resultant signal monitored by the detector as shown in the graph of FIG. 20 , is easier to analyze.
  • the endpoint of the chromium etch can be identified when the slope of the signal decreases.
  • the endpoint can be called using a sequence of derivative calculation and smoothing of the data to determine when the slope decreases. Such endpoint determination is illustrative in the endpoint traces provided in FIG. 22 .
  • FIG. 23 depicts an endpoint signal dominated by optical interference from the photoresist layer.
  • the endpoint of the chromium etch is not readily visible from the signal depicted in FIG. 23 .
  • the endpoint is readily ascertainable as the slope of the trace visibly decreases at the 260 second mark, as shown by trace 2402 , as shown in FIG. 24 .
  • the endpoint is also ascertainable at the 260 second mark, as indicated after the peek signal of trace 2404 , as shown in FIG. 24 .
  • fiber optics can also improve deep UV endpoint applications.
  • Conventional UV transmitting fiber optics generally attenuate the signal at wavelengths below 235 nm.
  • Non-solarizing fibers may be utilized to improve transmission below 235 nm wavelengths.
  • the signal to noise ratio would increase, thereby extending the endpoint capability to smaller, open areas.
  • FIG. 25 illustrates a processing chamber 2500 having a photomask reticle 2502 supported on a pedestal 2504 .
  • the pedestal has one or more windows 2506 through which the bottom of the photomask reticle 2502 may be viewed by an endpoint detection system 2508 .
  • the endpoint detection system 2508 includes a side fiber optic bundle 2510 which views a plasma 2512 disposed in the chamber 2500 through a window 2514 disposed in the side of the chamber.
  • the side fiber optical bundle 2510 provides OES information of the plasma 2512 to a detector 2516 , such as a spectrometer.
  • a second fiber optic bundle 2518 views the bottom of the substrate through the window 2506 in the pedestal 2504 .
  • the fiber optic bundle 2518 are made from non-solarizing deep UV fused silica for enhanced transmission of signals at wavelengths below 235 nm.
  • the endpoint detection system 2508 may include a lamp 2520 to provide light through the fiber bundle 2518 to obtain information in a reflection mode.
  • FIG. 26 depicts a graph illustrative of the benefits of the choice of wavelengths for photoresist absorption.
  • the graph illustrates that for wavelengths below 240 nm, the interference fringes disappear because the higher absorption constant eliminates multi-path reflection.
  • Reduced photomask interference facilitates accurate endpoint determination for low, open area applications, such as contact patterns.
  • This method also facilitates acquiring measurement information of the actual process at specific areas, including discrete local areas, and is not limited to endpoint detection determined over a large area. This enables the process to be adjusted to tune the etch rate at specific locations.
  • the use of deep UV monitoring signals benefits both reflection and transmission modes of endpoint detection. As such, these techniques can be extended for use on conventional wafer etching (top reflection), as well as photomask (top reflection, bottom reflection and bottom transmission) etching.
  • endpoint hardware cathode with multiple windows underneath the etching substrate (e.g., photomask)
  • multiple substrate detection locations can be used in conjunction with the substrate pattern to determine the substrate orientation.
  • the existing process uniformity signature may be modified to improve the final etch performance. For example, if the substrate has been inserted in the chamber with a vertical orientation, and the etch pattern has a top-down component, the process can be dynamically changed to have more of a side-side component. This will result in improved etch uniformity.
  • the process change can be performed by modifying part of the recipe while running.
  • the process change could, for example, entail use of the dynamic phase adjustment or change in another processing variable and/or process knob.
  • the process may be adjusted to accommodate the change and provide between substrate uniformity.
  • An example processing sequence (for a photomask substrate) may include: A) providing a mask pattern having two openings on the left and right edges; B) providing an endpoint system set up to simultaneously collect data from window under the left edge and window under the top edge of the substrate; C) when the mask is inserted into the tool, the signals from the top and right locations are analyzed to determine which one is under an opening that is being etched; D) the recipe can be modified to accommodate the mask orientation for improved etch performance.
  • Exemplary benefits of having additional substrate sensors coordinated with the mask pattern include, an endpoint can be performed despite etch orientation of the mask; signals from the two perimeter locations can be summed to form a robust endpoint signal; signals can be analyzed to determine which one matches the mask pattern and hence mask orientation; mask orientation can be used to modify the current recipe to produce an improved process result (e.g., better etch uniformity).
  • an implementation may include A) new optical fiber bundle split 3-ways, which allows monitoring two locations in the cathode (of the existing 4 windows), as well as OES (optical emission spectroscopy) from a side window; B) The CCD endpoint system firmware enables simultaneous collection of 3 channels of information. This is accomplished by changing the mapping of the CCD pixels in the imaging spectrometer; D) three data streams are analyzed to decide which signal to use for endpoint (or to sum or otherwise combine the signals to form a robust endpoint); D) The new algorithm may feed back the mask orientation to the etch system, and the etch system (e.g. process recipe) may make a process change during the remainder of the etch to improve the final result.
  • the etch system e.g. process recipe
  • etch process uniformity may be determined by 1) comparing endpoint times from different spatial locations on the substrate being etched, and/or comparing etch rates from different spatial locations, and 2) adjusting the process accordingly.
  • the endpoint hardware three-way optical fiber cable combined with the cathode with multiple windows underneath the etching substrate (e.g., photomask)
  • multiple detection locations can be used in conjunction with the substrate pattern to determine the process uniformity. If the monitoring shows some process non-uniformity, the process can be modified to improve the final etch performance.
  • the process or hardware can be changed to reduce the top-down component. This can be done as part of a chamber setup/startup process, or possibly in real time with the process adjusted during the remainder of the etch.
  • the number of locations monitored could be increased by adding a plurality of windows and a plurality of detectors.
  • the existing CCD imaging spectrometer is limited to detecting three (maximum 7, with added noise) independent signals. If a second spectrometer is added (“Dual Spectrometer Endpoint”), then a total of six signals, one OES from the side of the chamber, and five under the mask, can be detected.
  • a layout of five locations is useful to get basic top-down, side-side, and center-fast or center-slow process information. This information is also useful for wafer process applications if the wafer orientation is variable and process results can be improved by a recipe change based on the determined orientation of the substrate (e.g., photomask) relative to the substrate support.
  • Optical monitoring of etching may often be confused by signal arising from the mask layer etching, rather than that of the etch layer itself.
  • optical monitoring of etching is then determined by the layer being etched, and from its exposed area fraction. Optical interference effects from the thinning resist are then no longer present.
  • This embodiment may be described in two parts.
  • the first part is the selection of an absorbing wavelength for the masking layer ( ⁇ 240 nm for the case of photoresist).
  • the second part is the hardware improvement of increasing deep UV transmission in the optical system to provide the ability to choose deep UV wavelengths with good signal-to-noise performance.
  • One hardware improvement is the use of a non-solarizing deep UV optical fiber with better transmission below 240 nm wavelength.
  • the detector may be placed closer to the chamber to remove the requirement of the transmission-limiting fiber, or use free-space optics or hollow fiber-optics.
  • This method can be used to monitor reflection as well as transmission, so its use can also apply to absorbing substrates, transparent etching layers on absorbing substrates.
  • the transmission and reflection modes can be used for wafers as well as photomask reticle.

Abstract

Apparatus and method for endpoint detection are provided for photomask etching. The apparatus provides a plasma etch chamber with a substrate support member. The substrate support member has at least two optical components disposed therein for use in endpoint detection. Enhanced process monitoring for photomask etching are achieved by the use of various optical measurement techniques for monitoring at different locations of the photomask.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims the benefit of U.S. Provisional Application No. 60/863,490 filed Oct. 30, 2006, U.S. Provisional Application No. 60/969,328 filed Aug. 31, 2007. Both of which are herein incorporated by reference there their entireties.
  • Additionally, the subject matter of this application is related to the subject matter disclosed in U.S. patent application Ser. No. 10/672,420, entitled “Interferometer Endpoint Monitoring Device”, filed on Sep. 26, 2003, by Nguyen, et al. (Attorney Docket Number APPM/8349); U.S. patent application Ser. No. 11/844,838, entitled “Endpoint Detection for Photomask Etching”, filed on Aug. 24, 2007, by Grimbergen (Attorney Docket Number APPM/11455); U.S. patent application Ser. No. 11/844,868, entitled “Endpoint Detection for Photomask Etching”, filed on Aug. 24, 2007, by Grimbergen (Attorney Docket Number APPM/11455-02); U.S. patent application Ser. No. ______, entitled “Endpoint Detection for Photomask Etching”, filed Oct. 29, 2007, by Grimbergen (Attorney Docket No. APPM/11455-03); and U.S. patent application Ser. No. , entitled “Endpoint Detection for Photomask Etching”, filed Oct. 29, 2007, by Grimbergen (Attorney Docket No. APPM/11455-05), all of which are hereby incorporated hereby by reference in their entireties.
  • BACKGROUND
  • 1. Field of the Invention
  • Embodiments of the present invention generally relate to the fabrication of integrated circuits and to the fabrication of photomasks useful in the manufacture of integrated circuits.
  • 2. Description of the Related Art
  • The fabrication of microelectronics or integrated circuit devices typically involves a complicated process sequence requiring hundreds of individual steps performed on semiconductor, dielectric and conductive substrates. Examples of these process steps include oxidation, diffusion, ion implantation, thin film deposition, cleaning, etching and lithography. Using lithography and etching (often referred to as pattern transfer steps), a desired pattern is first transferred to a photosensitive material layer, e.g., a photoresist, and then to the underlying material layer during subsequent etching. In the lithographic step, a blanket photoresist layer is exposed to a radiation source through a reticle or photomask containing a pattern so that an image of the pattern is formed in the photoresist. By developing the photoresist in a suitable chemical solution, portions of the photoresist are removed, thus resulting in a patterned photoresist layer. With this photoresist pattern acting as a mask, the underlying material layer is exposed to a reactive environment, e.g., using wet or dry etching, which results in the pattern being transferred to the underlying material layer.
  • The pattern on a photomask, which is typically formed in a metal-containing layer supported on a glass or quartz substrate, is also generated by etching through a photoresist pattern. In this case, however, the photoresist pattern is created by a direct write technique, e.g., with an electron beam or other suitable radiation beam, as opposed to exposing the photoresist through a reticle. With the patterned photoresist as a mask, the pattern can be transferred to the underlying metal-containing layer using plasma etching. An example of a commercially available photomask etch equipment suitable for use in advanced device fabrication is the Tetra™ Photomask Etch System, available from Applied Materials, Inc., of Santa Clara, Calif. The terms “mask”, “photomask” or “reticle” will be used interchangeably to denote generally a substrate containing a pattern.
  • During processing, endpoint data from the etching of the photomasks may be used to determine whether the process is operating according to required specifications, and whether the desired results such as etch uniformity are achieved. Since each photomask generally has its own set of features or patterns, different photomasks being etched using the same process recipe may yield different endpoint data, thereby making it difficult to determine if the desired etch results are obtained for a specific photomask.
  • With ever-decreasing device dimensions, the design and fabrication of photomasks for advanced technology becomes increasingly complex, and control of critical dimensions and process uniformity becomes increasingly more important. Therefore, there is an ongoing need for improved process control in photomask fabrication, such as improved apparatus and method for generating endpoint data that would be consistent for each photomask.
  • SUMMARY
  • Embodiments of the invention generally provide a method and apparatus for etching a substrate. The invention is particularly suitable for etching photomasks, among other substrates used vacuum processing.
  • In one embodiment, a method for etching a substrate is provided that includes (a) providing an etch chamber having a substrate support member, the substrate support member comprising at least a first window in a center region and a second window in a peripheral region, (b) providing a substrate on the substrate support member, (c) introducing a process gas into the etch chamber, (d) generating a plasma from the process gas for etching the substrate, (e) detecting a first optical signal through the first window and a second optical signal through the second window using an endpoint detection system, and terminating the plasma based on information obtained from at least one of the detected first and second optical signals.
  • In another embodiment, a method for etching a substrate includes (a) providing an etch chamber having a substrate support member, the substrate support member comprising a first window and a second window, (b) providing a substrate on the substrate support member, (c) generating a plasma from a process gas for etching the substrate, (d) providing an endpoint detection system comprising a photodetector, (e) monitoring at least one optical signal through at least one of the first window and the second window using the photodetector, and (f terminating the plasma based on information obtained from the at least one optical signal.
  • In another embodiment of the invention, an apparatus for substrate etching is provided that includes a plasma etching chamber, a substrate support member inside the chamber, the substrate support member having a first window disposed in a center region and a second window disposed in a peripheral region, and an endpoint detection system operatively coupled to the chamber through the first and second windows.
  • In another embodiment, an apparatus for substrate etching may include a plasma etching chamber comprising a substrate support member, an endpoint detection system configured for operating in at least one of a reflection mode and a transmission mode, wherein the endpoint detection system comprises a first optical component disposed in a center region of the substrate support member and a second optical component disposed in a peripheral region of the substrate support member.
  • Another embodiment provides an apparatus for substrate etching that includes a plasma etching chamber, a substrate support member inside the chamber, the substrate support member having a first window and a second window disposed therein, the first window being in a center region of the support member, and an endpoint detection system operatively coupled to the chamber through one of the first and second windows.
  • In another embodiment, a method for etching a substrate may include providing an etch chamber having a substrate support member, the substrate support member comprising a first window in a center region and a second window in a peripheral region, providing a substrate on the substrate support member, introducing a process gas into the etch chamber, generating a plasma from the process gas for etching the substrate, detecting a first optical signal through the first window and a second optical signal through the second window using an endpoint detection system, and terminating the plasma based on information obtained from at least one of the detected first and second optical signals.
  • In another embodiment, a method for processing a substrate includes etching a patterned substrate disposed on a substrate support in a plasma etch chamber, detecting a first signal reflected from the substrate during etching, the first signal collected through a first window of the substrate support, detecting a second signal transmitted through the substrate during etching, the second signal collected through a second window of the substrate support, that second window spatially separated from the first window, and determining an endpoint of the etch process using the first and second signals.
  • In another embodiment, a method for processing a substrate includes placing a patterned substrate on a substrate support a plasma etch chamber, etching a substrate, and detecting an etching endpoint using a light having a wavelength absorbed by a layer disposed on the substrate.
  • In another embodiment, a method for processing a substrate includes detecting an orientation of a patterned photomask reticle while disposed on a substrate support in a plasma etch chamber and selecting and/or altering an etch process in response to the detected orientation.
  • In another embodiment, a method for processing a substrate includes etching a substrate through a patterned masking layer in a plasma etch chamber, the substrate having a non-etching side disposed on a substrate support and an etching side facing away from the substrate support, exposing the etching side of the patterned substrate while etching to radiation from a radiation source while etching the substrate, collecting a signal from the radiation source from the non-etching side of the patterned substrate, and controlling the etch process in response to the collected signal.
  • In yet another embodiment, a method for etching a substrate includes (a) providing an etch chamber having a substrate support member, the substrate support member having a first window and a second window, (b) providing a substrate on the substrate support member, (d) generating a plasma from a process gas for etching the substrate, (e) providing an endpoint detection system that includes a photodetector, (f) monitoring at least one optical signal through at least one of the first window and the second window using the photodetector, and (g) terminating the plasma based on information obtained from the at least one optical signal.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features, advantages and objects of the invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings.
  • It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1A illustrates a process chamber incorporating one embodiment of the present invention;
  • FIG. 1B illustrates a cross-sectional view of two optical configurations for endpoint monitoring according to embodiments of the present invention;
  • FIG. 1C is a schematic top view of one embodiment for endpoint detection;
  • FIG. 2 illustrates sample locations on a substrate for endpoint detection;
  • FIG. 3 illustrates a top view of a 6-inch substrate with peripheral locations for endpoint detection;
  • FIGS. 4A-C are illustrate schematically structures of several types of photomasks during fabrication;
  • FIG. 5 is an illustration of various optical signals used for endpoint detection;
  • FIG. 6 is a flow diagram of one embodiment of a process for etching a photomask;
  • FIG. 7 is a schematic diagram of another embodiment of an etch chamber having an endpoint detection system that includes an optical fiber bundle.
  • FIG. 8 is a schematic diagram of one embodiment of an exemplary detector;
  • FIG. 9 is a schematic diagram of another embodiment of an etch reactor suitable for etching a photomask reticle having an endpoint detection system;
  • FIG. 10 is a schematic diagram of a top view of a substrate support illustrating the distribution of a center window and edge windows within an area covered by a reticle during processing;
  • FIG. 11 is graphs of average (side OES) endpoint, left endpoint and top endpoint for one embodiment of a photomask etching process;
  • FIG. 12 is a graph of endpoint data taken using side OES, center, left, top and upper right corner during one embodiment of a photomask reticle etching process;
  • FIG. 13 is a graph of endpoint data taken through the mask and side OES (and resultant transmission) obtained during two periods of the same photomask reticle etching process;
  • FIG. 14 is a flow chart of one embodiment of a method for monitoring an etch process using normalized transmission endpoint information;
  • FIGS. 15-16 are schematic diagrams illustrating thin film interference occurring between the top and bottom of a masking layer for light in which the film is substantially transparent, and the absence of interference when the light is substantially absorbed;
  • FIG. 17 shows endpoint data which demonstrates the combined transmission from the component signals shown in FIG. 18;
  • FIG. 18 depicts the magnitude of the transmission signals T1, T2 and T3 shown in FIG. 16;
  • FIGS. 19-20 are illustrative of an etching process utilizing an endpoint monitoring signal by using a wavelength that is absorbed by the photoresist layer;
  • FIG. 21 depicts the magnitude of the transmission signals T1 and T2 shown in FIG. 19 and demonstrated in the data of FIG. 20;
  • FIG. 22 is another graph of endpoint data obtained during one embodiment of a photomask reticle etching process using deep UV signal and its derivative;
  • FIG. 23 depicts an endpoint signal dominated by optical interference from a photoresist layer;
  • FIG. 24 depicts an endpoint signal wherein optical interference from a photoresist layer is minimized by utilizing deep UV wavelength monitoring signals, wherein the left graph shows the transmission and the right graph shows its derivative;
  • FIG. 25 is a schematic diagram of one embodiment of a processing chamber for etching a photomask reticle and having an endpoint detection system that utilizes both OES and TEP endpoint information; and
  • FIG. 26 shows optical reflection and transmission curves for photoresist on a mask showing increased absorption in the deep-UV portion of the spectrum.
  • To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation.
  • It is to be noted, however, that the appended drawings illustrate only exemplary embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • DETAILED DESCRIPTION
  • The present invention provides a method and apparatus for etching a photomask substrate with enhanced process monitoring, for example, by providing for optical monitoring at different regions of the photomask. Although the discussions and illustrative examples focus on the etching of a photomask substrate, various embodiments of the invention can also be adapted for process monitoring of other suitable substrates, including transparent or dielectric substrates.
  • FIG. 1A is a schematic cross sectional view of a plasma etch chamber 10 in accordance with one embodiment of the invention. Suitable plasma etch chambers include the Tetra™ II photomask etch chamber or the Decoupled Plasma Source (DPS™) chamber available from Applied Materials, Inc., of Santa Clara, Calif. Other process chambers may also be used in connection with embodiments of the invention, including, for example, capacitive coupled parallel plate chambers and magnetically enhanced ion etch chambers, as well as inductively coupled plasma etch chambers of different designs. The particular embodiment of the etch chamber 10 shown herein is provided for illustrative purposes and should not be used to limit the scope of the invention. It is contemplated that the invention may be utilized in other processing systems, including those from other manufacturers.
  • The process chamber 10 generally includes a cylindrical sidewall or chamber body 12, an energy transparent ceiling 13 mounted on the body 12, and a chamber bottom 17. The ceiling 13 may be flat, rectangular, arcuate, conical, dome or multi-radius shaped. At least one inductive coil 26 is disposed above at least a portion of the ceiling 13. In the embodiment depicted in FIG. 1A, two concentric coils 26 are shown. The chamber body 12 and the chamber bottom 17 of the process chamber 10 can be made of a metal, such as anodized aluminum, and the ceiling 13 can be made of an energy transparent material such as a ceramic or other dielectric material.
  • A substrate support member 16 is disposed in the process chamber 10 to support a substrate 220 during processing. The support member 16 may be a conventional mechanical or electrostatic chuck with at least a portion of the support member 16 being electrically conductive and capable of serving as a process bias cathode. While not shown, a photomask adapter may be used to secure the photomask on the support member 16. The photomask adapter generally includes a lower portion milled to cover an upper portion of the support member and a top portion having an opening that is sized and shaped to hold a photomask. In one embodiment, the top portion of the photomask adapter has a square opening. A suitable photomask adapter is disclosed in U.S. Pat. No. 6,251,217, issued on Jun. 26, 2001, which is incorporated herein by reference to the extent not inconsistent with aspects and claims of the invention.
  • Process gases are introduced into the process chamber 10 from a process gas source 48 through a gas distributor 22 peripherally disposed about the support member 16. Mass flow controllers (not shown) for each process gas, or alternatively, for mixtures of the process gas, are disposed between the process chamber 10 and the process gas source 48 to regulate the respective flow rates of the process gases.
  • A plasma zone 14 is defined by the process chamber 10, the substrate support member 16 and the ceiling 13. A plasma is generated in the plasma zone 14 from the process gases by supplying power from a power supply 27 to the inductive coils 26 through an RF match network 35. The support member 16 may include an electrode disposed therein, which is powered by an electrode power supply 28 and generates a capacitive electric field in the process chamber 10 through an RF match network 25. Typically, RF power is applied to the electrode in the support member 16 while the body 12 is electrically grounded. The capacitive electric field, which is transverse to the plane of the support member 16, influences the directionality of charged species to provide more anisotropic etching of the substrate 220.
  • Process gases and etchant byproducts are exhausted from the process chamber 10 through an exhaust port 34 to an exhaust system 30. The exhaust system 30 may be disposed in the bottom 17 of the process chamber 10 or may be disposed in the body 12 of the process chamber 10 for removal of process gases. A throttle valve 32 is provided in the exhaust port 34 for controlling the pressure in the process chamber 10.
  • FIG. 1A further illustrates an endpoint detection system 164 operatively coupled to the process chamber 10 in accordance with one embodiment of the invention. According to embodiments of the invention, at least two optical access ports or viewports, are provided in different regions of the substrate support member 16. In one embodiment, at least one access port is provided in a non-peripheral region. In yet another embodiment, the substrate support member 16 is provided with at least one window in a center region. In the example shown in FIG. 1A, the two optical access ports comprise respectively a window 110 at a peripheral region 16P, and a window 112 at a central region 16C. The endpoint detection system 164 is configured to detect optical signals through one or more of these windows, which allows optical monitoring of various locations on a photomask substrate 220 from its backside during etching. In one embodiment, a third window (not shown) may also be provided in the peripheral region 16P of the substrate support member 16. Alternatively, different numbers of windows may be provided at other locations of the substrate support member 16.
  • In general, a larger window facilitates the installation of optical components within the substrate support member 16. However, for apparatus in which the substrate support member 16 is RF biased, the size of the window, especially in the central region 16C of the substrate support member 16, is selected to be sufficiently large for optical monitoring, yet small enough to avoid potential adverse impact for the RF bias. Selecting a small window also improves the lateral temperature uniformity of the support member 16. The optical access port may generally comprise a flat window made of quartz or other materials that transmit light over a broad wavelength spectrum. A more detailed discussion of different optical configurations will be provided in a later section.
  • Referring first to FIG. 2, FIG. 2 illustrates schematically several locations of the photomask substrate 220 that are monitored for endpoint detection according to one embodiment of the present invention. A central region 225 of the substrate 220 may be defined as the area of the photomask that is patterned for lithographic purposes, while a peripheral region is outside of the patterned central region, and may include patterns or features utilized for endpoint or monitoring of other process parameters. Several windows disposed in the substrate support member 16 are shown in phantom. For example, when the photomask substrate 220 is centrally disposed with respect to the substrate support member 16, optical access through window 112 allows monitoring of an area 222 around the center of the photomask 220, while areas 224 and 226 in a peripheral region 227 of the photomask 220 can be monitored through windows 114 and 110, respectively. In one embodiment, monitored areas 224 and 226 are located respectively along one side and at a corner of the photomask 220. In another embodiment, the monitored area 224 is located on one side of the photomask 220, e.g., at a midpoint of the side, along a x-direction with respect to the center of the photomask 220, while another area 224A located on an adjacent side of the photomask 220, e.g., along a y-direction with respect to the center of the photomask 220, is monitored through another window 114A. Optical signals obtained through windows such as 112, 114 and 114A can be used to obtain center to edge etch uniformity along the x- and y-directions, or more generally, along directions that are perpendicular to each other.
  • One or more windows 112A may also be provided in the substrate support member 16 to allow for monitoring of different areas such as 222A in the central region 225 of the photomask 220. The additional windows 112A, 114A facilitate determination of an edge to center etch profile. For example, information regarding process uniformity, such as the edge to center etch profile, can be obtained by comparing the endpoint results at different regions or locations of the photomask 220, e.g., based on signals from areas 222, 224 and 224A. The windows may also be used for ensuring that at least one window 112, 122A is below a feature being etched.
  • Referring back to FIG. 1A, the endpoint detection system 164 comprises optical setup for operating in reflection or transmission modes, and is configured for different types of measurements such as reflectance or transmittance, interferometry, or optical emission spectroscopy. Depending on the application of interest, e.g., the material layers or substrate structure being processed, endpoints may be detected based on a change in the reflectance or transmittance intensities, the number of interference fringes, or changes in optical emission intensities at specific wavelengths, or a combination thereof.
  • The reflection mode of operation allows reflectance (or reflectometry) and interferometric measurement to be performed. The endpoint system 164 generally comprises an optical source 166, a focusing assembly 168 for focusing an incident optical beam 176 from the optical source 166 onto an area or spot 180 on the backside of substrate 220, and a photodetector 170 for measuring the intensity of a return optical beam 178 reflected off the area 180 of the substrate 220. The photodetector 170 may generally be a single wavelength or multi-wavelength detector, or a spectrometer. Based on the measured signal of the reflected optical beam 178, a computer 172 calculates portions of the real-time waveform and compares it with a stored characteristic waveform pattern to extract information relating to the etch process. In this case, the calculation may be based on slope changes or other characteristic changes in the detected signals, either in reflection or transmission mode, for example, when a film is etched through. Alternatively, the calculation may be based on interferometric signals as the depth of a trench or the thickness of a film changes during etching. In other embodiments, more detailed calculations may be performed based on reflection and transmission data obtained over a wide spectrum in order to determine the depth or thickness at any point in the etch process, or to determine the lateral dimensions of the features being etched.
  • The light source 166 may be monochromatic, polychromatic, white light, or other suitable light source. In general, the optical signal from the reflected beam 178 may be analyzed to extract information regarding the presence or absence of a layer (e.g., metal-containing layer), or the thickness of certain material layers within the area 180. The intensity of the incident light beam 176 is selected to be sufficiently high to provide a return beam 178 with a measurable intensity. In one embodiment, the light source 166 provides polychromatic light, e.g., from an Hg—Cd, Hg—Ar or Xe lamp or a light emitting diode (LED), which generates light in a wavelength range from about below 200 nm to about above 800 nm, or about 400 to about 800 nm, respectively. The polychromatic light source 166 can be filtered to provide an incident light beam 176 having selected frequencies. Color filters can be placed in front of the light detector 170 to filter out all wavelengths except for the desired wavelength of light, prior to measuring the intensity of the return light beam 178 entering the light detector 170. The light can be analyzed by a spectrometer (array detector with a wavelength-dispersive element) to provide data over a wide wavelength range, such as ultraviolet to visible, from about 200 nm to 800 nm. The light source may be configured to operate in a continuous or pulsed mode. With continuous detection, it is preferable to have a light source with an output intensity that is higher than that of the plasma emission. In the case of a light source with multiple wavelength outputs, one can select a wavelength whose intensity is higher than that of the corresponding wavelength from the plasma. For pulsed mode operation, such requirements of the light source intensity may be relaxed, as long as the detector is not saturated by the intensity from the light source and plasma.
  • Various light source options are available for pulsed mode operation. For example, the light source 166 may be any suitable source that provides a steady or continuous radiation output. A shutter (not shown) can be provided to block and unblock the output beam from the light source 166 so as to provide alternate beam off/on cycles for signal detection. A signal acquired during the beam “on” period will include contributions from the plasma emission and the signal induced by the light source 166, while a signal acquired during the beam “off” period will correspond to the plasma emission. Subtracting the beam “off” signal from the beam “on” signal can result in improved measurement because potential interference from the plasma emission can be eliminated. Such a data subtraction routine can be provided as part of algorithm associated with the endpoint detection system.
  • Pulsed mode operation may also be achieved by configuring the light source 166 to be switched on and off in alternate cycles, for example, as shown in FIG. 7. In the embodiment of FIG. 7, a reticle 700 is positioned in an etch chamber below a plasma 702. An endpoint detection system 704 is positioned to interface with the bottom of the reticle 700. The endpoint detection system 704 includes an optical fiber bundle 706 having one end positioned to view the bottom of the reticle 700 through one or more windows formed in the substrate support (not shown). The optical fiber bundle 706 carries a signal generated from the light source 166 and reflected off the reticle 700 to a detector 170. In general, the shuttering or switching of the light source can be performed at various combinations of duty cycles and signal acquisition times, e.g., with the light source duty cycle selected to match that of the detector duty cycle for background subtraction. The light source intensity may also be adjustable to avoid saturating the detector 170, such as a charge-coupled device (CCD) or other suitable device. If the pulse duration is shorter than the detector sampling time, the lamp may be pulsed a number of times to form a higher total intensity by integration. In one embodiment, a 50 percent duty cycle is used. When the light source is on (or shutter is open), light sensed by the detector includes both light from the lamp and from the plasma. When the light source is off (or shutter is closed), light sensed by the detector includes only light from the plasma. Utilizing the difference in the signals, the background contribution of light from the plasma may be subtracted the detected signal, thereby providing a more accurate endpoint indication.
  • Alternatively, unequal sampling periods may also be used for background subtraction. For example, the sampling time for the detector, e.g., a CCD, can be kept short during the light source “on” period, followed by a longer sampling time during the light source “off” period, during which the background plasma emission is collected. This may be useful for reducing the noise in the background plasma emission if the emission itself is used as a secondary signal, e.g., as in transmission monitoring.
  • The selection of the signal acquisition time and the light source “on” period may depend on the specific application and the intensity of the light source. In general, using a light source with a relatively low intensity output will require a longer signal acquisition time. In one embodiment, the beam “on” period can range from about 0.1 second to about 2 seconds.
  • The light source 166 may be a monochromatic source that provides optical emission at a selected wavelength, for example, a He—Ne or ND-YAG laser, or a solid state source such as a light emitting diode (LED). Other options include various discharge lamps such as hydrogen (H2), deuterium (D2), vapor lamps such as those disclosed in Grimbergen, U.S. Pat. No. 6,534,756, or hollow cathode lamps, with radiation outputs at multiple wavelengths. In one embodiment, the light source 166 includes a number of LEDs providing radiation outputs at different wavelength regions. For example, the light source 166 may include at least one of the following: a LED in the ultraviolet (UV) region, a LED in the infrared (IR) region, and a LED with broadband (e.g., white light) output, or any combinations thereof. Using a combination of LEDs with different output wavelengths, e.g., 370 nm (UV), 390 nm (UV), 400-700 nm (white), 800 nm (IR), 1300 nm (IR), 1500 nm (IR), spectral output from the UV to the IR region can be achieved, e.g., from about 350 nm to about 1500 nm. In this case, the light source 166 can be provided with an output fiber bundle with fibers coupling to respective LEDs.
  • Referring back to the embodiments depicted in FIG. 1A, one or more convex focusing lenses 174 a , 174 b may be used to focus the incident light beam 176 to the area 180 on the substrate surface, and to focus the return light beam 178 back on the active surface of light detector 170. The area 180 should be sufficiently large to compensate for variations in surface topography of the substrate 220 and device design features. This enables detection of etch endpoints for high aspect ratio features having small openings, such as vias or deep narrow trenches, which may be densely present or more isolated. The area of the return light beam should be sufficiently large to activate a large portion of the active light-detecting surface of the light detector 170. The incident and return light beams 176, 178 are directed through a transparent window 110 in the process chamber 10 that allows the light beams to pass in and out of the processing environment. Although lenses 172 a and 174 b are shown in FIG. 1A as mounted away from the window 110, in practice, they may also be mounted close to the window 110, as shown in FIG. 1B. It is also understood that the incident and return light beams 176, 178 can generally be coupled via optical fibers to the endpoint detection system 164. The use of fiber optics for coupling light beams to and from the windows also allows electrical isolation to be maintained between the substrate support member 16 and the detector electronics.
  • The diameter of the beam spot 180 is generally about 2 mm to about 10 mm. However, if the beam spot 180 encompasses large isolated areas of the substrate containing only a small number of etched features, it may be necessary to use a larger beam spot in order to encompass a greater number of etched features. The size of the beam spot can therefore be optimized, depending on the design features for a particular device. If the signal is sufficient, a large beam spot or field of view will enable process control without precisely matching the position of the substrate support hole and the etched area of the substrate giving rise to the signal.
  • Optionally, a light beam positioner 184 may be used to move the incident light beam 176 across the substrate 220 to locate a suitable portion of the substrate surface on which to position the beam spot 180 to monitor an etching process. The light beam positioner 184 may include one or more primary mirrors 186 that rotate at small angles to deflect the light beam from the light source 166 onto different positions of the substrate surface. Additional secondary mirrors may be used (not shown) to direct the return light beam 178 on the photodetector 170. The light beam positioner 184 may also be used to scan the light beam in a raster pattern across the backside of the substrate 220. In this embodiment, the light beam positioner 184 comprises a scanning assembly consisting of a movable stage (not shown), upon which the light source 166, the focusing assembly 168 and the detector 170 are mounted. The movable stage can be moved through set intervals by a drive mechanism, such as a stepper motor or galvanometer, to scan the beam spot 180 across the substrate 220.
  • The photodetector 170 comprises a light-sensitive electronic component, such as a photovoltaic cell, photodiode, or phototransistor, which provides a signal in response to a measured intensity of the return light beam 178. The signal can be in the form of a change in the level of a current passing through an electrical component or a change in a voltage applied across an electrical component. The photodetector 170 can also comprise a spectrometer (array detector with a wavelength-dispersive element) to provide data over a wide wavelength range, such as ultraviolet to visible, from about 200 nm to 800 nm. The return light beam 178 undergoes constructive and/or destructive interference which increases or decreases the intensity of the light beam, and the light detector 170 provides an electrical output signal in relation to the measured intensity of the reflected light beam 178. The electrical output signal is plotted as a function of time to provide a spectrum having numerous waveform patterns corresponding to the varying intensity of the reflected light beam 178.
  • A computer program on a computer system 172 compares the shape of the measured waveform pattern of the reflected light beam 178 to a stored characteristic (or reference) waveform pattern and determines the endpoint of the etching process when the measured waveform pattern is the same as the characteristic waveform pattern. As such, the period of the interference signal may be used to calculate the depth and etch rate. The program may also operate on the measured waveform to detect a characteristic waveform, such as, an inflection point. The operations can be simple mathematic operations, such as evaluating a moving derivative to detect an inflection point. Although FIG. 1A shows the computer system 172 connected to the endpoint system 164, it is also used for processing data from other endpoint detectors in the system.
  • FIG. 1A is meant to illustrate the relative positioning of the optical access ports or windows 110 and 112 in the substrate support member 16. A close-up cross-section view of two alternative optical configurations is shown schematically in FIG. 1B. The substrate support member 16 is provided with recessed portions 132 and 134, which are separately connected to openings or channels 136 and 138 to allow optical access to the backside of substrate 220. The recess portions 132 and 134 are provided with O-rings and grooves 142 and 144 for vacuum sealing to windows 124 and 126, respectively. One configuration illustrates endpoint detection based on reflection measurements through window 124, with incident light in a fiber 121 being focused by lens 123 onto the substrate 220. The signal returning from the backside of substrate 220 is then collimated by the lens 123 and coupled via a fiber 125 to the endpoint detection system 164. Different focal lengths may be used for lens 123, and in one embodiment, a focal length of about 15 mm is used. In other embodiments, collimating lens 123 may be omitted, in which case, fibers 121 and 125 can be mounted up against the window 124. Depending on the specific measurements and optical configurations, fibers 121 and 125 may refer to either a single fiber or a fiber bundle (having more than one fiber). The use of multiple fibers offers additional capabilities, including, for example, improved signal strengths and simultaneous sampling of different areas.
  • Another configuration illustrates endpoint detection based on transmission measurements through window 126. A transmission signal, e.g., plasma emission or external light source, passes through window 126 and is collected by fiber 127 for detection. As shown in FIG. 1B, the opening or channel 138 is provided with a tapered or conical section 140 near the top surface of the substrate support member 16. The conical section 140 has a larger diameter (or lateral dimension) at the top compared to the interior portion, i.e., the portion closer to the recessed portion 134. This design has an advantage of providing a wider field of view or sampling area at the substrate 220, without requiring the use of a larger size window 126. In one embodiment, the conical section 140 is shaped to provide a field of view with a full angle of about 25° for use with a fiber having a numerical aperture of about 0.22. The field of view can also be changed by adjusting the distance between the fiber 127 and the window 126. Optionally, a diverging lens may also be used for coupling the emission to the fiber 127.
  • The various optical components are mounted and secured inside the substrate support member 16 using a variety of hardware known to one skilled in the art, and have been omitted in FIG. 1B for the sake of clarity. Since the substrate support member 16 is made of a conductive material, e.g., anodized aluminum, the mounting hardware are either non-conducting or otherwise insulated from the substrate support member 16. The size of the openings 136, 138 and recessed portions 132, 134 may vary according to specific design and/or process needs, for example, taking into account factors such as the optical beam spot size, desired sample areas, minimal impact on RF bias, and so on. For example, the recessed portions 132, 134 may have diameters ranging from several millimeters (mm) to several centimeters (cm), while openings 136, 138 may have diameters up to about one centimeter. In one embodiment, an opening with a diameter of about 7 mm is used with a beam spot size of about 2 mm. Other design alternatives may include providing a conductive grid or conductive transparent coating on the windows in order to minimize potential impact on the RF bias to the substrate support member 16.
  • The endpoint detection system 164 can be configured to detect patterns disposed in any region of the substrate surface. Depending on the specific endpoint detection technique, the patterns on the substrate may be any suitable device features on the photomask, or they may be test patterns with specific feature design or dimension to facilitate endpoint detection. For example, such test patterns may be line/space patterns with a single or varying pitch and/or linewidth.
  • FIG. 1C is a schematic top view showing one embodiment of the relative positions of openings 136, 138, windows 124, 126, substrate support member 16 and the substrate 220. The side or edge 220E of the substrate 220 extends beyond the edge 16E of the substrate support member 16. As shown, the separation between the peripheral region 227 and the central region 225 of the substrate 220 is indicated by a dashed line. Opening 138 is used for monitoring endpoint in the central region 225. Although opening 136 covers an area that includes both the peripheral region 227 and the central region 225 of the substrate 220, it can still be used for endpoint monitoring purposes, e.g., to obtain etch uniformity information, among others. Alternatively, if the endpoint monitoring through opening 136 is based on a signal from a specific test pattern provided in the peripheral region 227, such endpoint monitoring can be effectively performed, as long as the detected signal is substantially free from interference that might arise from features in the central region 225 that are within the field of view of opening 136. In general, to avoid undesirable interference, test patterns are provided at locations sufficiently separate from features in the central region 225 of the substrate 220. In one embodiment, one or more test patterns are provided at distances up to about 10 mm from the edge 220E of the substrate 220, and openings are provided at corresponding locations of the substrate support member 16 for endpoint monitoring.
  • FIG. 3 illustrates a top view of a 6-inch square substrate with various locations in the peripheral region for endpoint monitoring. In one embodiment, endpoint detection is performed based on the monitoring of one or more test patterns 330 disposed in the peripheral region 315 or at the corners 325 of the substrate, and the endpoint detection system 164 may be disposed directly below these regions of the substrate. For example, with a 6 inch by 6 inch substrate, the windows of the endpoint detection system 164 may be disposed at least about 2.6 inches, such as between about 2.6-2.9 inches, from a horizontal center line 310 of the substrate 220 and at least about 2.6 inches, such as between about 2.6-2.9 inches, from a vertical center line 320 of the substrate 220, as illustrated in FIG. 3. The window 112 is generally located at the intersection of lines 310, 320. Windows 112A are generally located less than 2.6 inches from the center in the plane of the substrate support member 16 for monitoring areas within the central region 225 of the substrate. In one embodiment, the test pattern has a size that is about the same or larger than the beam spot.
  • The light beams reflected from each substrate having the same test patterns are configured to have the same waveform patterns when detected by the endpoint detection system 164. In this manner, the waveform patterns derived from the same test patterns may be used to determine whether the chamber is operating according to a particular process recipe, and whether the desired etch results are obtained for different substrates.
  • While test patterns or various dimensions and/or designs can readily be provided in the peripheral region, the placement of such patterns in the central region of the photomask is much more restrictive. Thus, the availability of features for endpoint monitoring in the central region usually depend on the device design and layout on the photomask. If the monitored area does not provide sufficiently strong optical signal for monitoring, e.g., due to insufficient open areas, alternative optical configurations may be used to increase the field of view or to provide multiple sampling areas. Such alternatives may include the use of optical components, e.g., lenses and fibers, with higher numerical apertures (NA), including fibers with tapered ends or the use of fiber bundles to sample different areas. The use of larger NA optics allows the sampling area to be increased without necessarily increasing the size of the window. The use of multiple fibers (e.g., fiber bundle) allows optical signals to be monitored at different areas of the substrate. Depending on the specific features and detection techniques, signals from these different areas, such as different locations across the center region of the substrate, may be added together to provide an improved signal, or the different signals may be compared with each other and the best one selected for use in endpoint detection. In most embodiments, the collection optics is configured to sample optical signals in a direction substantially perpendicular to the plane of the substrate. In another embodiment, the collection optics may also sample signals from an oblique view angle, i.e., not perpendicular to the substrate. This oblique viewing configuration will also result in an increased sampling area compared to the perpendicular configuration using the same collection optics.
  • In the transmission mode of operation, the endpoint detection system 164 monitors the transmittance (e.g., total light intensity) or optical emission signals (e.g., wavelength-resolved emission) as a function of time. In one embodiment, the plasma in the chamber 10 serves as the light source for the optical emission monitoring. This configuration has the advantage of a simpler optical setup compared to the reflection mode, because it does not require an external light source and only one optical fiber is needed.
  • The plasma emission typically includes light at discrete wavelengths that are characteristic of various species present in the plasma. For example, emission can be monitored at one or more wavelengths that correspond to one or more etchant/reactant or etch product species. At the etch endpoint, e.g., when a certain material layer is completely etched and an underlying layer is exposed, the monitored emission intensity changes according to whether there is an increase or decrease of the emitting species being monitored. In general, the optical emission detection apparatus 150 of the endpoint detection system 164 comprises light collection assembly 152, a wavelength dispersive element 156 and a photodetector 158. In one embodiment, the light collection assembly 152 includes an optical fiber 153, and optionally, a lens 154 for coupling the optical signal to the fiber 153. The wavelength dispersive element 156 may be a spectrometer for separating the optical signal 178 into its component wavelengths. In other embodiments, the light collection optical assembly 152 may include various bulk optical components such as lenses and mirrors, and the wavelength dispersive element 156 may be a variety of filters to pass a selective range of wavelengths. Depending on the specific arrangements, the photodetector 158 may be configured to detect optical signals at a specific wavelength, or it may detect the signals at different wavelengths simultaneously. Suitable photodetectors may include a photodiode, photomultiplier tube or a charged-coupled device, among others.
  • Although the embodiment in FIG. 1A shows different optical signals from windows 110 and 112, e.g., reflection and transmission signals, coupled to different optical components of the endpoint detection system 164, the two signals monitored through windows 110 and 112 may also be the same type of optical signals, e.g., both being reflectance signals or transmittance signals, and so on. In addition, the two optical signals from windows 110 and 112 may be coupled to the same photodetector. For example, if an imaging photodetector is used, a plasma emission signal from one window may be imaged onto a first set of detector elements or pixels of the detector, and the other emission signal from the second window may be imaged onto a second set of detector elements or pixels of the same detector.
  • Furthermore, even though FIG. 1A shows only windows 110 and 112 as being disposed in the substrate support member 16, while other optical components are shown as external to the substrate support member 16, such depiction is partly illustrative, and partly for the sake of clarity in the figure. It is understood that one or more optical components, e.g., windows, optical fibers, lenses, photodetectors, among others, of the endpoint detection system 164 may also be disposed or embedded in the substrate support member 16, or be integrated with the optical access window 110 or 112. Other combinations of different optical measurements and configurations of signal detection can also be advantageously used for endpoint monitoring at two or more locations of the substrate.
  • In another embodiment, the use of an external light source 190, in conjunction with or in place of the plasma source, can expand the capabilities or provide advantages for transmittance measurements. For transmission mode, the external light source 190 will be coupled into the chamber 10 through a window 192 provided on the ceiling 13. The use of the external light source 190 for transmittance measurements has an advantage over the plasma source because it can provide a more stable signal than plasma emission, which may be subjected to fluctuations arising from the etch process. The external light source 190 may be configured to allow monitoring at selected wavelengths that are free from potential interferences from the plasma species. Similar to light source 166, the external light source 190 can also be operated in a pulsed mode to allow for various signal processing options for enhancing endpoint detection capabilities, e.g., by subtracting out possible fluctuations from plasma emission, and so on. Details for pulsed source operation with light source 190 are similar to those previously described for source 166. Other embodiments may involve the use of a pulsed source for both reflection and transmission measurements. In another embodiment, the external light source 190 may be provided through an optical access window (not shown) in the substrate support member 16, and the transmission signal monitored through the window 192.
  • As an example of reflectance monitoring, output from the light source 190 is coupled via a fiber 194 to pass through the window 192 onto the substrate 220 such as a photomask. Reflected light (e.g., off a feature on the photomask) is collected by a collimating lens 196 and coupled into another fiber 197 leading to a broadband spectrometer detector 198. The spectrometer 198 separates the light into its wavelength components, e.g., about 200 nm to 800 mm, to record a first spectrum.
  • A second spectrum is collected with the pulsed source off. This provides a background spectrum which can then be subtracted from the first spectrum. The difference spectrum, which includes contribution from the reflected light only, and will not be affected by plasma light. This sequence of collecting two spectra is repeated for each data point during the etch process. As a result, any changes in the plasma will not affect the measured reflectance, as might happen if the plasma emission is relatively intense.
  • Since the substrate (photomask) is a dielectric, e.g., transparent, the reflection measurement setup with background subtraction can be performed from either side of the substrate 220. That is, the fiber bundle and collimating optics can be placed on the ceiling 13 for collecting a signal from the substrate 220 through a ceiling window 192, or they can be placed below the substrate 220 for monitoring from the backside of the substrate.
  • The latter configuration of endpoint monitoring from below (i.e., through the substrate) offers at least two advantages. First, in the case of an absorbing layer being etched, such as Cr, the optical signal from the backside of the substrate will be less affected by changes in the thickness of the photoresist masking layer when viewed from below the substrate compared to viewing from above the substrate top surface. Second, for certain applications, a small optical sampling area is desired. For example, with quartz etch, interferometry is most accurate when measured within a designated test area with a uniform pattern. Thus, the use of backside monitoring in which the collimating optics are close to the substrate enables a smaller optical beam to be used than one that would originate from the ceiling of the chamber.
  • This subtraction technique can also be applied to transmission measurements, in which the light source and the detector are on opposite sides of the substrate being processed. This might entail a window in the ceiling and a window in the substrate holder, and separate optics for collection.
  • FIGS. 4A-C illustrate various structures during the fabrication of a photomask substrate that may be monitored by different endpoint detection techniques. FIG. 4A shows a binary photomask structure 410 with a patterned photoresist 416 for etching a metal-containing layer 414, e.g., a chrome layer comprising chromium oxide and chromium, which is disposed over a glass or quartz layer 412. The endpoint for etching the chrome layer 414 can be monitored either in reflection or transmission mode, and reflectance, transmittance and/or optical emission measurements can be performed.
  • For example, an incident optical beam 402 from the endpoint detection system 164 may be directed, through one of the windows in the substrate support member, onto one area of the photomask substrate 410. A return beam 404, arising from the interaction between the incident beam 402 and the photomask structure 410, e.g., reflecting off the back surface of chrome layer 414 (or interface between the chrome layer and the quartz layer), is detected by the photodetector 170 of the endpoint detection system 164. At the etch endpoint for the chrome layer 414, the reflectance signal decreases because the chrome layer in the open areas 415 (where there is no photoresist) of the photomask is removed, resulting in a loss of the reflected beam from these areas, as shown by the dashed arrow 405. Furthermore, diffraction analysis of the reflection spectrum may be performed to estimate the etch profile of a chrome feature, and to terminate the etch process when the foot of the chrome feature is cleared. Such analysis will allow the control of the etch profile of the feature.
  • In the transmission mode, the optical emission signal, e.g., from the plasma, passing through the open areas 415 is monitored. In one embodiment, the total intensity of the emission, i.e., the transmittance, may be measured. In another embodiment, the emission may be coupled to a wavelength dispersive element and signals monitored at one or more selected wavelengths. Towards the end of the chrome etch when the remaining chrome thickness is relatively small, the chrome thickness can also be estimated from the transmission signal.
  • FIG. 4B shows another photomask structure 420 during the fabrication of an attenuated phase shift mask. The structure 420 has a phase shifting material layer 428, e.g., molybdenum silicide (MoSi), formed over a quartz layer 422. A chrome layer 424 is deposited on top of the MoSi layer 428, followed by a photoresist layer 426. The photoresist layer 426 is patterned and used as an etch mask for the chrome layer 424. The molybdenum silicide (MoSi) layer can then be etched with either the patterned photoresist layer 426 acting as a mask, or with the patterned chrome layer 424 as a hardmask (after stripping of the photoresist layer 426). Similar to chrome etching, the endpoint for MoSi etching can be monitored in either reflection or transmission mode, and reflectance, transmittance, or optical emission measurement can be performed. Since MoSi is partially transmitting, interferometric measurements can also be used for endpoint monitoring.
  • FIG. 4C shows another mask structure 430 for fabrication a quartz phase shift mask, with a patterned chrome layer 434 serving as a hard mask for etching the underlying quartz layer 432. The original, or pre-etch, top surface 436 of the quartz substrate 432 is shown as a dashed line in FIG. 4C. In this case, the quartz layer 432 has to be etched down to a certain predetermined depth d, below the original surface 436. By operating the endpoint detection system 164 in reflection mode, the return beam 178 at a particular wavelength can be monitored as a function of time to provide interferometric data, e.g., the appearance of fringes arising from optical interference between different portions of the reflected beam 178 that travel through different thicknesses of a material layer. For example, one portion 402A of an incident optical beam is reflected off an open area of the photomask 430, while another portion 402B of the incident optical beam is reflected off a masked area of the photomask 430, e.g., an area with a chrome layer/feature 434. Interferences between the two reflected portions 405A and 405B produce interference fringes (i.e., intensity modulations) that are indicative of the difference in quartz layer thickness traversed by these portions 405A, 405B. By monitoring the interference fringes in the reflected beam, the etch depth d1 can be obtained. In one embodiment, interferometric endpoint monitoring is performed in a pulsed mode, as previously described in connection with light source 166 in FIG. 1A. In general, any narrow band source may be suitable for interferometric monitoring. Thus, it is also possible to use the plasma as a light source for interferometric monitoring, as long as the plasma emission has a sufficiently narrow bandwidth for this purpose.
  • FIG. 5 shows three optical signals monitored simultaneously as a function of time during the etching of a Cr mask using the endpoint detection system. The chrome layer is etched using a plasma containing chlorine and oxygen gases. The top trace 510 is obtained by monitoring an emission signal originating from Cr, for example, either by directly monitoring an atomic line from Cr e.g., at a wavelength of 520 nm, or by monitoring the Cr emission line and a chlorine line (e.g., 258 nm) and taking a ratio of the Cr:Cl emission signals. Typically, the signal to noise can be improved by taking a ratio of emission signals of etch products to reactants (or vice versa). As the chrome etch approaches endpoint, the concentration of chromium-containing species (etch products) in the plasma decreases, resulting in a corresponding change in the Cr emission signal (or Cr:Cl emission ratio), as shown at point 512 of the top trace 510. In general, the optical emission signal can be monitored through one or more windows in chamber 10, e.g., those provided in the substrate support member 16 or in the ceiling, by looking directly at the plasma. In addition, a side window 193 may be provided in the chamber wall for detecting the plasma emission, for example, by coupling the emission to an optical emission detector system 195, as shown in FIG. 1A. The emission monitoring through the sidewall window 193 may be performed in conjunction with endpoint monitoring through one or more other windows.
  • The middle trace 520 is obtained by monitoring a reflection signal originating from light reflecting off the bottom surface of the chrome layer, similar to that shown in FIG. 4A. At the chrome etch approaches endpoint, the chrome layer in the open areas 415 of the mask becomes thinner as the chrome is etched away, which results in a decrease in the monitored reflectance signal intensity, as shown in the portion 514.
  • The bottom trace 530 is obtained by monitoring the transmittance. As shown in portion 516, the transmittance signal intensity increases towards endpoint when the chrome layer in the open areas 415 of the mask is removed, allowing the emission to be transmitted through the quartz layer in these areas.
  • The use of these optical measurement techniques, coupled with monitoring at two or more locations of the substrate, allows improved process control by providing enhanced endpoint detection. In one embodiment, the endpoint detection system is configured to operate in both the reflection and transmission modes. For example, referring back to FIG. 1A, a transmission signal (e.g., transmittance or plasma emission) is detected through window 112 for monitoring an area in the central region of the substrate, and a reflection signal (e.g., reflectance or interferometric) is detected through window 110 for monitoring an area in the peripheral region of the substrate. Monitoring the central region of the substrate in the transmission mode is advantageous because the alignment requirement between the etched features and the access window is less stringent than the reflection mode, and furthermore, a larger area can be monitored.
  • Thus, one embodiment of the present invention provides a method that can be implemented using the apparatus of this invention. In one embodiment, the apparatus of this invention includes a computer readable medium containing instructions, that when executed by the controller, such as the computer 172 or other processor suitable for controlling an etch reactor as commonly known in the art, cause an etch chamber to perform a method such as that shown in FIG. 6. It is contemplated that the computer readable medium may be stored in the memory of the computer 172, which also includes support circuits and processor. The method 600 starts at a step 602 where an etch chamber is provided with a substrate support member having a first window and a second window disposed respectively in a center region and a peripheral region of the support member. A photomask is provided on the support member in step 604, and a process gas is introduced into the chamber in step 606. Halogen-containing gases are typically used for etching different materials found on a photomask structure. For example, a process gas containing chlorine may be used for etching a chrome layer, while a fluorine-containing gas such as trifluoromethane (CHF3) or tetrafluoromethane (CF4) may be used for etching quartz. In step 608, a plasma is generated from the process gas, and in step 610, a first and second optical signals are detected through the first and second windows, respectively. In step 612, the plasma in the chamber is terminated based on information obtained from at least one of the two detected optical signals. Furthermore, based on the etch profile results such as center to edge uniformity, process parameters such as etchant gas composition, flow rate, coil bias, and so on, can be adjusted for optimization of the process.
  • By applying one or more optical measurement techniques for simultaneous monitoring at different locations of the substrate, embodiments of the present invention provide an improved apparatus and method with enhanced process monitoring and control capabilities. These improvements also allow reliable endpoint detection for photomask etching applications with low open areas. For example, optical emission endpoint detection has been demonstrated for etching photomasks with open areas down to about 3 percent for chrome and about 1 percent for molybdenum silicide, and reflectometry has been demonstrated for low open area chrome and quartz etching for phase shift mask applications. Aside from providing information for center to edge etch uniformity, etch rate variations arising from areas with different pattern densities can also be obtained by monitoring multiple optical signals using the endpoint detection system of the present invention. For example, test patterns with different feature size or pattern densities can be provided in different areas of the peripheral region of a photomask and the monitored optical signals can be used for assessing or determining the proper etch endpoint for pattern densities of interest.
  • It is also contemplated that a single window may be utilized in the substrate support to provide substrate monitoring. Particularly, features described above may be utilized with a single window to enhance substrate monitoring over conventional systems having a single window endpoint detector.
  • In another embodiment of the invention, an etch process monitoring system is provided with a direct way to view plasma (e.g., side window) and direct way to view etching through the workpiece at one or more locations in the cathode (e.g., cathode windows under the photomask or wafer). Different combinations of these signals for process control can be used. In one embodiment, the etch process monitoring system includes cathodes with 3 or 4 windows, with 2 or 3 windows in the peripheral region of the etching area, a CCD endpoint system configured to simultaneously collect 2 channels of information one side OES (optical emission spectroscopy), and one “bottom” (through the photomask). The side oes signal is indicative of the state of etching averaged over a large area of the mask, as the entire upper surface of the mask is exposed to the plasma. The “bottom” fiber-optic cable can be placed under any of the 3 or 4 windows in the cathode. Typically this installation is static and the fiber optic cable is fixed in either the center or one of the edge locations. The bottom fiber cable is kept electrically insulating to not transmit bias RF energy to the endpoint system.
  • The side OES signal arises from a large area of the mask, while the bottom signal arises from a localized area under the mask determined by the optical configuration. Typically this region is of the order of 2-5 mm, but could be changed by altering the design.
  • The bottom signal can be reflection (by using a light source, called interferometric endpoint “IEP”) or transmission (plasma as the light source, called transmission endpoint “TEP”). Although a light source and associated fiber cable may be used, alternatively transmission mode (TEP) may be used which utilizes light provided by the plasma signals detected through the photomask.
  • Examples and benefits include:
    • 1. Confirmation of reaching both OES endpoint and bottom endpoint for greater reliability, especially for low-open area etch applications. For example, OES and bottom endpoint may be used to detect process drift and/or inaccuracies in one of the endpoint methods.
    • 2. Process uniformity evaluation and monitoring to center-fast or center-slow etch conditions by comparing endpoint times for the TEP center and OES (average).
    • 3. Similarly comparing an edge or corner endpoint time to OES to determine left-right or top-bottom etch rate pattern differences.
    • 4. Normalizing the TEP signal through the mask by dividing the TEP signal by the OES signal.
    • 4a. This normalization provides for a true transmission measurement, largely independent of plasma brightness and fluctuations.
    • 4b. The normalization also allows for a comparison between the measured spectral transmission of the mask and a real-time model for the transmission, thereby allowing determination of the etching layer thickness (e.g., Cr layer) during etch.
    • 4c. The normalization also allows for a comparison between the measured spectral transmission of the mask and a real-time model for the transmission, thereby allowing determination of the masking layer thickness (e.g., photoresist) during etch.
    • 4d. Determination of etch selectivity by dividing Cr etch rate (4b) by the PR etch rate (4a).
    • 4e. For other applications such as MoSi etch, the MoSi etch rate can also determined in a similar fashion.
  • Note that all the transmission and/or reflection embodiments described in above can be utilized herein with reference to comparing to a direct view OES signal. It should also be noted that embodiments described herein may be useful for endpoint monitoring in photomask deposition applications MEMS through-wafer etching, infrared monitoring/process control of either deposition or etching of silicon wafers and infrared band-edge wafer temperature measurements.
  • In another embodiment, improved etch process control is facilitated by monitoring real-time transmission of the film on the photomask being etched. The absorbing layer (e.g., Cr) has a small but measurable transmittance at the start of etching (typically 1% to 15%, depending on the film type), that increases in a predictable way as the film gets thinner during etching until it is gone completely (100% transmission) at the etch endpoint. A single optical fiber bundle is placed beneath a window under the photomask to collect the increasing plasma light. Typically, the viewing region is of the order of 2-5 mm, which could be changed by altering the design. This configuration may be referred to as “transmission endpoint” (TEP).
  • Benefits of TEP include endpoint based on actual optical clearing of absorbing film being etched. Provided the location of the window is under an area of film being etched, endpoint may have better reliability than OES, especially for low-open area etch applications. The endpoint system can utilize plasma as a light source, obviating the need for an external light source. With plasma light source, a wide field of view can be used, thereby minimizing the size of the opening in the cathode. The embodiment can be as simple as placing an optical fiber near the cathode window, without any additional optics.
  • TEP may be advantageously used for chromium and other etch applications. Such applications may include an optic fiber positioned under the photomask to detect an increase of plasma light passing through the chromium layer as it is etched. A light source may also be used to monitor reflection, although the single change is somewhat smaller than the TEP signal, and as such, TEP provides better resolution during chromium applications.
  • TEP may also be utilized in quartz etch applications. In such applications, optical interferometry may be used. Transmission interferometry can be used to monitor the etch rate and endpoint. The plasma is used as a light source so no lamp is required. The endpoint transmission may be normalized, as discussed above, by dividing the TEP signal by the OES signal obtained through a side window formed in the chamber to reduce signal enhances caused by changes in the plasma. In applications wherein the plasma is sufficiently stable as to provide a steady light source, no background subtraction is required. Reflection interferometry may also be utilized for **quartz etching which requires a light source. The light source may be a steady lamp, for example, in the UV region or brighter than the plasma background. Examples of such suitable light sources include deuterium lamp, a high intensity discharge lamp (HID), an arc lamp and a solid state UV LED lamp. The light source may also be switched on and off so that the contribution to the signal from the plasma background may be subtracted from the signal, thereby providing a more accurate signal indicative of the endpoint.
  • Additionally, still larger areas of the photomask can be sampled. For lamp configurations, collimation optics may be used. For plasma as the light source, a simple field-of-view cone in the cathode may be used, or diverging lenses added. For either lamp configurations, a scanning detector may also be used. If the optical window in the cathode is large, a metallic grid may be placed over the window or a transparent conductive film (e.g., ITO or ZnO) can be used to maintain the RF bias needed for processing the substrate.
  • In one embodiment, an exemplary detector is illustrated in FIG. 8. The detector can be a single wavelength detector, such as a photodiode PMT with filter or monochromator. Each window in the substrate support may be coupled to a separate detector by a fiber optic cable. The detector may also be a multi-wavelength detector such as a spectrometer. The spectrometer may be imaging so that individual portions of the fiber bundle can be treated as separate spectrometers. More than one spectrometer may be used to accommodate simultaneous multiple data collections from different locations.
  • In an exemplary embodiment depicted in FIG. 8, a photo detector 800 is shown interfaced with a plurality of windows 804 positioned in the substrate support below a reticle (not shown) by a fiber optic bundle 802. The signals (reflective and/or transmissive) from each window 804 enter the photo detector 800 through a port 806. The signals in the photo detector 800 are interacted with a wavelength-dispersive element 810, such as a grating or prism, prior to interacting with a spectrometer 808. The signals from each fiber bundle 802 may be provided to a single spectrometer 808, or the signal from each window 804 may be analyzed separately, by sequentially providing the signals to a single spectrometer, or by providing each signals to a separate spectrometer.
  • A specific implementation may includes cathodes with 3 or 4 windows, with 2 or 3 windows in the peripheral region of the etching area, one in the center, a CCD endpoint system configured to simultaneously collect 2 channels of information one side OES (optical emission spectroscopy), and one “bottom” (through the mask). The “bottom” fiber-optic cable can be placed under any of the 3 or 4 windows in the cathode. This installation is static and the fiber optic cable is fixed in either the center or one of the edge locations. The bottom fiber cable is kept electrically insulating to not transmit bias RF energy to the endpoint system. These embodiments may be useful for photomask deposition applications, other substrate (e.g., wafer) etch applications, MEMS through-wafer etching, infrared monitoring/process control of either deposition or etching of silicon wafers and infrared band-edge wafer temperature measurements.
  • One example of such a configuration is illustrated in FIGS. 9-10. FIG. 9 is a schematic diagram of an etch reactor 900 suitable for etching a photomask reticle 902. The etch reactor 900 is coupled to an endpoint detection system 904 which monitors etching of the reticle 902 through windows disposed through the substrate support 906. The substrate support 906 of the etch reactor 900 is coupled to an RF generator 910 through an RF probe 908. The RF probe 908 is coupled to a controller 912 configured with process state monitoring software that actively controls the etch process performed in the etch reactor 900.
  • The substrate support 906 includes a plurality of windows through which signals indicative of etch rate and/or endpoint are provided to the endpoint detection system 904. FIG. 10 depicts a top view of the substrate support 906 illustrating the distribution of a center window 1002 and edge windows 1004 formed in the top of the substrate support 906 within the area covered by the reticle 902 during processing. Corner windows and/or windows in other locations are contemplated. In the embodiment depicted in FIG. 10, the edge 1004 windows are positioned below the peripheral area of the reticle 902 as described above.
  • Referring back to FIG. 9, optical fibers 912 are positioned below each window so that endpoint signals (transmissive and/or reflective) may be provided to the detection system 902. An optical fiber 914 is positioned to view the plasma through a window formed through the side of the etch chamber 900 to provide OES information to the detection system 904. In the embodiment depicted in FIG. 9 the fiber 914 is coupled to a first detector 916, such as a spectrometer, while the fibers 914 are coupled to at least one second detector 918. The second detector 918 may be configured as described with reference to FIG. 8 or other suitable manner. A lamp 920 may optionally be provided to provide reflective signals. The signals may be analyzed by a dedicated endpoint processor 922, such as a PLC or other processor. The endpoint controller 922 is in communication with the controller 912 configured with the process state monitoring software to provide real time etching and/or endpoint information. Optionally, at least one of the controllers 932 or processor 922 is coupled to a front end server 924 and/or host controller 926 to allow integrated metrology information sharing between the production and other tools within the facility. The detector 920 may be configured to have up to three inputs. Additionally, the detectors may be synchronized to all data to be viewed as taken from a single detector.
  • FIG. 11 depicts graphs of average (side OES) endpoint, left endpoint and top endpoint. Trace 1102 depicts the average endpoint, while traces 1104 and 1106 depict the endpoint signals respectively obtained at the left and top windows. The traces 1104 and 1106 illustrate a slight lag in the endpoint of the top location, while the trace 1102 illustrates the average endpoint taken using side OES.
  • FIG. 12 is illustrative of the ability of two spectrometers to provide information suitable for monitoring process uniformity. FIG. 12 depicts a first trace 1202 representing the average endpoint taken using side OES. A second trace 1204 represents the time to etch in the center of the photomask reticle. The third and fourth traces 1206, 1208 represent the time to etch in the left edge and top edge of the photomask reticle. A fifth trace 1210 represents the time to etch in the corner of the photomask reticle and is shown with the edge traces 1206, 1208. As shown, the endpoint signals may be utilized to determine which area is etching faster and/or clears faster than another area. Such information is useful for adjusting the etch process recipe for the next substrate, or proving such information for adjusting processes performed on the substrate from which the endpoint data was obtained to better control and/or correct the process results.
  • FIG. 13 depicts endpoint signal data obtained from two periods for the same etching cycles. Graph 1300A depicts a trace 1302 of an OES signal obtained through the photomask and a trace 1304 of an OES chamber signal obtained through the window disposed in the side of the chamber. By normalizing the data, e.g., dividing the OES through mask signal by the OES chamber signal, a trace 1306 of the normalized endpoint signal is generated. The data obtained in graphs 1300A and 1310A are obtained after thirty seconds of etching. The data shown in graphs 1300B and 1310B include data taken after 380 seconds of etching. Again, graph 1300B includes a trace 1322 of an OES signal taken through the mask and a trace 1324 of an OES chamber signal. The normalized signal is shown in FIG. 1310B by trace 1326. FIG. 14 depicts a flow chart of a method 1400 for monitoring an etch process using normalized transmission, such as described with reference to FIG. 13. The method 1400 for monitoring an etch process may use a normalized transmission spectrum to measure the chromium thickness and/or resist thickness from an optical thin film model. The process 400 provides information relating to two separate areas, chromium etch rate and photoresist etch rate. The chromium layer generally absorbs the light when having greater than a predetermined thickness. The transmission of light through the chromium layer increases rapidly as the thickness becomes less than about 20 nanometers. The change in transmission is nearly flat after the chromium clears. The photoresist is largely transparent and shows thin film interference. The method 1400 begins at block 1402. The process begins at block 1402 wherein light transmitted through a workpiece being etched (e.g., a photomask reticle) is measured by a detector. At block 1404, the transmitted signal is divided by the emission signal taken through the side window to calculate a normalized transmission. At block 1406, the normalized transmission is compared with a thin film optical model to calculate real time thickness. The information obtained at block 1406 may be utilized to analyze at least one of the chromium and/or photoresist thickness and/or etch rate. At block 1408, the transmission data obtained through the photoresist/chromium stack is analyzed. At block 1410, the photoresist thickness in etch rate is determined using the data analyzed at block 1408. Alternatively, or in addition to the photoresist analysis performed at blocks 1408, 1410, the chromium layer may be analyzed at blocks 1412 and 1414. At block 1412, the transmission data obtained through the chromium layer is analyzed. At block 1414, chromium thickness and/or etch rate is determined through the data analyzed at block 1412. This method can also be applied to etching other materials such as MoSi to determine a MoSi thickness and/or etch rate.
  • In addition to the embodiments described above, a method of making endpoint detection more reliable is also provided. In one embodiment, the reliability of endpoint detection may be improved by eliminating thin film interference from the photoresist masking layer. For example, optical monitoring of etching may be confounded by the signal rising from etching of the mask rather than of the layer being etched. Referring to FIG. 15, thin film interference occurs between the top and bottom of the masking layer, specifically by components R2 and R3 for reflection and T2 and T3 for transmission modes. The interference may be substantially eliminated by using a wavelength regime in which the masking layer is absorbing, such as a deep UV wavelength. Optical monitoring of etching is then determined by the layer being etched and from its exposure fraction. Thin film interference between the top and the bottom of the masking layer is substantially eliminated by using wavelength from a light source that is absorbed by the photoresist, as shown by the absence of the components of R3 and T3.
  • Alternatively, all optical transmission and reflection signals clearly show endpoint during etching of an unpatterned workpiece (e.g., a photomask or wafer), a patterned etch mask can create difficulties in determining endpoint. The optical signal can be confounded by the presence of thin film optical interference caused by concurrent thinning of the masking layer while the etching layer is etched. Transmission is more immune to this problem than reflection, especially if the etching layers are substantially opaque, as in the case for thick chromium layers, e.g., chromium layers having a thickness greater than 100 nm. As technology moves to thinner layers, specifically layers of chromium having a thickness less than 50 nm, inherent absorption is reduced and the advantage is accordingly reduced as well. For the case of phase shifting photomasks with an additional absorbing layer such as MoSi, the advantage is further reduced. However, by choosing a deep UV wavelength to monitor reflection and transmission, the confounding interference from the masking layer is virtually eliminated. This occurs when the optical length is short enough that the absorbance of the masking layer is significant, thereby spoiling the interference. This will occur for light at wavelengths less than 240 nm for DUV resist, such as FEP 171.
  • FIGS. 16, 17 and 18 are illustrative of the optical interference of the photoresist. FIG. 16 is a schematic showing the optical interference components T2 and T3. FIG. 18 depicts the magnitude of the transmission signals T1, T2 and T3. FIG. 18 illustrates the measured transmission signal depicted in the graph of FIG. 17 as being the superposition of the transmission signal T1 and the vector added signals T2 and T3.
  • FIGS. 19, 20 and 21 are illustrative of an etching process utilizing an endpoint monitoring signal by using a wavelength that is absorbed by the photoresist layer. As depicted in FIG. 19, the incident light absorbed by the photoresist masking layer will not generate an interference between the top and the bottom of the masking layer, specifically components R3 and T3 are eliminated. Thus, the resultant signal monitored by the detector, as shown in the graph of FIG. 20, is easier to analyze. As the chromium layer being etched becomes less than 20 nm, the signal rises faster until the chromium layer clears and the transmission signal becomes flat, and as such, the resultant signal obtained by the detector is indicative of thickness and/or presence of photomask masking layer and/or chromium layer as seen in FIG. 21. Thus, the endpoint of the chromium etch can be identified when the slope of the signal decreases. The endpoint can be called using a sequence of derivative calculation and smoothing of the data to determine when the slope decreases. Such endpoint determination is illustrative in the endpoint traces provided in FIG. 22.
  • The use of deep UV wavelength monitoring signals is particularly useful in etch applications having low open area. For example, FIG. 23 depicts an endpoint signal dominated by optical interference from the photoresist layer. The endpoint of the chromium etch is not readily visible from the signal depicted in FIG. 23. By utilizing deep UV wavelength monitoring signals, the endpoint is readily ascertainable as the slope of the trace visibly decreases at the 260 second mark, as shown by trace 2402, as shown in FIG. 24. By using a derivative of the deep UV endpoint signal, the endpoint is also ascertainable at the 260 second mark, as indicated after the peek signal of trace 2404, as shown in FIG. 24.
  • The use of fiber optics can also improve deep UV endpoint applications. Conventional UV transmitting fiber optics generally attenuate the signal at wavelengths below 235 nm. Non-solarizing fibers may be utilized to improve transmission below 235 nm wavelengths. Thus, the signal to noise ratio would increase, thereby extending the endpoint capability to smaller, open areas.
  • FIG. 25 illustrates a processing chamber 2500 having a photomask reticle 2502 supported on a pedestal 2504. The pedestal has one or more windows 2506 through which the bottom of the photomask reticle 2502 may be viewed by an endpoint detection system 2508. The endpoint detection system 2508 includes a side fiber optic bundle 2510 which views a plasma 2512 disposed in the chamber 2500 through a window 2514 disposed in the side of the chamber. The side fiber optical bundle 2510 provides OES information of the plasma 2512 to a detector 2516, such as a spectrometer. A second fiber optic bundle 2518 views the bottom of the substrate through the window 2506 in the pedestal 2504. In the fiber optic bundle 2518 are made from non-solarizing deep UV fused silica for enhanced transmission of signals at wavelengths below 235 nm. Optionally, the endpoint detection system 2508 may include a lamp 2520 to provide light through the fiber bundle 2518 to obtain information in a reflection mode.
  • FIG. 26 depicts a graph illustrative of the benefits of the choice of wavelengths for photoresist absorption. The graph illustrates that for wavelengths below 240 nm, the interference fringes disappear because the higher absorption constant eliminates multi-path reflection. Thus, the selection of the proper wavelength for use as an endpoint detection monitoring a vehicle for enhancing in-situ reflection transmission for endpoint and process monitoring. Reduced photomask interference facilitates accurate endpoint determination for low, open area applications, such as contact patterns. This method also facilitates acquiring measurement information of the actual process at specific areas, including discrete local areas, and is not limited to endpoint detection determined over a large area. This enables the process to be adjusted to tune the etch rate at specific locations. The use of deep UV monitoring signals benefits both reflection and transmission modes of endpoint detection. As such, these techniques can be extended for use on conventional wafer etching (top reflection), as well as photomask (top reflection, bottom reflection and bottom transmission) etching.
  • In another embodiment, endpoint hardware (cathode with multiple windows underneath the etching substrate (e.g., photomask)), multiple substrate detection locations can be used in conjunction with the substrate pattern to determine the substrate orientation. Once the substrate orientation is known, the existing process uniformity signature may be modified to improve the final etch performance. For example, if the substrate has been inserted in the chamber with a vertical orientation, and the etch pattern has a top-down component, the process can be dynamically changed to have more of a side-side component. This will result in improved etch uniformity. The process change can be performed by modifying part of the recipe while running. The process change could, for example, entail use of the dynamic phase adjustment or change in another processing variable and/or process knob. In another example, if there is a change in orientation between substrates, then the process may be adjusted to accommodate the change and provide between substrate uniformity.
  • An example processing sequence (for a photomask substrate) may include: A) providing a mask pattern having two openings on the left and right edges; B) providing an endpoint system set up to simultaneously collect data from window under the left edge and window under the top edge of the substrate; C) when the mask is inserted into the tool, the signals from the top and right locations are analyzed to determine which one is under an opening that is being etched; D) the recipe can be modified to accommodate the mask orientation for improved etch performance. Exemplary benefits of having additional substrate sensors coordinated with the mask pattern include, an endpoint can be performed despite etch orientation of the mask; signals from the two perimeter locations can be summed to form a robust endpoint signal; signals can be analyzed to determine which one matches the mask pattern and hence mask orientation; mask orientation can be used to modify the current recipe to produce an improved process result (e.g., better etch uniformity).
  • In one embodiment, an implementation may include A) new optical fiber bundle split 3-ways, which allows monitoring two locations in the cathode (of the existing 4 windows), as well as OES (optical emission spectroscopy) from a side window; B) The CCD endpoint system firmware enables simultaneous collection of 3 channels of information. This is accomplished by changing the mapping of the CCD pixels in the imaging spectrometer; D) three data streams are analyzed to decide which signal to use for endpoint (or to sum or otherwise combine the signals to form a robust endpoint); D) The new algorithm may feed back the mask orientation to the etch system, and the etch system (e.g. process recipe) may make a process change during the remainder of the etch to improve the final result.
  • This could be useful for wafer process applications if the wafer orientation is variable and process results can be improved by a recipe change based on the determined orientation.
  • In another embodiment, etch process uniformity may be determined by 1) comparing endpoint times from different spatial locations on the substrate being etched, and/or comparing etch rates from different spatial locations, and 2) adjusting the process accordingly.
  • The endpoint hardware (three-way optical fiber cable combined with the cathode with multiple windows underneath the etching substrate (e.g., photomask)), multiple detection locations can be used in conjunction with the substrate pattern to determine the process uniformity. If the monitoring shows some process non-uniformity, the process can be modified to improve the final etch performance.
  • For example, if the monitored etch pattern has a top-down component, the process or hardware can be changed to reduce the top-down component. This can be done as part of a chamber setup/startup process, or possibly in real time with the process adjusted during the remainder of the etch.
  • The number of locations monitored could be increased by adding a plurality of windows and a plurality of detectors. In practice, the existing CCD imaging spectrometer is limited to detecting three (maximum 7, with added noise) independent signals. If a second spectrometer is added (“Dual Spectrometer Endpoint”), then a total of six signals, one OES from the side of the chamber, and five under the mask, can be detected. A layout of five locations is useful to get basic top-down, side-side, and center-fast or center-slow process information. This information is also useful for wafer process applications if the wafer orientation is variable and process results can be improved by a recipe change based on the determined orientation of the substrate (e.g., photomask) relative to the substrate support.
  • Optical monitoring of etching may often be confused by signal arising from the mask layer etching, rather than that of the etch layer itself. By using a wavelength regime in which the masking layer is absorbing, such as deep UV for the case of photoresist masking layers, optical monitoring of etching is then determined by the layer being etched, and from its exposed area fraction. Optical interference effects from the thinning resist are then no longer present.
  • This embodiment may be described in two parts. The first part is the selection of an absorbing wavelength for the masking layer (<240 nm for the case of photoresist). The second part is the hardware improvement of increasing deep UV transmission in the optical system to provide the ability to choose deep UV wavelengths with good signal-to-noise performance. One hardware improvement is the use of a non-solarizing deep UV optical fiber with better transmission below 240 nm wavelength. Additionally or alternatively, the detector may be placed closer to the chamber to remove the requirement of the transmission-limiting fiber, or use free-space optics or hollow fiber-optics.
  • This method can be used to monitor reflection as well as transmission, so its use can also apply to absorbing substrates, transparent etching layers on absorbing substrates. The transmission and reflection modes can be used for wafers as well as photomask reticle.
  • Additional features of the invention are described in the attached appendix following the drawings.
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow. What is claimed is:

Claims (14)

1. A method for processing a substrate, comprising:
detecting an orientation of a patterned photomask reticle while disposed on a substrate support in a plasma etch chamber; and
selecting and/or altering an etch process in response to the detected orientation.
2. The method of claim 1, wherein detecting the orientation further comprises:
detecting the presence of a test pattern in a peripheral region of the photomask reticle.
3. The method of claim 2, wherein selecting and/or altering the etch process further comprises:
changing the etch process in a manner that rotates process results about 90 degrees.
4. The method of claim 2, wherein selecting and/or altering the etch process further comprises:
changing the process for the substrate being etched.
5. The method of claim 2, wherein detecting the orientation further comprises:
determining if the orientation of the reticle is different from an orientation of a previously etched reticle; and
compensating the etch process in response to the determination.
6. A method for processing a substrate, comprising:
placing a patterned photomask reticle on a substrate support in a plasma etch chamber;
prior to etching, detecting an orientation of the patterned photomask reticle while on the substrate support using at least one of a light passing through the photomask reticle or reflected from a surface of a layer comprising the photomask reticle;
selecting an etch process in response to the detected orientation; and
etching the photomask reticle using the etch process.
7. The method of claim 6, wherein detecting the orientation of the patterned photomask reticle further comprises:
passing optical signals to at least one detector, the optical signals collected through at least a first window and a second window, the first and second windows disposed in the substrate support below the photomask reticle and adjacent different edges of the photomask reticle.
8. The method of claim 6, wherein detecting the orientation of the patterned photomask reticle further comprises:
passing optical signals to at least one detector, the optical signals collected through a first edge window, a second edge window, a third edge window and a fourth edge window, the edge windows disposed in the substrate support below the photomask reticle and adjacent different edges of the photomask reticle.
9. The method of claim 7 further comprising:
determining a center etch rate from analyzing a signal collected below a center of the photomask reticle.
10. The method of claim 7 further comprising:
determining a difference in a center to edge etch rate from analyzing a signal collected below a center of the photomask reticle and signals collected through at least one of the first and second windows.
11. The method of claim 7 further comprising:
determining an etch rate profile from analyzing a signal collected below a center of the photomask reticle and signals collected through the edge windows.
12. The method of claim 6 further comprising:
determining an etch rate from analyzing OES information collected through a sidewall of the etch chamber.
13. The method of claim 6 further comprising:
determining an etch rate from analyzing OES information collected through a sidewall of the etch chamber and information optical information collected below the photomask reticle.
14. A method for processing a substrate, comprising:
placing a patterned substrate on a substrate support a plasma etch chamber;
etching a substrate; and
detecting an etching endpoint using a light having a wavelength absorbed by a layer disposed on the substrate.
US11/926,417 2006-10-30 2007-10-29 Endpoint detection for photomask etching Abandoned US20080176149A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/926,417 US20080176149A1 (en) 2006-10-30 2007-10-29 Endpoint detection for photomask etching

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US86349006P 2006-10-30 2006-10-30
US96932807P 2007-08-31 2007-08-31
US11/926,417 US20080176149A1 (en) 2006-10-30 2007-10-29 Endpoint detection for photomask etching

Publications (1)

Publication Number Publication Date
US20080176149A1 true US20080176149A1 (en) 2008-07-24

Family

ID=39641588

Family Applications (3)

Application Number Title Priority Date Filing Date
US11/926,482 Active 2030-02-26 US8092695B2 (en) 2006-10-30 2007-10-29 Endpoint detection for photomask etching
US11/926,417 Abandoned US20080176149A1 (en) 2006-10-30 2007-10-29 Endpoint detection for photomask etching
US11/926,278 Active 2030-10-23 US8158526B2 (en) 2006-10-30 2007-10-29 Endpoint detection for photomask etching

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/926,482 Active 2030-02-26 US8092695B2 (en) 2006-10-30 2007-10-29 Endpoint detection for photomask etching

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/926,278 Active 2030-10-23 US8158526B2 (en) 2006-10-30 2007-10-29 Endpoint detection for photomask etching

Country Status (1)

Country Link
US (3) US8092695B2 (en)

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020031814A1 (en) * 1997-06-18 2002-03-14 Brooks Juliana H.J. Spectral catalysts
US20090316749A1 (en) * 2008-06-23 2009-12-24 Matthew Fenton Davis Substrate temperature measurement by infrared transmission in an etch process
US20100224321A1 (en) * 2009-03-05 2010-09-09 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US20100230049A1 (en) * 2009-03-12 2010-09-16 Spp Process Technology Systems Uk Limited Apparatus for chemically etching a workpiece
US20100276391A1 (en) * 2009-03-05 2010-11-04 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US20140340721A1 (en) * 2013-05-14 2014-11-20 Pixart Imaging Inc. Optical detecting device and related method of synchronization adjustment
US20150311129A1 (en) * 2014-04-29 2015-10-29 Lam Research Corporation Systems and methods for detecting endpoint for through-silicon via reveal applications
US20160069745A1 (en) * 2014-09-05 2016-03-10 Bwt Property, Inc. Laser Induced Breakdown Spectroscopy (LIBS) Apparatus Based on High Repetition Rate Pulsed Laser
US20170053841A1 (en) * 2015-08-21 2017-02-23 Microchip Technology Incorporated Single-Wafer Real-Time Etch Rate and Uniformity Predictor For Plasma Etch Processes
US10119188B2 (en) * 2013-06-20 2018-11-06 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US10336656B2 (en) 2012-02-21 2019-07-02 Applied Materials, Inc. Ceramic article with reduced surface defect density
US10364197B2 (en) 2012-02-22 2019-07-30 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating
WO2020028105A1 (en) * 2018-07-31 2020-02-06 Tokyo Electron Limited Normal-incidence in-situ process monitor sensor
WO2020159747A1 (en) * 2019-01-28 2020-08-06 Mattson Technology, Inc. Air leak detection in plasma processing apparatus with separation grid
CN112213272A (en) * 2019-07-10 2021-01-12 中微半导体设备(上海)股份有限公司 Spectrum detection device, end point detection system and method
US10892145B2 (en) * 2018-11-22 2021-01-12 Samsung Electronics Co., Ltd. Substrate processing apparatus, substrate processing method, and method of fabricating semiconductor device using the same
US20220148862A1 (en) * 2020-11-12 2022-05-12 Applied Materials, Inc. Optical cable for interferometric endpoint detection
US20220246410A1 (en) * 2021-01-31 2022-08-04 Winbond Electronics Corp. Etching apparatus and etching method thereof
US20220333989A1 (en) * 2021-04-20 2022-10-20 Applied Materials, Inc. Spatial optical emission spectroscopy for etch uniformity
US20220349833A1 (en) * 2021-04-28 2022-11-03 Applied Materials, Inc. Multiple reflectometry for measuring etch parameters
US20220406586A1 (en) * 2017-03-31 2022-12-22 Verity Instruments, Inc. Multimode configurable spectrometer

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120132617A1 (en) * 2009-08-06 2012-05-31 Shibaura Mechatronics Corporation Plasma etching apparatus and plasma etching method
US8778204B2 (en) * 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
US9347132B2 (en) 2011-04-29 2016-05-24 Applied Materials, Inc. Optical endpoint detection system
US8908161B2 (en) * 2011-08-25 2014-12-09 Palo Alto Research Center Incorporated Removing aluminum nitride sections
US8956809B2 (en) 2012-08-03 2015-02-17 Applied Materials, Inc. Apparatus and methods for etching quartz substrate in photomask manufacturing applications
US9805939B2 (en) * 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US8900470B2 (en) * 2012-10-17 2014-12-02 Lam Research Corporation Differential measurements for endpoint signal enhancement
US9095952B2 (en) * 2013-01-23 2015-08-04 Applied Materials, Inc. Reflectivity measurements during polishing using a camera
US9305753B2 (en) * 2013-03-06 2016-04-05 Kla-Tencor Corporation Thickness change monitor wafer for in situ film thickness monitoring
US9640371B2 (en) * 2014-10-20 2017-05-02 Lam Research Corporation System and method for detecting a process point in multi-mode pulse processes
JP6560909B2 (en) * 2015-01-19 2019-08-14 株式会社日立ハイテクノロジーズ Plasma processing method and plasma processing apparatus
CN113675115A (en) 2015-05-22 2021-11-19 应用材料公司 Azimuth adjustable multi-zone electrostatic chuck
US9735069B2 (en) 2015-09-23 2017-08-15 Lam Research Corporation Method and apparatus for determining process rate
US10565701B2 (en) 2015-11-16 2020-02-18 Applied Materials, Inc. Color imaging for CMP monitoring
US11557048B2 (en) 2015-11-16 2023-01-17 Applied Materials, Inc. Thickness measurement of substrate using color metrology
EP3417478B1 (en) 2016-02-17 2022-04-06 Accustrata, Inc. System and method for monitoring atomic absorption during a surface modification process
TWI743176B (en) 2016-08-26 2021-10-21 美商應用材料股份有限公司 Method of obtaining measurement representative of thickness of layer on substrate, and metrology system and computer program product
US10302553B2 (en) 2017-08-30 2019-05-28 Lam Research Corporation Gas exhaust by-product measurement system
US10784174B2 (en) 2017-10-13 2020-09-22 Lam Research Corporation Method and apparatus for determining etch process parameters
US10943804B2 (en) * 2018-06-29 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Monitoring of process chamber
US11100628B2 (en) 2019-02-07 2021-08-24 Applied Materials, Inc. Thickness measurement of substrate using color metrology
KR20210006572A (en) 2019-07-08 2021-01-19 삼성전자주식회사 Vision sensor, a method for inspecting a semiconductor processing chamber using the same, and a method for manufacturing a semiconductor device using the same
CN113130280B (en) * 2019-12-31 2024-03-12 中微半导体设备(上海)股份有限公司 Light intensity monitoring and adjusting mechanism, adjusting method and plasma processing device
TWI750688B (en) * 2020-06-05 2021-12-21 漢辰科技股份有限公司 Light source monitoring apparatus

Citations (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4834831A (en) * 1986-09-08 1989-05-30 Research Development Corporation Of Japan Method for growing single crystal thin films of element semiconductor
US4993357A (en) * 1987-12-23 1991-02-19 Cs Halbleiter -Und Solartechnologie Gmbh Apparatus for atomic layer epitaxial growth
US5225366A (en) * 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5294286A (en) * 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
US5441703A (en) * 1987-06-30 1995-08-15 Aixtron Gmbh Gas inlet for a plurality of reactant gases into reaction vessel
US5443647A (en) * 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5483919A (en) * 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5503875A (en) * 1993-03-18 1996-04-02 Tokyo Electron Limited Film forming method wherein a partial pressure of a reaction byproduct in a processing container is reduced temporarily
US5711811A (en) * 1994-11-28 1998-01-27 Mikrokemia Oy Method and equipment for growing thin films
US5723234A (en) * 1995-02-28 1998-03-03 Dai Nippon Printing Co., Ltd. Phase shift photomask and phase shift photomask dry etching method
US5724144A (en) * 1995-02-14 1998-03-03 International Business Machines Corp. Process monitoring and thickness measurement from the back side of a semiconductor body
US5796116A (en) * 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
US5807792A (en) * 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US5855680A (en) * 1994-11-28 1999-01-05 Neste Oy Apparatus for growing thin films
US5866795A (en) * 1997-03-17 1999-02-02 Applied Materials, Inc. Liquid flow rate estimation and verification by direct liquid measurement
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5923056A (en) * 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US6015917A (en) * 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6015590A (en) * 1994-11-28 2000-01-18 Neste Oy Method for growing thin films
US6042652A (en) * 1999-05-01 2000-03-28 P.K. Ltd Atomic layer deposition apparatus for depositing atomic layer on multiple substrates
US6084302A (en) * 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
US6124158A (en) * 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6174809B1 (en) * 1997-12-31 2001-01-16 Samsung Electronics, Co., Ltd. Method for forming metal layer using atomic layer deposition
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6183563B1 (en) * 1998-05-18 2001-02-06 Ips Ltd. Apparatus for depositing thin films on semiconductor wafers
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6207487B1 (en) * 1998-10-13 2001-03-27 Samsung Electronics Co., Ltd. Method for forming dielectric film of capacitor having different thicknesses partly
US20010000866A1 (en) * 1999-03-11 2001-05-10 Ofer Sneh Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6231672B1 (en) * 1998-05-18 2001-05-15 Ips Ltd. Apparatus for depositing thin films on semiconductor wafer by continuous gas injection
US20010009695A1 (en) * 2000-01-18 2001-07-26 Saanila Ville Antero Process for growing metalloid thin films
US20010009140A1 (en) * 1999-05-10 2001-07-26 Niklas Bondestam Apparatus for fabrication of thin films
US6270572B1 (en) * 1998-08-07 2001-08-07 Samsung Electronics Co., Ltd. Method for manufacturing thin film using atomic layer deposition
US20010013312A1 (en) * 1999-12-28 2001-08-16 Soininen Pekka T. Apparatus for growing thin films
US20010014371A1 (en) * 1999-12-28 2001-08-16 Vaino Kilpi Apparatus for growing thin films
US20010024387A1 (en) * 1999-12-03 2001-09-27 Ivo Raaijmakers Conformal thin films over textured capacitor electrodes
US20020000598A1 (en) * 1999-12-08 2002-01-03 Sang-Bom Kang Semiconductor devices having metal layers as barrier layers on upper or lower electrodes of capacitors
US20020000196A1 (en) * 2000-06-24 2002-01-03 Park Young-Hoon Reactor for depositing thin film on wafer
US20020007790A1 (en) * 2000-07-22 2002-01-24 Park Young-Hoon Atomic layer deposition (ALD) thin film deposition equipment having cleaning apparatus and cleaning method
US20020009544A1 (en) * 1999-08-20 2002-01-24 Mcfeely F. Read Delivery systems for gases for gases via the sublimation of solid precursors
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6348376B2 (en) * 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US20020021544A1 (en) * 2000-08-11 2002-02-21 Hag-Ju Cho Integrated circuit devices having dielectric regions protected with multi-layer insulation structures and methods of fabricating same
US20020020869A1 (en) * 1999-12-22 2002-02-21 Ki-Seon Park Semiconductor device incorporated therein high K capacitor dielectric and method for the manufacture thereof
US6358829B2 (en) * 1998-09-17 2002-03-19 Samsung Electronics Company., Ltd. Semiconductor device fabrication method using an interface control layer to improve a metal interconnection layer
US6372598B2 (en) * 1998-06-16 2002-04-16 Samsung Electronics Co., Ltd. Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same
US20020048635A1 (en) * 1998-10-16 2002-04-25 Kim Yeong-Kwan Method for manufacturing thin film
US20020052097A1 (en) * 2000-06-24 2002-05-02 Park Young-Hoon Apparatus and method for depositing thin film on wafer using atomic layer deposition
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6399491B2 (en) * 2000-04-20 2002-06-04 Samsung Electronics Co., Ltd. Method of manufacturing a barrier metal layer using atomic layer deposition
US20020068458A1 (en) * 2000-12-06 2002-06-06 Chiang Tony P. Method for integrated in-situ cleaning and susequent atomic layer deposition within a single processing chamber
US20020066411A1 (en) * 2000-12-06 2002-06-06 Chiang Tony P. Method and apparatus for improved temperature control in atomic layer deposition
US6406924B1 (en) * 1998-04-17 2002-06-18 Applied Materials, Inc. Endpoint detection in the fabrication of electronic devices
US20020076837A1 (en) * 2000-11-30 2002-06-20 Juha Hujanen Thin films for magnetic device
US20020076481A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US20020076508A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Varying conductance out of a process region to control gas flux in an ALD reactor
US20020076507A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US20020073924A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US20020082296A1 (en) * 1997-03-03 2002-06-27 Adcock Ingram Limited A method for treating an immune disorder with a purified mycobacterial mycolic acid
US20020086106A1 (en) * 2000-11-07 2002-07-04 Park Chang-Soo Apparatus and method for thin film deposition
US6416822B1 (en) * 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416577B1 (en) * 1997-12-09 2002-07-09 Asm Microchemistry Ltd. Method for coating inner surfaces of equipment
US20020092471A1 (en) * 2001-01-17 2002-07-18 Samsung Electronics Co., Ltd. Semiconductor deposition apparatus and shower head
US20020098627A1 (en) * 2000-11-24 2002-07-25 Pomarede Christophe F. Surface preparation prior to deposition
US6428859B1 (en) * 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020106536A1 (en) * 2001-02-02 2002-08-08 Jongho Lee Dielectric layer for semiconductor device and method of manufacturing the same
US20020104481A1 (en) * 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US20020108570A1 (en) * 2000-04-14 2002-08-15 Sven Lindfors Method and apparatus of growing a thin film onto a substrate
US20030004723A1 (en) * 2001-06-26 2003-01-02 Keiichi Chihara Method of controlling high-speed reading in a text-to-speech conversion system
US20030013320A1 (en) * 2001-05-31 2003-01-16 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US20030031807A1 (en) * 1999-10-15 2003-02-13 Kai-Erik Elers Deposition of transition metal carbides
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US20030049942A1 (en) * 2001-08-31 2003-03-13 Suvi Haukka Low temperature gate stack
US20030072975A1 (en) * 2001-10-02 2003-04-17 Shero Eric J. Incorporation of nitrogen into high k dielectric film
US20030075925A1 (en) * 2001-07-03 2003-04-24 Sven Lindfors Source chemical container assembly
US20030075273A1 (en) * 2001-08-15 2003-04-24 Olli Kilpela Atomic layer deposition reactor
US20040165177A1 (en) * 2002-03-29 2004-08-26 Lam Research System and method of broad band optical end point detection for film change indication
US20040209477A1 (en) * 2003-04-18 2004-10-21 Applied Materials, Inc. Methods for substrate orientation
US6843881B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Detecting chemiluminescent radiation in the cleaning of a substrate processing chamber
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US20050082476A1 (en) * 1996-03-29 2005-04-21 Takashi Hiroi Electron beam inspection method and apparatus and semiconductor manufacturing method and its manufacturing line utilizing the same
US20050134834A1 (en) * 2003-12-23 2005-06-23 Davis Matthew F. Method and apparatus for performing limited area spectral analysis
US20050142991A1 (en) * 2003-12-19 2005-06-30 Hidetaka Nakao Substrate polishing apparatus
US20060035395A1 (en) * 2002-08-13 2006-02-16 Venugopal Vijayakumar C Process endpoint detection method using broadband reflectometry
US20060049139A1 (en) * 2004-08-26 2006-03-09 Tokyo Electron Limited Method and system for etching a gate stack
US20070075037A1 (en) * 2005-10-05 2007-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Dimension monitoring method and system
US20080179284A1 (en) * 2004-02-04 2008-07-31 Veeco Instruments Inc. Methods of operating an electromagnet of an ion source

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (en) 1974-11-29 1977-05-31 Sateko Oy PROCEDURE AND PERFORMANCE OF LAYING BETWEEN THE STORAGE IN A LABOR PACKAGE
FI57975C (en) 1979-02-28 1980-11-10 Lohja Ab Oy OVER ANCHORING VIDEO UPDATE FOR AVAILABILITY
JPH0824191B2 (en) 1989-03-17 1996-03-06 富士通株式会社 Thin film transistor
GB2237303A (en) 1989-10-28 1991-05-01 Services Tech Sedco Forex Method of quantitative analysis of drilling fluid products
US5225368A (en) * 1991-02-08 1993-07-06 The United States Of America As Represented By The United States Department Of Energy Method of producing strained-layer semiconductor devices via subsurface-patterning
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
US6159297A (en) 1996-04-25 2000-12-12 Applied Materials, Inc. Semiconductor process chamber and processing method
US5835677A (en) 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US5874786A (en) * 1997-03-20 1999-02-23 Space Systems/Loral, Inc. Quad spacecraft power bus systems
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (en) 1997-07-31 2000-10-16 윤종용 Integrate circuit device having buffer layer containing metal oxide stabilized by low temperature treatment and fabricating method thereof
KR100261017B1 (en) * 1997-08-19 2000-08-01 윤종용 Method for forming metal wiring of semiconductor device
KR100274603B1 (en) 1997-10-01 2001-01-15 윤종용 Method and apparatus for fabricating semiconductor device
US6153115A (en) * 1997-10-23 2000-11-28 Massachusetts Institute Of Technology Monitor of plasma processes with multivariate statistical analysis of plasma emission spectra
US6124157A (en) * 1998-03-20 2000-09-26 Cypress Semiconductor Corp. Integrated non-volatile and random access memory and method of forming the same
NL1009327C2 (en) 1998-06-05 1999-12-10 Asm Int Method and device for transferring wafers.
US6213055B1 (en) * 1998-12-21 2001-04-10 J. W. Pet Company Ergonomic handle for grooming brush
KR100331544B1 (en) 1999-01-18 2002-04-06 윤종용 Method for introducing gases into a reactor chamber and a shower head used therein
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
JP4291916B2 (en) 1999-05-24 2009-07-08 プレス工業株式会社 Toothed ring and method for forming convex teeth thereof
KR100319494B1 (en) 1999-07-15 2002-01-09 김용일 Apparatus for Deposition of thin films on wafers through atomic layer epitaxial process
KR20010017820A (en) 1999-08-14 2001-03-05 윤종용 Semiconductor device and manufacturing method thereof
DE10049257B4 (en) 1999-10-06 2015-05-13 Samsung Electronics Co., Ltd. Process for thin film production by means of atomic layer deposition
FI117942B (en) 1999-10-14 2007-04-30 Asm Int Process for making oxide thin films
JP3774094B2 (en) 1999-12-02 2006-05-10 株式会社日立製作所 Film thickness and processing depth measuring device and film forming method
JP2001176851A (en) 1999-12-15 2001-06-29 Matsushita Electric Ind Co Ltd Dry etching system and method for detecting end point of dry etching
KR100330749B1 (en) 1999-12-17 2002-04-03 서성기 Thin film deposition apparatus for semiconductor
KR100624903B1 (en) 1999-12-22 2006-09-19 주식회사 하이닉스반도체 Method of manufacturing a capacitor in a semiconductor device
JP4387022B2 (en) 2000-02-07 2009-12-16 東京エレクトロン株式会社 End point detection method
KR100378871B1 (en) 2000-02-16 2003-04-07 주식회사 아펙스 showerhead apparatus for radical assisted deposition
AU2001245388A1 (en) 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
JP4754757B2 (en) 2000-03-30 2011-08-24 東京エレクトロン株式会社 Method for adjusting plasma treatment of substrate, plasma treatment system, and electrode assembly
TW576873B (en) 2000-04-14 2004-02-21 Asm Int Method of growing a thin film onto a substrate
FI118805B (en) 2000-05-15 2008-03-31 Asm Int A method and configuration for introducing a gas phase reactant into a reaction chamber
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
KR100403611B1 (en) 2000-06-07 2003-11-01 삼성전자주식회사 Metal-insulator-metal capacitor and manufacturing method thereof
JP2002075880A (en) * 2000-09-01 2002-03-15 Sanyo Electric Co Ltd Method for forming nitride-based semiconductor layer and method for manufacturing nitride-based semiconductor device
US20020197402A1 (en) 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (en) 2000-12-06 2003-06-02 삼성전자주식회사 Method of forming thin film by atomic layer deposition
JP3924427B2 (en) 2000-12-14 2007-06-06 松下電器産業株式会社 Dry etching method and apparatus
FR2820549B1 (en) * 2001-02-08 2003-03-21 Inst Francais Du Petrole METHOD AND DEVICE FOR PRODUCING ELECTRICITY IN A FUEL CELL BY OXIDATION OF HYDROCARBONS FOLLOWED BY PARTICLE FILTRATION
FI109770B (en) 2001-03-16 2002-10-15 Asm Microchemistry Oy Growing transition metal nitride thin films by using compound having hydrocarbon, amino or silyl group bound to nitrogen as nitrogen source material
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
US20020144655A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
KR100363332B1 (en) 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US7495774B2 (en) * 2002-03-01 2009-02-24 Michigan Aerospace Corporation Optical air data system
US20040221957A1 (en) 2003-05-06 2004-11-11 Tokyo Electron Limited Method system and computer readable medium for monitoring the status of a chamber process

Patent Citations (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US5294286A (en) * 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
US4834831A (en) * 1986-09-08 1989-05-30 Research Development Corporation Of Japan Method for growing single crystal thin films of element semiconductor
US5441703A (en) * 1987-06-30 1995-08-15 Aixtron Gmbh Gas inlet for a plurality of reactant gases into reaction vessel
US4993357A (en) * 1987-12-23 1991-02-19 Cs Halbleiter -Und Solartechnologie Gmbh Apparatus for atomic layer epitaxial growth
US5225366A (en) * 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5281274A (en) * 1990-06-22 1994-01-25 The United States Of America As Represented By The Secretary Of The Navy Atomic layer epitaxy (ALE) apparatus for growing thin films of elemental semiconductors
US5483919A (en) * 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5503875A (en) * 1993-03-18 1996-04-02 Tokyo Electron Limited Film forming method wherein a partial pressure of a reaction byproduct in a processing container is reduced temporarily
US5443647A (en) * 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5796116A (en) * 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
US20020041931A1 (en) * 1994-11-28 2002-04-11 Tuomo Suntola Method for growing thin films
US5711811A (en) * 1994-11-28 1998-01-27 Mikrokemia Oy Method and equipment for growing thin films
US5855680A (en) * 1994-11-28 1999-01-05 Neste Oy Apparatus for growing thin films
US6015590A (en) * 1994-11-28 2000-01-18 Neste Oy Method for growing thin films
US5724144A (en) * 1995-02-14 1998-03-03 International Business Machines Corp. Process monitoring and thickness measurement from the back side of a semiconductor body
US5723234A (en) * 1995-02-28 1998-03-03 Dai Nippon Printing Co., Ltd. Phase shift photomask and phase shift photomask dry etching method
US6084302A (en) * 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
US20050082476A1 (en) * 1996-03-29 2005-04-21 Takashi Hiroi Electron beam inspection method and apparatus and semiconductor manufacturing method and its manufacturing line utilizing the same
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US20020031618A1 (en) * 1996-08-16 2002-03-14 Arthur Sherman Sequential chemical vapor deposition
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5923056A (en) * 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US5807792A (en) * 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US20020082296A1 (en) * 1997-03-03 2002-06-27 Adcock Ingram Limited A method for treating an immune disorder with a purified mycobacterial mycolic acid
US20010011526A1 (en) * 1997-03-03 2001-08-09 Kenneth Doering Processing chamber for atomic layer deposition processes
US5866795A (en) * 1997-03-17 1999-02-02 Applied Materials, Inc. Liquid flow rate estimation and verification by direct liquid measurement
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6348376B2 (en) * 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US6416577B1 (en) * 1997-12-09 2002-07-09 Asm Microchemistry Ltd. Method for coating inner surfaces of equipment
US6174809B1 (en) * 1997-12-31 2001-01-16 Samsung Electronics, Co., Ltd. Method for forming metal layer using atomic layer deposition
US6379748B1 (en) * 1998-01-23 2002-04-30 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6015917A (en) * 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6406924B1 (en) * 1998-04-17 2002-06-18 Applied Materials, Inc. Endpoint detection in the fabrication of electronic devices
US6231672B1 (en) * 1998-05-18 2001-05-15 Ips Ltd. Apparatus for depositing thin films on semiconductor wafer by continuous gas injection
US6183563B1 (en) * 1998-05-18 2001-02-06 Ips Ltd. Apparatus for depositing thin films on semiconductor wafers
US6372598B2 (en) * 1998-06-16 2002-04-16 Samsung Electronics Co., Ltd. Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same
US6270572B1 (en) * 1998-08-07 2001-08-07 Samsung Electronics Co., Ltd. Method for manufacturing thin film using atomic layer deposition
US6358829B2 (en) * 1998-09-17 2002-03-19 Samsung Electronics Company., Ltd. Semiconductor device fabrication method using an interface control layer to improve a metal interconnection layer
US6207487B1 (en) * 1998-10-13 2001-03-27 Samsung Electronics Co., Ltd. Method for forming dielectric film of capacitor having different thicknesses partly
US20020048635A1 (en) * 1998-10-16 2002-04-25 Kim Yeong-Kwan Method for manufacturing thin film
US20010002280A1 (en) * 1999-03-11 2001-05-31 Ofer Sneh Radical-assisted sequential CVD
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US20010000866A1 (en) * 1999-03-11 2001-05-10 Ofer Sneh Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6042652A (en) * 1999-05-01 2000-03-28 P.K. Ltd Atomic layer deposition apparatus for depositing atomic layer on multiple substrates
US20010009140A1 (en) * 1999-05-10 2001-07-26 Niklas Bondestam Apparatus for fabrication of thin films
US6124158A (en) * 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US20020009544A1 (en) * 1999-08-20 2002-01-24 Mcfeely F. Read Delivery systems for gases for gases via the sublimation of solid precursors
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US20030031807A1 (en) * 1999-10-15 2003-02-13 Kai-Erik Elers Deposition of transition metal carbides
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US20010024387A1 (en) * 1999-12-03 2001-09-27 Ivo Raaijmakers Conformal thin films over textured capacitor electrodes
US20020000598A1 (en) * 1999-12-08 2002-01-03 Sang-Bom Kang Semiconductor devices having metal layers as barrier layers on upper or lower electrodes of capacitors
US20020020869A1 (en) * 1999-12-22 2002-02-21 Ki-Seon Park Semiconductor device incorporated therein high K capacitor dielectric and method for the manufacture thereof
US6551406B2 (en) * 1999-12-28 2003-04-22 Asm Microchemistry Oy Apparatus for growing thin films
US20010013312A1 (en) * 1999-12-28 2001-08-16 Soininen Pekka T. Apparatus for growing thin films
US20010014371A1 (en) * 1999-12-28 2001-08-16 Vaino Kilpi Apparatus for growing thin films
US20010009695A1 (en) * 2000-01-18 2001-07-26 Saanila Ville Antero Process for growing metalloid thin films
US20020108570A1 (en) * 2000-04-14 2002-08-15 Sven Lindfors Method and apparatus of growing a thin film onto a substrate
US6399491B2 (en) * 2000-04-20 2002-06-04 Samsung Electronics Co., Ltd. Method of manufacturing a barrier metal layer using atomic layer deposition
US20020052097A1 (en) * 2000-06-24 2002-05-02 Park Young-Hoon Apparatus and method for depositing thin film on wafer using atomic layer deposition
US20020094689A1 (en) * 2000-06-24 2002-07-18 Park Young-Hoon Apparatus and method for depositing thin film on wafer using atomic layer deposition
US20020000196A1 (en) * 2000-06-24 2002-01-03 Park Young-Hoon Reactor for depositing thin film on wafer
US20020007790A1 (en) * 2000-07-22 2002-01-24 Park Young-Hoon Atomic layer deposition (ALD) thin film deposition equipment having cleaning apparatus and cleaning method
US20020021544A1 (en) * 2000-08-11 2002-02-21 Hag-Ju Cho Integrated circuit devices having dielectric regions protected with multi-layer insulation structures and methods of fabricating same
US20020086106A1 (en) * 2000-11-07 2002-07-04 Park Chang-Soo Apparatus and method for thin film deposition
US20020098627A1 (en) * 2000-11-24 2002-07-25 Pomarede Christophe F. Surface preparation prior to deposition
US20020076837A1 (en) * 2000-11-30 2002-06-20 Juha Hujanen Thin films for magnetic device
US20020104481A1 (en) * 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) * 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020066411A1 (en) * 2000-12-06 2002-06-06 Chiang Tony P. Method and apparatus for improved temperature control in atomic layer deposition
US20020068458A1 (en) * 2000-12-06 2002-06-06 Chiang Tony P. Method for integrated in-situ cleaning and susequent atomic layer deposition within a single processing chamber
US6416822B1 (en) * 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020076508A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Varying conductance out of a process region to control gas flux in an ALD reactor
US20020076507A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US20020076481A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US20020073924A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US20020092471A1 (en) * 2001-01-17 2002-07-18 Samsung Electronics Co., Ltd. Semiconductor deposition apparatus and shower head
US20020106536A1 (en) * 2001-02-02 2002-08-08 Jongho Lee Dielectric layer for semiconductor device and method of manufacturing the same
US20030013320A1 (en) * 2001-05-31 2003-01-16 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US20030004723A1 (en) * 2001-06-26 2003-01-02 Keiichi Chihara Method of controlling high-speed reading in a text-to-speech conversion system
US20030075925A1 (en) * 2001-07-03 2003-04-24 Sven Lindfors Source chemical container assembly
US20030075273A1 (en) * 2001-08-15 2003-04-24 Olli Kilpela Atomic layer deposition reactor
US20030049942A1 (en) * 2001-08-31 2003-03-13 Suvi Haukka Low temperature gate stack
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US20030072975A1 (en) * 2001-10-02 2003-04-17 Shero Eric J. Incorporation of nitrogen into high k dielectric film
US20040165177A1 (en) * 2002-03-29 2004-08-26 Lam Research System and method of broad band optical end point detection for film change indication
US6843881B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Detecting chemiluminescent radiation in the cleaning of a substrate processing chamber
US20060035395A1 (en) * 2002-08-13 2006-02-16 Venugopal Vijayakumar C Process endpoint detection method using broadband reflectometry
US20040209477A1 (en) * 2003-04-18 2004-10-21 Applied Materials, Inc. Methods for substrate orientation
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US20050142991A1 (en) * 2003-12-19 2005-06-30 Hidetaka Nakao Substrate polishing apparatus
US20050134834A1 (en) * 2003-12-23 2005-06-23 Davis Matthew F. Method and apparatus for performing limited area spectral analysis
US20080179284A1 (en) * 2004-02-04 2008-07-31 Veeco Instruments Inc. Methods of operating an electromagnet of an ion source
US20060049139A1 (en) * 2004-08-26 2006-03-09 Tokyo Electron Limited Method and system for etching a gate stack
US20070075037A1 (en) * 2005-10-05 2007-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Dimension monitoring method and system

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
FAURE, T et al. "Utilization of optical emission endpoint in photomask dry etch processing." Proc. SPIE Vol 4562, Mar 2002, p68-77. *
SMITH, B. et al. "Design and development of thin film materials for 157 nm and VUV wavelengths: APSM, binary masking, and optical coatings applications." Proc. SPIE Vol. 3676, Mar 1999, p 350-359. *

Cited By (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110073462A1 (en) * 1997-06-18 2011-03-31 Gr Intellectual Reserve, Llc Spectral Catalysts
US20020031814A1 (en) * 1997-06-18 2002-03-14 Brooks Juliana H.J. Spectral catalysts
US20090316749A1 (en) * 2008-06-23 2009-12-24 Matthew Fenton Davis Substrate temperature measurement by infrared transmission in an etch process
US9378930B2 (en) 2009-03-05 2016-06-28 Applied Materials, Inc. Inductively coupled plasma reactor having RF phase control and methods of use thereof
US20100276391A1 (en) * 2009-03-05 2010-11-04 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US20100224321A1 (en) * 2009-03-05 2010-09-09 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US9159599B2 (en) * 2009-03-12 2015-10-13 Spts Technologies Limited Apparatus for chemically etching a workpiece
US20100230049A1 (en) * 2009-03-12 2010-09-16 Spp Process Technology Systems Uk Limited Apparatus for chemically etching a workpiece
US10336656B2 (en) 2012-02-21 2019-07-02 Applied Materials, Inc. Ceramic article with reduced surface defect density
US11279661B2 (en) 2012-02-22 2022-03-22 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating
US10364197B2 (en) 2012-02-22 2019-07-30 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating
TWI502174B (en) * 2013-05-14 2015-10-01 Pixart Imaging Inc Optical detecting devcie and related method of synchronization adjustment
US9049333B2 (en) * 2013-05-14 2015-06-02 Pixart Imaging Inc. Optical detecting device and related method of synchronization adjustment
US20140340721A1 (en) * 2013-05-14 2014-11-20 Pixart Imaging Inc. Optical detecting device and related method of synchronization adjustment
US11680308B2 (en) 2013-06-20 2023-06-20 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US10119188B2 (en) * 2013-06-20 2018-11-06 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US11053581B2 (en) 2013-06-20 2021-07-06 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US10501843B2 (en) 2013-06-20 2019-12-10 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US20150311129A1 (en) * 2014-04-29 2015-10-29 Lam Research Corporation Systems and methods for detecting endpoint for through-silicon via reveal applications
US9543225B2 (en) * 2014-04-29 2017-01-10 Lam Research Corporation Systems and methods for detecting endpoint for through-silicon via reveal applications
TWI659482B (en) * 2014-04-29 2019-05-11 美商蘭姆研究公司 Systems and methods for detecting endpoint for through silicon-via reveal applications
US9909923B2 (en) * 2014-09-05 2018-03-06 Bwt Property, Inc. Laser induced breakdown spectroscopy (LIBS) apparatus based on high repetition rate pulsed laser
US20160069745A1 (en) * 2014-09-05 2016-03-10 Bwt Property, Inc. Laser Induced Breakdown Spectroscopy (LIBS) Apparatus Based on High Repetition Rate Pulsed Laser
CN107924803A (en) * 2015-08-21 2018-04-17 密克罗奇普技术公司 Single-chip real-time etching rate and uniformity fallout predictor for plasma etch process
WO2017035044A1 (en) * 2015-08-21 2017-03-02 Microchip Technology Incorporated Single-wafer real-time etch rate and uniformity predictor for plasma etch processes
US9953886B2 (en) * 2015-08-21 2018-04-24 Microchip Technology Incorporated Single-wafer real-time etch rate and uniformity predictor for plasma etch processes
US20170053841A1 (en) * 2015-08-21 2017-02-23 Microchip Technology Incorporated Single-Wafer Real-Time Etch Rate and Uniformity Predictor For Plasma Etch Processes
US20220406586A1 (en) * 2017-03-31 2022-12-22 Verity Instruments, Inc. Multimode configurable spectrometer
WO2020028105A1 (en) * 2018-07-31 2020-02-06 Tokyo Electron Limited Normal-incidence in-situ process monitor sensor
US10978278B2 (en) 2018-07-31 2021-04-13 Tokyo Electron Limited Normal-incident in-situ process monitor sensor
US10892145B2 (en) * 2018-11-22 2021-01-12 Samsung Electronics Co., Ltd. Substrate processing apparatus, substrate processing method, and method of fabricating semiconductor device using the same
US11039527B2 (en) 2019-01-28 2021-06-15 Mattson Technology, Inc. Air leak detection in plasma processing apparatus with separation grid
WO2020159747A1 (en) * 2019-01-28 2020-08-06 Mattson Technology, Inc. Air leak detection in plasma processing apparatus with separation grid
CN112213272A (en) * 2019-07-10 2021-01-12 中微半导体设备(上海)股份有限公司 Spectrum detection device, end point detection system and method
US20220148862A1 (en) * 2020-11-12 2022-05-12 Applied Materials, Inc. Optical cable for interferometric endpoint detection
US20220246410A1 (en) * 2021-01-31 2022-08-04 Winbond Electronics Corp. Etching apparatus and etching method thereof
US11443928B2 (en) * 2021-01-31 2022-09-13 Winbond Electronics Corp. Etching apparatus and etching method thereof
US20220333989A1 (en) * 2021-04-20 2022-10-20 Applied Materials, Inc. Spatial optical emission spectroscopy for etch uniformity
US11668602B2 (en) * 2021-04-20 2023-06-06 Applied Materials, Inc. Spatial optical emission spectroscopy for etch uniformity
US20220349833A1 (en) * 2021-04-28 2022-11-03 Applied Materials, Inc. Multiple reflectometry for measuring etch parameters
US11619594B2 (en) * 2021-04-28 2023-04-04 Applied Materials, Inc. Multiple reflectometry for measuring etch parameters
US11927543B2 (en) 2021-04-28 2024-03-12 Applied Materials, Inc. Multiple reflectometry for measuring etch parameters

Also Published As

Publication number Publication date
US20080261335A1 (en) 2008-10-23
US20090014409A1 (en) 2009-01-15
US8092695B2 (en) 2012-01-10
US8158526B2 (en) 2012-04-17

Similar Documents

Publication Publication Date Title
US8092695B2 (en) Endpoint detection for photomask etching
EP1926125B1 (en) Endpoint detection for photomask etching
US20080099435A1 (en) Endpoint detection for photomask etching
US20080099436A1 (en) Endpoint detection for photomask etching
US9142467B2 (en) Etch rate detection for anti-reflective coating layer and absorber layer etching
US8961804B2 (en) Etch rate detection for photomask etching
US8956809B2 (en) Apparatus and methods for etching quartz substrate in photomask manufacturing applications
US10453696B2 (en) Dual endpoint detection for advanced phase shift and binary photomasks
US11421977B2 (en) Eliminating internal reflections in an interferometric endpoint detection system
KR102410496B1 (en) Etch processing system having reflective endpoint detection
US20140024143A1 (en) System for in-situ film stack measurement during etching and etch control method
US20130130409A1 (en) Etch rate detection for reflective multi-material layers etching
US8778204B2 (en) Methods for reducing photoresist interference when monitoring a target layer in a plasma process
TWI828781B (en) Method and processing chamber for eliminating internal reflections in an interferometric endpoint detection system

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:GRIMBERGEN, MICHAEL;REEL/FRAME:020745/0888

Effective date: 20080107

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION