US20080169484A1 - Strained Transistor with Optimized Drive Current and Method of Forming - Google Patents

Strained Transistor with Optimized Drive Current and Method of Forming Download PDF

Info

Publication number
US20080169484A1
US20080169484A1 US11/849,798 US84979807A US2008169484A1 US 20080169484 A1 US20080169484 A1 US 20080169484A1 US 84979807 A US84979807 A US 84979807A US 2008169484 A1 US2008169484 A1 US 2008169484A1
Authority
US
United States
Prior art keywords
region
layer
edge
compressive
stress
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US11/849,798
Other versions
US8558278B2 (en
Inventor
Harry Chuang
Kong-Beng Thei
Wen-Huei Guo
Mong Song Liang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US11/849,798 priority Critical patent/US8558278B2/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHUANG, HARRY, GUO, WEN-HUEI, LIANG, MONG SONG, THEI, KONG-BENG
Priority to TW096147203A priority patent/TWI374541B/en
Publication of US20080169484A1 publication Critical patent/US20080169484A1/en
Application granted granted Critical
Publication of US8558278B2 publication Critical patent/US8558278B2/en
Expired - Fee Related legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer

Definitions

  • the present invention relates generally to semiconductor devices, particularly to strained MOS transistors, and more particularly to strained MOS transistors with a strained layer formed over source/drain and gate regions, where carrier mobility is enhanced in a channel region.
  • strained silicon technology is demonstrated to boost carrier mobility in a MOS transistor without narrowing channel length.
  • High-K (dielectric constant) gate dielectric is adopted to increase gate capacitance.
  • a metal-gate electrode is used to increase gate capacitance and, therefore, increase the device drive current.
  • a nonplanar device structure such as a FinFET transistor is developed to enable steeper channel-length scaling.
  • strained silicon technology has been demonstrated to significantly increase carrier mobility without adding much complexity into the existing manufacturing process.
  • strained silicon technology With strained silicon technology, a silicon atom in a MOS transistor is displaced in its lattice. The displacement significantly reconfigures the energy band structure in the silicon to accelerate the flow of electrons and holes, thus increasing device drive current.
  • Strain can be applied to a MOS transistor in different ways.
  • One way to develop strain in a MOS transistor is by selectively forming an epitaxial layer of SiGe (silicon germanium) at the source/drain regions of a conventional MOS transistor. Because the lattice constant of the SiGe is larger than that of Si, the channel region between the two SiGe source/drain is placed under compressive stress. This device configuration enhances hole mobility in the channel region, thus increasing the drive current of a PMOS device.
  • SiGe silicon germanium
  • a layer of silicon can be formed atop a relaxed SiGe layer. MOS transistors are then formed on the silicon layer. Due to the lattice constant mismatch between Si and SiGe, the Si layer is under constant biaxial, in-plane tensile strain. This device configuration has a benefit of enhancing the electron mobility in an NMOS device.
  • Strain can also be applied by forming a strained layer on a MOS transistor.
  • the strained layer is also generally referred to as a strain-induced layer, stress layer, contact etching stop (CES) layer, or CES trained layer.
  • CES contact etching stop
  • a silicon nitride film is deposited over a completed MOS transistor covering the source/drain regions, gate electrode and spacers. Because of the lattice spacing mismatch between the CES layer and underlying layer, an in-plane stress develops to match the spacing.
  • a CES layer thus formed may exhibit different film stress over a broad range, from tensile to compressive, by controlling the N—H, Si—H and Si—N bond ratios in the CES layer and optimizing deposition conditions such as power, temperature and pressure in the processing chamber. It has been revealed that in-plane tensile stress in the channel region enhances electron mobility, thus increasing drive current in an NMOS device, and compressive stress parallel to channel length direction can enhance hole mobility, thus improving PMOS device performance.
  • FIG. 1 illustrates a strained NMOS and PMOS device of prior art formed in proximity on a silicon substrate 1 .
  • Shallow trench isolations (STI) 10 are formed in the silicon substrate 1 to isolate the NMOS device from the PMOS device.
  • a tensile CES layer 14 formed atop the NMOS device introduces an in-plane tensile strain in the channel region 11 , and therefore improves the drive current of the NMOS device.
  • a compressive CES layer 16 formed atop the PMOS device introduces a compressive strain in the channel region 13 , and therefore improves the drive current of the PMOS device.
  • the improvement on drive current is influenced by CES layer parameters such as the level of stress, the layer thickness, and the layer dimension.
  • a semiconductor device formed in a substrate comprises an OD region, a gate region overlying the OD region having an gate electrode on top, a source and drain region formed on the opposite side of the gate region, substantially aligned with an edge of the gate region and the edges of the OD region, a compressive-stress layer having a first and second edge substantially conformally over the gate electrode and the OD region, wherein the space between a gate electrode edge and the first edge is greater than 0.4 um, wherein the space between an OD edge and the second edge is in the range of between about 60 nm to about 400 nm.
  • a semiconductor device formed in a semiconductor substrate comprises a first PMOS transistor formed in a P-type OD region with a first and second edge, having a first poly gate electrode overlying the P-type OD region, parallel to the first edge, an first NMOS transistor formed in an N-type OD region, having a second poly gate electrode overlying said N-type OD region, a first compressive-stress layer having a first and second edge substantially conformally over the first gate electrode and the P-type OD region, wherein the space between an edge of the first gate electrode and the first edge of the compressive-stress layer is greater than 0.4 um, wherein the space between the second P-type OD edge and the second edge of the compressive-stress layer is in the range of between about 60 nm to about 400 nm.
  • a PMOS transistor formed in a P-type active region (OD) in a semiconductor substrate comprises a gate region overlying the OD region having a poly gate electrode on the top, a source and drain region formed on the opposite side of the gate region, substantially aligned with an edge of the gate region and the edges of the OD region, a compressive-stress layer substantially conformally over the gate electrode and the OD region having a first and second edge, wherein the space between an edge of the gate electrode and the first edge of the compressive-stress layer is in the range of one to two times either P or G, whichever is larger, where P is the minimum design rule gate poly to P-type OD dimension, and G is the minimum design rule poly-to-poly spacing on an P-type OD region, wherein the space between an P-type OD edge and the second edge of the compressive-stress layer is in the range of between about one-third to about two-thirds of the sum of L and H, where L is the
  • An advantage of the preferred embodiments of the present invention is that it provides an optimized drive current increase in a CES strained PMOS device without adding complex processing steps. CES strained MOS transistors also exhibit improved drive current uniformity.
  • a further advantage of a preferred embodiment of the present invention is that the added process steps can be readily integrated into a known CMOS process flow. Moreover, the creation of the photo masks defining the tensile and compressive CES layers does not require rework on an existed design database, and no extra design rules are imposed on the design and layout engineers.
  • FIG. 1 is a cross-sectional view of a strained NMOS and PMOS device of prior art formed in proximity on a silicon substrate;
  • FIG. 2 illustrates the formation of a CES strained PMOS transistor P 1 in a preferred embodiment
  • FIG. 3A shows a cross sectional view of a PMOS transistor P 1 in a preferred embodiment with a CES strained layer formed on the top;
  • FIG. 3B illustrates a top view of the CES strained PMOS transistor P 1 shown in FIG. 3A ;
  • FIGS. 4A-4B illustrate the results from a wafer acceptance test (WAT) in obtaining the optimized range of ENx and ENy;
  • FIGS. 5A-5B illustrate that CES strained PMOS devices formed with optimized ENx and ENy also exhibit an improved drive current uniformity
  • FIGS. 6A-6B illustrates the quantitative relationship between optimized ENx, ENy and minimum design rule dimensions and spacings of a certain processing technology
  • FIG. 7 is a flow chart illustrating the steps of forming the CES layers in a CMOS manufacturing process in a preferred embodiment
  • FIGS. 8A-8H illustrate cross-sectional views corresponding to process steps as described in FIG. 7 ;
  • FIG. 9 shows a CES strained PMOS transistor P 1 in a preferred embodiment having a rotated orientation.
  • FIG. 2 illustrates the formation of a CES strained PMOS transistor P 1 in a preferred embodiment.
  • P 1 is formed in an N-well 2 , which is formed on a silicon substrate 1 .
  • P 1 is formed in a bulk N-type silicon substrate.
  • a substrate made of strained semiconductor, compound semiconductor, multi-layers semiconductor or silicon on insulator (SOI), strained silicon-on-insulator (SSOI), strained silicon germanium on insulator (S—SiGeOI), silicon germanium on insulator (SiGeOI), germanium on insulator (GeOI), and the like, can be used to form P 1 from therein.
  • SOI silicon on insulator
  • SiGeOI silicon germanium on insulator
  • GeOI germanium on insulator
  • Shallow trench isolations (STI) 10 are formed in the silicon substrate 1 to isolate P 1 from adjacent devices.
  • the STIs 10 are formed by etching shallow trenches in the silicon substrate 1 , and filling the trenches with an insulator such as silicon dioxide (SiO 2 ).
  • a gate dielectric 4 is deposited on the surface of the silicon substrate 1 .
  • the gate dielectric 4 may preferably be SiO 2 formed by any of the known methods, such as thermal oxidation, local oxidation of silicon (LOCOS), chemical vapor deposition (CVD), etc. Silicon nitride can also be used since it is an effective barrier to impurity diffusion.
  • the silicon nitride film is preferably formed by thermal nitridation of silicon. It can also be prepared by plasma anodic nitridation using nitrogen-hydrogen.
  • the silicon nitride film may also be formed by thermal nitridation of SiO 2 .
  • the gate dielectric may also be oxy-nitride, oxygen-containing dielectric, nitrogen-containing dielectric, high-k materials or any combinations thereof.
  • a gate electrode 6 is formed on gate dielectric 4 .
  • the gate electrode 6 is preferably polysilicon (referred to hereafter as “poly”), although it may be formed of metal, or a compound structure comprising a metal, semiconductor, metal oxide and/or silicide.
  • the preferred method of formation is CVD.
  • Other embodiments may use amorphous silicon, elemental metals that are conductive, alloys of elemental metals that are conductive, silicides or nitrides of elemental metals that are conductive, or any combination thereof.
  • the gate electrode 6 and gate dielectric 4 are deposited as layers and then patterned to form a gate.
  • a pair of spacers 8 is formed along the sidewalls of the gate dielectric 4 and gate electrode 6 .
  • spacers 8 are preferably formed by blanket depositing a dielectric layer over an entire region, then, anisotropically etching to remove the dielectric layer from horizontal surfaces, thus leaving spacers 8 .
  • FIG. 2 illustrates rectangular spacers.
  • the source/drain regions 12 are formed by implanting P-type impurities, such as boron, into the N-well 2 .
  • the spacers 8 are used as a mask so that edges of the source/drain regions 12 are substantially aligned with the spacers 8 .
  • Gate electrode 6 is preferably also implanted to reduce sheet resistance.
  • the source/drain regions 12 are formed by recessing the source/drain regions 12 followed by epitaxially growing silicon, SiGe, or SiC in the recesses with a desired dopant. This structure provides a compressive stress to the channel of the PMOS device and enhances hole mobility.
  • source/drain regions 12 are formed by expitaxially growing silicon, SiGe, or SiC with desired dopant on the top surface of the silicon substrate 1 .
  • a SiO 2 film is formed in regions where no source and drain is to be formed.
  • the subsequently deposited epitaxial film on the SiO 2 film can be removed.
  • epitaxial film is grown.
  • an optionally silicide layer may be formed on the top of gate electrode 6 and source/drain regions 12 by a silicide process.
  • the silicide is preferably NiSi 2 , CoSi 2 , TiSi 2 , or the like.
  • a thin layer of metal such as cobalt, nickel, titanium, or the like, is sputtered over the device. The device is then annealed to form a silicide between the deposited metal and the underlying exposed silicon regions. Un-reacted metal is removed by an etch process.
  • a strain-inducing layer 14 is formed.
  • this layer is preferably a CES layer and is interchangeably referred to as CES layer 14 throughout the description, it can be any strained layer or layers, even if the layer does not perform an etch stop function.
  • the strain-inducing layer 14 may also be a composite layer comprising a CES layer and other layers. As previously discussed, this layer is strained in order to enhance the device performance.
  • the types and strengths of the strain also sometimes referred to as stress, are determined by the deposition process and material used.
  • a strained material if a strained material has a smaller lattice constant than the underlying material, the strained material will have an inherent compressive strain and the underlying material will have an inherent tensile strain after relaxation. Conversely, if a strained material has a greater lattice constant than the underlying material, the strained material will have an inherent tensile strain and the underlying material will have an inherent compressive strain after relaxation.
  • CES layer 14 is preferably formed of materials such as silicon nitride, oxynitride, oxide, silicon germanium or any combinations, generating compressive strain in the PMOS channel region.
  • a strained layer may be formed of materials such as silicon nitride, oxynitride, oxide, SiC, SiCN, CoSi 2 (Co silicide), NiSi 2 (Ni silicide), or any combinations, to create tensile strain in the channel region of an NMOS device.
  • the type and magnitude of the strain are affected by the relative properties of the CES layer 14 and the underlying material.
  • Strain can also be adjusted by the type and concentration of impurities in the underlying material, which includes forming an epitaxial layer (not shown) in the source/drain regions 12 .
  • an epitaxial layer of silicon germanium is formed in the silicon source/drain regions 12 , which typically increases the material's lattice constant (because germanium has a larger lattice constant). This structure provides a compressive stress to the channel of the PMOS device and enhances hole mobility.
  • a silicon carbon epitaxial layer is formed in the silicon source/drain regions of an NMOS transistor, which typically decreases the material's lattice constant (because carbon has a smaller lattice constant). This structure provides a tensile stress to the channel of the NMOS device and enhances electron mobility.
  • a CES layer 14 comprises a dielectric material.
  • a CES layer 14 comprises semiconductors, metals, and combinations thereof.
  • the CES layer 14 may also be in the form of a single layer or composite layers. An advantageous feature of such material, as explained herein, is that these materials have an inherent stress when deposited, which induces a strain in the underlying material.
  • the CES layer 14 has a thickness from about 5 nm to about 500 nm.
  • FIG. 3B illustrates a top view of the strained PMOS transistor P 1 as shown in FIG. 3A .
  • the CES layer 14 is formed over the active region, which includes the source/drain regions 12 and channel region 11 .
  • An active region defines the dimension of a MOS transistor and is hereafter referred to as an “OD” region.
  • the CES layer 14 may also cover the N-well region (as shown in FIG. 3A ).
  • a photo mask named PILD is used to pattern the CES layer 14 .
  • ENx the horizontal distance between the edge of poly gate 6 and the edge of CES layer 14 is referred to hereinafter as ENx.
  • a CES layer 14 having an ENx and ENy in a specific range can lead to an optimized PMOS drive current increase and an improved drive current uniformity, when compared with a CES strained MOS transistor, where no limitation is imposed on ENx and ENy.
  • a CES strained PMOS device without limitations on the CES layer dimension is from hereinafter referred to as a baseline PMOS device.
  • the values of an optimized range of ENx and ENy corresponding to a technology generation are obtained through a wafer acceptance test (WAT) on a plurality of CES strained core transistors, such as that shown in FIG. 3B .
  • a core transistor has a generic MOS transistor configuration where a single poly gate is formed over an OD region.
  • a core transistor is so called herein to distinguish itself from a MOS transistor with more complex structures, such as one with multiple poly gate fingers, and one with a single poly gate and multiple dummy poly fingers on an OD region.
  • a plurality of CES strained PMOS core transistors are formed on a silicon wafer scribe line. These transistors have a minimum channel length allowable by the specific technology generation (sometimes referred to as transistors with “on-rule” channel length) and channel width of various values.
  • a plurality of CES strained PMOS core transistors are provided, having a channel length of 65 nm and channel width (W) of 1 ⁇ m, 0.6 ⁇ m, and 0.14 ⁇ m. These transistors also have a fixed ENy of about 70 nm and ENx of various dimensions.
  • FIG. 4A shows the results from the WAT test.
  • the plotting has an ENx on the horizontal coordinate and scaled Idsat improvement on the vertical coordinate, both in linear scale.
  • Lines 20 , 22 , 24 illustrate the scaled drive current improvement upon a baseline PMOS device as a function of ENx.
  • Lines 20 , 22 , and 24 correspond to transistors having a channel width of 1 ⁇ m, 0.6 ⁇ m, and 0.14 ⁇ m, respectively. It is shown that, significant increase of Idsat in the channel region is obtained when ENx reaches 0.4 ⁇ m.
  • ENx increases, Idsat in the channel region continues to increase.
  • ENx reaches about 1.8 ⁇ m, maximum Idsat increase is obtained on PMOS transistors with various channel width. Idsat remains substantially unchanged when ENx continues to increase.
  • FIG. 4B shows results obtained from measuring the drive current with different ENy values.
  • the plotting has an ENy on the horizontal coordinate in logarithmic scale and drive current (Idsat) improvement on the vertical coordinate in linear scale.
  • Lines 26 , 28 , and 30 correspond to transistors used to form lines 20 , 22 and 24 in FIG. 4A . It is shown that, significant increase of Idsat in the channel region is obtained when ENy reaches 60 nm. When ENy increases, Idsat in the channel region continues to increase. The drive current Idsat maxes out when ENy reaches about 200 nm. Idsat drops significantly, however, when ENy exceeds 400 nm.
  • FIGS. 5A-5B illustrate that CES strained PMOS devices formed with optimized ENx and ENy also exhibit an improved uniformity (scaled increase) among the enhanced drive currents.
  • a PMOS transistor P 1 having a single poly gate 6 is formed over an OD region.
  • P 1 has a gate length of 0.14 ⁇ m and gate width of 0.4 ⁇ m.
  • the poly to OD dimension is 0.5 ⁇ m.
  • a first plurality of PMOS device samples having the foregoing dimension is provided.
  • a compressive CES 14 with desired ENx and ENy of about 0.7 ⁇ m and 70 nm is formed on each PMOS device sample, covering the OD region. Idsat is measured on each sample and plotted as square points in FIG. 5B .
  • the vertical axis of FIG. 5B represents the cumulative percentage, which is used to illustrate the distribution of measured drive current Idsat.
  • the solid diamonds in FIG. 5B are Idsat values measured on a second plurality of counterpart prior art CES strained PMOS devices, without constraints on the dimensions of ENx and ENy. It can be seen from FIG. 5B that Idsat from the embodied CES strained PMOS devices has an Idsat distribution of from about 480 ⁇ A/ ⁇ m to about 550 ⁇ A/ ⁇ m, while Idsat from the prior art CES strained devices has a distribution of from about 450 ⁇ A/ ⁇ m to about 580 ⁇ A/ ⁇ m.
  • the Idsat uniformity (the quotient of standard deviation over mean value) is enhanced from about 7% to about 4%.
  • the same trend has been observed through similar comparisons on PMOS devices of various configurations, such as PMOS with multiply poly fingers, PMOS with multiply dummy poly fingers formed over OD region, foregoing PMOS structures with various poly pitches.
  • FIGS. 6A-6B illustrates the quantitative relationship between optimized ENx, ENy and minimum design rule dimensions and spacings of a certain processing technology. These limitations were obtained through wafer acceptance tests (WAT) similar to those described above and have been adopted in the embodied manufacturing processes as described below. It is revealed from FIG. 6A that an optimized ENx corresponding to an optimized drive current increase and optimized drive current uniformity is in the range of one to two times either P or G, whichever is larger, where P is the minimum gate poly to P-type OD dimension, and G is the minimum poly-to-poly spacing on an P-type OD region. It is revealed from FIG.
  • an ENy leading to an optimized drive current increase and optimized drive current uniformity lies in the range of between about one-third to about two-thirds of the sum of L and H, where L is the minimum P-type OD to N-well boundary dimension and H is the minimum spacing between an N-type OD and an N-well.
  • FIG. 7 is a flow chart illustrating the steps of forming the CES layers in a CMOS manufacturing process in a preferred embodiment.
  • FIGS. 8A-8F illustrate cross-sectional views after each processing step described in FIG. 7 .
  • one PMOS device P 1 adjacent to an NMOS device N 1 is shown in each cross-sectional view. It should be understood that a processing step applied on P 1 applies to all PMOS devices on the substrate, and a processing step applied on N 1 applies to all NMOS devices on the substrate.
  • FIG. 8A shows a portion of an initial substrate where PMOS device P 1 and NMOS device N 1 have been formed in semiconductor substrate 2 through a known CMOS manufacturing process.
  • P 1 and N 1 have a source/drain region 12 p , 12 n , and gate region 6 p and 6 n , respectively.
  • STIs 10 are used to isolation P 1 and N 1 .
  • a tensile CES layer 16 is formed over the substrate, aiming to create tensile strain in the channel region 13 of N 1 .
  • Layer 16 is made of materials such as silicon nitride, oxynitride, oxide, SiC, SiCN, CoSi 2 (Co silicide), NiSi 2 (Ni silicide), or any combinations.
  • CES layer 16 has a thickness of from about 5 nm to about 500 nm.
  • the substrate after STEP 11 is shown in FIG. 8B .
  • a photo mask NILD is developed and a photolithography process is conducted to pattern the CES layer 16 over NMOS device N 1 with desired ENx and ENy.
  • the desired ENx and ENy values for an NMOS device are provided to an automatic photo mask generating process (also known as “logical operation process” in the art).
  • an automatic photo mask generating process also known as “logical operation process” in the art.
  • layout information of N-TYPE OD regions, P-well regions, and poly regions on a substrate which is usually included in the finished design database provided by layout designers of an IC product, as known in the art.
  • the logical operation process will first identify the N-TYPE OD regions on the substrate, where NMOS devices are formed. The logical operation process will then identify the poly regions 6 n on the foregoing N-TYPE OD regions. Subsequently, the logical operation process will create a photolithography pattern, such that the distance between its horizontal edge and the N-TYPE OD edge is ENy, and the distance from its vertical edge to a gate poly 6 n edge is ENx.
  • Other operations carried out by the logical operation process include merging two CES layer patterns of same stress type having overlapping edges, merging two adjacent CES layer patterns of same stress type when the space between their edges is less than a pre-determined distance.
  • optical proximity correction may be employed to take into account the errors introduced by photolithography system, as known to those skilled in the art.
  • Known photolithography and etch processes are used to pattern CES layer 16 on N 1 .
  • a result is shown in FIG. 8C in both cross-sectional and top view.
  • a compressive CES layer 14 is formed over the substrate, aiming to create compressive strain in the channel region 11 of PMOS transistor P 1 .
  • CES layer 14 is made of materials such as silicon nitride, oxynitride, oxide, silicon germanium or any combinations.
  • CES layer 14 has a thickness of about the same as that of layer 16 . In other embodiments, the thickness of CES layer 14 may be substantially different from that of CES layer 16 , in order to balance the drive current between P 1 and N 1 .
  • the substrate after STEP 13 is shown in FIG. 8D .
  • a photo mask PILD is developed and a photolithography process is conducted to pattern the CES layer 14 over PMOS devices with desired ENx′ and ENy′.
  • the desired ENx′ and ENy′ values corresponding to optimized PMOS performance are provided to a logical operation process, together with layout information of P-TYPE OD regions, N-well regions, and poly regions on a substrate.
  • the logical operation process will first identify the P-TYPE OD regions on the substrate, where PMOS devices are formed.
  • the logical operation process will then identify the poly regions 6 p on the foregoing P-TYPE OD regions.
  • the logical operation process will create a photolithography pattern, such that the distance between its horizontal edge and the P-TYPE OD edge is ENy′, and the distance from its vertical edge to a gate poly edge is ENx′.
  • mask layer PILD is developed, known photolithography and etch processes are used to pattern the CES layer 14 on the P 1 .
  • FIG. 8E A result is shown in FIG. 8E in both cross-sectional and top view.
  • CMOS manufacturing processes can continue from this point by, for example, cutting contact openings through the ILD where contacts to source/drain regions 12 p , 12 n and gate electrodes 6 p , 6 n are needed.
  • the CES layers formed on substrate 2 surface may have the following lateral configuration. Between MOS transistors of same conductivity type, the adjacent CES layers may be in a tensile-ILD-tensile or compressive-ILD-compressive configuration. Between MOS transistors of opposite conductivity type, the adjacent CES layers have a tensile-ILD-compressive configuration.
  • a tensile film 14 ′ or a compressive film 16 ′ may be formed filling the lateral space between adjacent CES layers on substrate 2 surface in order to balance the stresses in the CES layers 14 and 16 , thus reaching a desired drive current balance between adjacent NMOS and PMOS devices.
  • a plurality of PMOS and a plurality of NMOS transistors are formed on a semiconductor substrate through the processes described above.
  • Each of the PMOS and NMOS transistors is covered by a compressive CES layer and a tensile CES layer, respectively, with desired ENx and ENy.
  • the CES layer configurations between adjacent MOS devices may include tensile-compressive-tensile, compressive-tensile-compressive, tensile-tensile-tensile, compressive-compressive-compressive, tensile-compressive-compressive, and compressive-tensile-tensile.
  • FIG. 8G Various CES layer configurations between adjacent MOS devices are shown in FIG. 8G .
  • a PILD photo mask is first developed by a logical operation process described above to pattern compressive CES layer 14 for optimized PMOS performance.
  • the NILD photo mask is then developed as the reverse of the PILD mask, thus eliminating the cost of creating a dedicated NILP mask.
  • CES layers cover the entire substrate, as shown in FIG. 8H .
  • This CES layer configuration casts little negative impact on NMOS device performance, because, as described earlier, an NMOS device is less sensitive to ENx and ENy of a tensile CES layer 16 formed thereon. Instead, CES layers thus formed alleviate reliability concerns created by previous embodiments, such as voids formed between adjacent CES layer edges, film edge peeling during subsequent process steps.
  • a single photo mask PILD is developed by a logical operation process to pattern compressive CES layer 14 for optimized PMOS performance.
  • the same mask layer is applied a second time on negative photoresist to pattern tensile CES layer 16 .
  • An NILD photo mask is not necessary, thus further reducing the cost of mask development.
  • the preferred embodiments improve device performance without adding complex processing steps. Moreover, the added process steps can be readily integrated into a known CMOS process flow. Furthermore, the creation of NILD and PILD photo masks does not require additional works or changes on an existed design database. Optimized ENx and ENy corresponding to a certain technology node apply to all design projects developed using the same technology. No extra design rules are imposed on design and layout engineers.
  • a CES strained PMOS transistor P 1 is rotated from the orientation of the previous embodiments such that the opposing edges of poly gate 6 , P-TYPE OD region and the CES strained layers 14 are not aligned with the horizontal and vertical direction.
  • ENx is the shortest distance between the edge of poly gate 6 and the edge of strained layer 14
  • ENy is the shortest distance between the P-TYPE OD edge and the edge of strained layer 14 .

Abstract

A strain-induced layer is formed atop a MOS device in order to increase carrier mobility in the channel region. The dimension of the strain-induced layer in preferred embodiments may lead to an optimized drive current increase and improved drive current uniformity in an NMOS and PMOS device. An advantage of the preferred embodiments is that improved device performance is obtained without adding complex processing steps. A further advantage of the preferred embodiments is that the added processing steps can be readily integrated into a known CMOS process flow. Moreover, the creation of the photo masks defining the tensile and compressive strain-induced layers does not require extra design work on an existed design database.

Description

  • This application claims the benefit of U.S. Provisional Application No. 60/880,563, filed on Jan. 16, 2007, entitled “Dual Contact Etching Stop Layer Scheme for Advanced Device Control,” which application is hereby incorporated herein by reference.
  • TECHNICAL FIELD
  • The present invention relates generally to semiconductor devices, particularly to strained MOS transistors, and more particularly to strained MOS transistors with a strained layer formed over source/drain and gate regions, where carrier mobility is enhanced in a channel region.
  • BACKGROUND
  • While the scaling down of the device dimensions in a semiconductor integrated circuit continues, maintaining high drive current at scaled voltages and smaller gate dimensions becomes more important. Device drive current is closely related to gate length, gate capacitance, and carrier mobility. Different technology innovations have been made to address this issue. For example, strained silicon technology is demonstrated to boost carrier mobility in a MOS transistor without narrowing channel length. High-K (dielectric constant) gate dielectric is adopted to increase gate capacitance. A metal-gate electrode is used to increase gate capacitance and, therefore, increase the device drive current. A nonplanar device structure such as a FinFET transistor is developed to enable steeper channel-length scaling. Among these efforts, strained silicon technology has been demonstrated to significantly increase carrier mobility without adding much complexity into the existing manufacturing process.
  • With strained silicon technology, a silicon atom in a MOS transistor is displaced in its lattice. The displacement significantly reconfigures the energy band structure in the silicon to accelerate the flow of electrons and holes, thus increasing device drive current. Strain can be applied to a MOS transistor in different ways. One way to develop strain in a MOS transistor is by selectively forming an epitaxial layer of SiGe (silicon germanium) at the source/drain regions of a conventional MOS transistor. Because the lattice constant of the SiGe is larger than that of Si, the channel region between the two SiGe source/drain is placed under compressive stress. This device configuration enhances hole mobility in the channel region, thus increasing the drive current of a PMOS device. Conversely, a layer of silicon can be formed atop a relaxed SiGe layer. MOS transistors are then formed on the silicon layer. Due to the lattice constant mismatch between Si and SiGe, the Si layer is under constant biaxial, in-plane tensile strain. This device configuration has a benefit of enhancing the electron mobility in an NMOS device.
  • Strain can also be applied by forming a strained layer on a MOS transistor. The strained layer is also generally referred to as a strain-induced layer, stress layer, contact etching stop (CES) layer, or CES trained layer. In forming a CES layer, a silicon nitride film is deposited over a completed MOS transistor covering the source/drain regions, gate electrode and spacers. Because of the lattice spacing mismatch between the CES layer and underlying layer, an in-plane stress develops to match the spacing. A CES layer thus formed may exhibit different film stress over a broad range, from tensile to compressive, by controlling the N—H, Si—H and Si—N bond ratios in the CES layer and optimizing deposition conditions such as power, temperature and pressure in the processing chamber. It has been revealed that in-plane tensile stress in the channel region enhances electron mobility, thus increasing drive current in an NMOS device, and compressive stress parallel to channel length direction can enhance hole mobility, thus improving PMOS device performance.
  • FIG. 1 illustrates a strained NMOS and PMOS device of prior art formed in proximity on a silicon substrate 1. Shallow trench isolations (STI) 10 are formed in the silicon substrate 1 to isolate the NMOS device from the PMOS device. A tensile CES layer 14 formed atop the NMOS device introduces an in-plane tensile strain in the channel region 11, and therefore improves the drive current of the NMOS device. A compressive CES layer 16 formed atop the PMOS device introduces a compressive strain in the channel region 13, and therefore improves the drive current of the PMOS device. Although it is observed that the improvement on drive current is influenced by CES layer parameters such as the level of stress, the layer thickness, and the layer dimension. Little is revealed from prior art on how and in what manner these parameters affect the drive current in each type of MOS transistors. This situation has kept the current CES strained silicon technique more of a rule of thumb approach, where little can be done on device and process parameters to obtain an optimized increase on the device drive current. Moreover, in the prior art CES strained MOS transistors, the uniformity between enhanced MOS transistor drive currents is poor and scaled increase in drive current is difficult to achieve. This may result in detrimental effects in an integrated circuit such as skewed switching threshold, deteriorated noise margin, increased device time delay, and even a collapse of logic.
  • In view of these and other problems in the prior CES strain efforts to enhance carrier mobility and improve device performance, there is a need for a method of obtaining an optimized drive current increase with desired uniformity by fine tuning CES layer parameters in advanced MOS transistors.
  • SUMMARY OF THE INVENTION
  • These and other problems are generally solved or circumvented, and technical advantages are generally achieved, by preferred embodiments of the present invention which provide a CES strained NMOS and PMOS devices having CES layer dimensions in a specific range, which can lead to an optimized drive current increase and improved drive current uniformity in an NMOS and PMOS device, and method of forming.
  • In accordance with one preferred embodiment of the present invention, a semiconductor device formed in a substrate comprises an OD region, a gate region overlying the OD region having an gate electrode on top, a source and drain region formed on the opposite side of the gate region, substantially aligned with an edge of the gate region and the edges of the OD region, a compressive-stress layer having a first and second edge substantially conformally over the gate electrode and the OD region, wherein the space between a gate electrode edge and the first edge is greater than 0.4 um, wherein the space between an OD edge and the second edge is in the range of between about 60 nm to about 400 nm.
  • In accordance with another preferred embodiment of the present invention, a semiconductor device formed in a semiconductor substrate comprises a first PMOS transistor formed in a P-type OD region with a first and second edge, having a first poly gate electrode overlying the P-type OD region, parallel to the first edge, an first NMOS transistor formed in an N-type OD region, having a second poly gate electrode overlying said N-type OD region, a first compressive-stress layer having a first and second edge substantially conformally over the first gate electrode and the P-type OD region, wherein the space between an edge of the first gate electrode and the first edge of the compressive-stress layer is greater than 0.4 um, wherein the space between the second P-type OD edge and the second edge of the compressive-stress layer is in the range of between about 60 nm to about 400 nm.
  • In accordance with yet another preferred embodiment of the present invention, a PMOS transistor formed in a P-type active region (OD) in a semiconductor substrate comprises a gate region overlying the OD region having a poly gate electrode on the top, a source and drain region formed on the opposite side of the gate region, substantially aligned with an edge of the gate region and the edges of the OD region, a compressive-stress layer substantially conformally over the gate electrode and the OD region having a first and second edge, wherein the space between an edge of the gate electrode and the first edge of the compressive-stress layer is in the range of one to two times either P or G, whichever is larger, where P is the minimum design rule gate poly to P-type OD dimension, and G is the minimum design rule poly-to-poly spacing on an P-type OD region, wherein the space between an P-type OD edge and the second edge of the compressive-stress layer is in the range of between about one-third to about two-thirds of the sum of L and H, where L is the minimum P-type OD to N-well boundary dimension and H is the minimum spacing between an N-type OD and an N-well boundary.
  • An advantage of the preferred embodiments of the present invention is that it provides an optimized drive current increase in a CES strained PMOS device without adding complex processing steps. CES strained MOS transistors also exhibit improved drive current uniformity.
  • A further advantage of a preferred embodiment of the present invention is that the added process steps can be readily integrated into a known CMOS process flow. Moreover, the creation of the photo masks defining the tensile and compressive CES layers does not require rework on an existed design database, and no extra design rules are imposed on the design and layout engineers.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • For a more complete understanding of the present invention, and the advantages thereof, reference is now made to the following descriptions taken in conjunction with the accompanying drawings, in which:
  • FIG. 1 is a cross-sectional view of a strained NMOS and PMOS device of prior art formed in proximity on a silicon substrate;
  • FIG. 2 illustrates the formation of a CES strained PMOS transistor P1 in a preferred embodiment;
  • FIG. 3A shows a cross sectional view of a PMOS transistor P1 in a preferred embodiment with a CES strained layer formed on the top;
  • FIG. 3B illustrates a top view of the CES strained PMOS transistor P1 shown in FIG. 3A;
  • FIGS. 4A-4B illustrate the results from a wafer acceptance test (WAT) in obtaining the optimized range of ENx and ENy;
  • FIGS. 5A-5B illustrate that CES strained PMOS devices formed with optimized ENx and ENy also exhibit an improved drive current uniformity;
  • FIGS. 6A-6B illustrates the quantitative relationship between optimized ENx, ENy and minimum design rule dimensions and spacings of a certain processing technology;
  • FIG. 7 is a flow chart illustrating the steps of forming the CES layers in a CMOS manufacturing process in a preferred embodiment;
  • FIGS. 8A-8H illustrate cross-sectional views corresponding to process steps as described in FIG. 7; and
  • FIG. 9 shows a CES strained PMOS transistor P1 in a preferred embodiment having a rotated orientation.
  • DETAILED DESCRIPTION OF ILLUSTRATIVE EMBODIMENTS
  • The making and using of the presently preferred embodiments are discussed in detail below. It should be appreciated, however, that the present invention provides many applicable inventive concepts that can be embodied in a wide variety of specific contexts. The specific embodiments discussed are merely illustrative of specific ways to make and use the invention, and do not limit the scope of the invention.
  • The present invention will be described with respect to preferred embodiments in a specific context, namely CES strained NMOS and PMOS devices with an optimized drive current and method of forming. MOS devices thus formed also exhibit an improved uniformity among drive currents. The intermediate stages of manufacturing a preferred embodiment of the present invention are illustrated. The variations of the preferred embodiments are discussed. Throughout the various views and illustrative embodiments, like reference numbers are used to designate like elements.
  • FIG. 2 illustrates the formation of a CES strained PMOS transistor P1 in a preferred embodiment. P1 is formed in an N-well 2, which is formed on a silicon substrate 1. In other embodiments, P1 is formed in a bulk N-type silicon substrate. In yet other embodiments, a substrate made of strained semiconductor, compound semiconductor, multi-layers semiconductor or silicon on insulator (SOI), strained silicon-on-insulator (SSOI), strained silicon germanium on insulator (S—SiGeOI), silicon germanium on insulator (SiGeOI), germanium on insulator (GeOI), and the like, can be used to form P1 from therein. Shallow trench isolations (STI) 10 are formed in the silicon substrate 1 to isolate P1 from adjacent devices. Preferably, the STIs 10 are formed by etching shallow trenches in the silicon substrate 1, and filling the trenches with an insulator such as silicon dioxide (SiO2).
  • A gate dielectric 4 is deposited on the surface of the silicon substrate 1. The gate dielectric 4 may preferably be SiO2 formed by any of the known methods, such as thermal oxidation, local oxidation of silicon (LOCOS), chemical vapor deposition (CVD), etc. Silicon nitride can also be used since it is an effective barrier to impurity diffusion. The silicon nitride film is preferably formed by thermal nitridation of silicon. It can also be prepared by plasma anodic nitridation using nitrogen-hydrogen. The silicon nitride film may also be formed by thermal nitridation of SiO2. The gate dielectric may also be oxy-nitride, oxygen-containing dielectric, nitrogen-containing dielectric, high-k materials or any combinations thereof.
  • A gate electrode 6 is formed on gate dielectric 4. The gate electrode 6 is preferably polysilicon (referred to hereafter as “poly”), although it may be formed of metal, or a compound structure comprising a metal, semiconductor, metal oxide and/or silicide. The preferred method of formation is CVD. Other embodiments may use amorphous silicon, elemental metals that are conductive, alloys of elemental metals that are conductive, silicides or nitrides of elemental metals that are conductive, or any combination thereof. Typically, the gate electrode 6 and gate dielectric 4 are deposited as layers and then patterned to form a gate. A pair of spacers 8 is formed along the sidewalls of the gate dielectric 4 and gate electrode 6. As known in the art, spacers 8 are preferably formed by blanket depositing a dielectric layer over an entire region, then, anisotropically etching to remove the dielectric layer from horizontal surfaces, thus leaving spacers 8. FIG. 2 illustrates rectangular spacers.
  • In the preferred embodiment, the source/drain regions 12 are formed by implanting P-type impurities, such as boron, into the N-well 2. The spacers 8 are used as a mask so that edges of the source/drain regions 12 are substantially aligned with the spacers 8. Gate electrode 6 is preferably also implanted to reduce sheet resistance. In other embodiments, the source/drain regions 12 are formed by recessing the source/drain regions 12 followed by epitaxially growing silicon, SiGe, or SiC in the recesses with a desired dopant. This structure provides a compressive stress to the channel of the PMOS device and enhances hole mobility. In yet other embodiments, source/drain regions 12 are formed by expitaxially growing silicon, SiGe, or SiC with desired dopant on the top surface of the silicon substrate 1. Preferably, a SiO2 film is formed in regions where no source and drain is to be formed. The subsequently deposited epitaxial film on the SiO2 film can be removed. In the source/drain regions (i.e., where substrate surface is exposed), epitaxial film is grown.
  • To reduce the resistance of the gate electrode 6 and source/drain regions 12, an optionally silicide layer (not shown) may be formed on the top of gate electrode 6 and source/drain regions 12 by a silicide process. The silicide is preferably NiSi2, CoSi2, TiSi2, or the like. To form a silicide layer, a thin layer of metal such as cobalt, nickel, titanium, or the like, is sputtered over the device. The device is then annealed to form a silicide between the deposited metal and the underlying exposed silicon regions. Un-reacted metal is removed by an etch process.
  • Next, as shown in FIG. 3A in a cross sectional view, a strain-inducing layer 14 is formed. Although this layer is preferably a CES layer and is interchangeably referred to as CES layer 14 throughout the description, it can be any strained layer or layers, even if the layer does not perform an etch stop function. The strain-inducing layer 14 may also be a composite layer comprising a CES layer and other layers. As previously discussed, this layer is strained in order to enhance the device performance. The types and strengths of the strain, also sometimes referred to as stress, are determined by the deposition process and material used. Generally, if a strained material has a smaller lattice constant than the underlying material, the strained material will have an inherent compressive strain and the underlying material will have an inherent tensile strain after relaxation. Conversely, if a strained material has a greater lattice constant than the underlying material, the strained material will have an inherent tensile strain and the underlying material will have an inherent compressive strain after relaxation.
  • In FIG. 3A, CES layer 14 is preferably formed of materials such as silicon nitride, oxynitride, oxide, silicon germanium or any combinations, generating compressive strain in the PMOS channel region. In other embodiments, a strained layer may be formed of materials such as silicon nitride, oxynitride, oxide, SiC, SiCN, CoSi2 (Co silicide), NiSi2 (Ni silicide), or any combinations, to create tensile strain in the channel region of an NMOS device. As known in the art, the type and magnitude of the strain are affected by the relative properties of the CES layer 14 and the underlying material.
  • Strain can also be adjusted by the type and concentration of impurities in the underlying material, which includes forming an epitaxial layer (not shown) in the source/drain regions 12. In one preferred embodiment, an epitaxial layer of silicon germanium is formed in the silicon source/drain regions 12, which typically increases the material's lattice constant (because germanium has a larger lattice constant). This structure provides a compressive stress to the channel of the PMOS device and enhances hole mobility. In another embodiment, a silicon carbon epitaxial layer is formed in the silicon source/drain regions of an NMOS transistor, which typically decreases the material's lattice constant (because carbon has a smaller lattice constant). This structure provides a tensile stress to the channel of the NMOS device and enhances electron mobility.
  • In a preferred embodiment, a CES layer 14 comprises a dielectric material. In alternative embodiments, a CES layer 14 comprises semiconductors, metals, and combinations thereof. The CES layer 14 may also be in the form of a single layer or composite layers. An advantageous feature of such material, as explained herein, is that these materials have an inherent stress when deposited, which induces a strain in the underlying material. In preferred embodiments, the CES layer 14 has a thickness from about 5 nm to about 500 nm.
  • FIG. 3B illustrates a top view of the strained PMOS transistor P1 as shown in FIG. 3A. The CES layer 14 is formed over the active region, which includes the source/drain regions 12 and channel region 11. An active region defines the dimension of a MOS transistor and is hereafter referred to as an “OD” region. The CES layer 14 may also cover the N-well region (as shown in FIG. 3A). Although CES layer 14 is shown as one layer, it can be formed of different layers. In the preferred embodiments, a photo mask named PILD is used to pattern the CES layer 14. To clarify the description, the horizontal distance between the edge of poly gate 6 and the edge of CES layer 14 is referred to hereinafter as ENx. The vertical distance between the OD edge and the edge of strained layer 14 is referred to hereinafter as ENy. It is revealed from the preferred embodiments that, of each technology generation, a CES layer 14 having an ENx and ENy in a specific range can lead to an optimized PMOS drive current increase and an improved drive current uniformity, when compared with a CES strained MOS transistor, where no limitation is imposed on ENx and ENy. A CES strained PMOS device without limitations on the CES layer dimension is from hereinafter referred to as a baseline PMOS device.
  • In preferred embodiments, the values of an optimized range of ENx and ENy corresponding to a technology generation are obtained through a wafer acceptance test (WAT) on a plurality of CES strained core transistors, such as that shown in FIG. 3B. A core transistor has a generic MOS transistor configuration where a single poly gate is formed over an OD region. A core transistor is so called herein to distinguish itself from a MOS transistor with more complex structures, such as one with multiple poly gate fingers, and one with a single poly gate and multiple dummy poly fingers on an OD region.
  • In obtaining the optimized range of ENx and ENy of a certain technology generation, a plurality of CES strained PMOS core transistors are formed on a silicon wafer scribe line. These transistors have a minimum channel length allowable by the specific technology generation (sometimes referred to as transistors with “on-rule” channel length) and channel width of various values. In one embodiment, a plurality of CES strained PMOS core transistors are provided, having a channel length of 65 nm and channel width (W) of 1 μm, 0.6 μm, and 0.14 μm. These transistors also have a fixed ENy of about 70 nm and ENx of various dimensions. Drive current (Idsat) is measured on each transistor, aiming to obtain an ENx corresponding to a maximum Idsat improvement. FIG. 4A shows the results from the WAT test. The plotting has an ENx on the horizontal coordinate and scaled Idsat improvement on the vertical coordinate, both in linear scale. Lines 20, 22, 24 illustrate the scaled drive current improvement upon a baseline PMOS device as a function of ENx. Lines 20, 22, and 24 correspond to transistors having a channel width of 1 μm, 0.6 μm, and 0.14 μm, respectively. It is shown that, significant increase of Idsat in the channel region is obtained when ENx reaches 0.4 μm. When ENx increases, Idsat in the channel region continues to increase. When ENx reaches about 1.8 μm, maximum Idsat increase is obtained on PMOS transistors with various channel width. Idsat remains substantially unchanged when ENx continues to increase.
  • A similar test is carried out to obtain the optimized range of ENy. In one embodiment, PMOS transistors used for obtaining the optimized range of ENy have a fixed ENx of about 0.5 μm and ENy of various dimensions. FIG. 4B shows results obtained from measuring the drive current with different ENy values. The plotting has an ENy on the horizontal coordinate in logarithmic scale and drive current (Idsat) improvement on the vertical coordinate in linear scale. Lines 26, 28, and 30 correspond to transistors used to form lines 20, 22 and 24 in FIG. 4A. It is shown that, significant increase of Idsat in the channel region is obtained when ENy reaches 60 nm. When ENy increases, Idsat in the channel region continues to increase. The drive current Idsat maxes out when ENy reaches about 200 nm. Idsat drops significantly, however, when ENy exceeds 400 nm.
  • FIGS. 5A-5B illustrate that CES strained PMOS devices formed with optimized ENx and ENy also exhibit an improved uniformity (scaled increase) among the enhanced drive currents. In FIG. 5A, a PMOS transistor P1 having a single poly gate 6 is formed over an OD region. P1 has a gate length of 0.14 μm and gate width of 0.4 μm. The poly to OD dimension is 0.5 μm. A first plurality of PMOS device samples having the foregoing dimension is provided. A compressive CES 14 with desired ENx and ENy of about 0.7 μm and 70 nm is formed on each PMOS device sample, covering the OD region. Idsat is measured on each sample and plotted as square points in FIG. 5B. The vertical axis of FIG. 5B represents the cumulative percentage, which is used to illustrate the distribution of measured drive current Idsat. The solid diamonds in FIG. 5B are Idsat values measured on a second plurality of counterpart prior art CES strained PMOS devices, without constraints on the dimensions of ENx and ENy. It can be seen from FIG. 5B that Idsat from the embodied CES strained PMOS devices has an Idsat distribution of from about 480 μA/μm to about 550 μA/μm, while Idsat from the prior art CES strained devices has a distribution of from about 450 μA/μm to about 580 μA/μm. The Idsat uniformity (the quotient of standard deviation over mean value) is enhanced from about 7% to about 4%. The same trend has been observed through similar comparisons on PMOS devices of various configurations, such as PMOS with multiply poly fingers, PMOS with multiply dummy poly fingers formed over OD region, foregoing PMOS structures with various poly pitches.
  • FIGS. 6A-6B illustrates the quantitative relationship between optimized ENx, ENy and minimum design rule dimensions and spacings of a certain processing technology. These limitations were obtained through wafer acceptance tests (WAT) similar to those described above and have been adopted in the embodied manufacturing processes as described below. It is revealed from FIG. 6A that an optimized ENx corresponding to an optimized drive current increase and optimized drive current uniformity is in the range of one to two times either P or G, whichever is larger, where P is the minimum gate poly to P-type OD dimension, and G is the minimum poly-to-poly spacing on an P-type OD region. It is revealed from FIG. 6B that an ENy leading to an optimized drive current increase and optimized drive current uniformity lies in the range of between about one-third to about two-thirds of the sum of L and H, where L is the minimum P-type OD to N-well boundary dimension and H is the minimum spacing between an N-type OD and an N-well.
  • Similar wafer acceptance test (WAT) has been conducted on CES strained NMOS core transistors. A CES layer is formed over a plurality of NMOS transistors of various configurations to create in-plane tensile strain in the channel region. Although a similar trend is observed where ENx and ENy within a range as described above can lead to improved drive current enhancement and improved drive current uniformity, the effect is less significant than that of a CES strained PMOS device.
  • FIG. 7 is a flow chart illustrating the steps of forming the CES layers in a CMOS manufacturing process in a preferred embodiment. FIGS. 8A-8F illustrate cross-sectional views after each processing step described in FIG. 7. To simplify the description, one PMOS device P1 adjacent to an NMOS device N1 is shown in each cross-sectional view. It should be understood that a processing step applied on P1 applies to all PMOS devices on the substrate, and a processing step applied on N1 applies to all NMOS devices on the substrate.
  • FIG. 8A shows a portion of an initial substrate where PMOS device P1 and NMOS device N1 have been formed in semiconductor substrate 2 through a known CMOS manufacturing process. P1 and N1 have a source/ drain region 12 p, 12 n, and gate region 6 p and 6 n, respectively. STIs 10 are used to isolation P1 and N1.
  • According to STEP 11 in FIG. 7, a tensile CES layer 16 is formed over the substrate, aiming to create tensile strain in the channel region 13 of N1. Layer 16 is made of materials such as silicon nitride, oxynitride, oxide, SiC, SiCN, CoSi2 (Co silicide), NiSi2 (Ni silicide), or any combinations. In one preferred embodiment, CES layer 16 has a thickness of from about 5 nm to about 500 nm. The substrate after STEP 11 is shown in FIG. 8B.
  • At a STEP 12 in FIG. 7, a photo mask NILD is developed and a photolithography process is conducted to pattern the CES layer 16 over NMOS device N1 with desired ENx and ENy. In developing an NILD photo mask, the desired ENx and ENy values for an NMOS device (obtained through WAT test on NMOS core transistors as described earlier) are provided to an automatic photo mask generating process (also known as “logical operation process” in the art). Also input to said logical operation process are layout information of N-TYPE OD regions, P-well regions, and poly regions on a substrate, which is usually included in the finished design database provided by layout designers of an IC product, as known in the art. The logical operation process will first identify the N-TYPE OD regions on the substrate, where NMOS devices are formed. The logical operation process will then identify the poly regions 6 n on the foregoing N-TYPE OD regions. Subsequently, the logical operation process will create a photolithography pattern, such that the distance between its horizontal edge and the N-TYPE OD edge is ENy, and the distance from its vertical edge to a gate poly 6 n edge is ENx. Other operations carried out by the logical operation process include merging two CES layer patterns of same stress type having overlapping edges, merging two adjacent CES layer patterns of same stress type when the space between their edges is less than a pre-determined distance. In creating the photo mask, optical proximity correction (OPC) may be employed to take into account the errors introduced by photolithography system, as known to those skilled in the art. Known photolithography and etch processes are used to pattern CES layer 16 on N1. A result is shown in FIG. 8C in both cross-sectional and top view.
  • Further, as described in STEP 13 of FIG. 7, a compressive CES layer 14 is formed over the substrate, aiming to create compressive strain in the channel region 11 of PMOS transistor P1. CES layer 14 is made of materials such as silicon nitride, oxynitride, oxide, silicon germanium or any combinations. In one preferred embodiment, CES layer 14 has a thickness of about the same as that of layer 16. In other embodiments, the thickness of CES layer 14 may be substantially different from that of CES layer 16, in order to balance the drive current between P1 and N1. The substrate after STEP 13 is shown in FIG. 8D.
  • In STEP 14 of FIG. 7, a photo mask PILD is developed and a photolithography process is conducted to pattern the CES layer 14 over PMOS devices with desired ENx′ and ENy′. In doing so, the desired ENx′ and ENy′ values corresponding to optimized PMOS performance are provided to a logical operation process, together with layout information of P-TYPE OD regions, N-well regions, and poly regions on a substrate. The logical operation process will first identify the P-TYPE OD regions on the substrate, where PMOS devices are formed. The logical operation process will then identify the poly regions 6 p on the foregoing P-TYPE OD regions. Subsequently, the logical operation process will create a photolithography pattern, such that the distance between its horizontal edge and the P-TYPE OD edge is ENy′, and the distance from its vertical edge to a gate poly edge is ENx′. After mask layer PILD is developed, known photolithography and etch processes are used to pattern the CES layer 14 on the P1. A result is shown in FIG. 8E in both cross-sectional and top view.
  • After the formation of the patterned compressive CES layer 14 on PMOS devices and patterned tensile CES layer 16 on NMOS devices, a blanket deposition of SiO2 through CVD is conducted to form a first inter-layer dielectric layer (ILD), although other known materials and methods of forming an ILD layer are not excluded. A planarization process, such as chemical mechanical polishing (CMP) process may then be applied to create a flat substrate surface, as shown in FIG. 8F. Known CMOS manufacturing processes can continue from this point by, for example, cutting contact openings through the ILD where contacts to source/ drain regions 12 p, 12 n and gate electrodes 6 p, 6 n are needed.
  • It can be recognized that, after the current processing step, the CES layers formed on substrate 2 surface may have the following lateral configuration. Between MOS transistors of same conductivity type, the adjacent CES layers may be in a tensile-ILD-tensile or compressive-ILD-compressive configuration. Between MOS transistors of opposite conductivity type, the adjacent CES layers have a tensile-ILD-compressive configuration.
  • In other embodiments, after the formation of the patterned compressive CES layer 14 on PMOS devices and patterned tensile CES layer 16 on NMOS devices, a tensile film 14′ or a compressive film 16′ may be formed filling the lateral space between adjacent CES layers on substrate 2 surface in order to balance the stresses in the CES layers 14 and 16, thus reaching a desired drive current balance between adjacent NMOS and PMOS devices.
  • In an additional embodiment, a plurality of PMOS and a plurality of NMOS transistors are formed on a semiconductor substrate through the processes described above. Each of the PMOS and NMOS transistors is covered by a compressive CES layer and a tensile CES layer, respectively, with desired ENx and ENy. In the current embodiment, the CES layer configurations between adjacent MOS devices may include tensile-compressive-tensile, compressive-tensile-compressive, tensile-tensile-tensile, compressive-compressive-compressive, tensile-compressive-compressive, and compressive-tensile-tensile. Various CES layer configurations between adjacent MOS devices are shown in FIG. 8G.
  • In a further embodiment, a PILD photo mask is first developed by a logical operation process described above to pattern compressive CES layer 14 for optimized PMOS performance. The NILD photo mask is then developed as the reverse of the PILD mask, thus eliminating the cost of creating a dedicated NILP mask. After the processing steps shown in FIG. 7, CES layers cover the entire substrate, as shown in FIG. 8H. This CES layer configuration casts little negative impact on NMOS device performance, because, as described earlier, an NMOS device is less sensitive to ENx and ENy of a tensile CES layer 16 formed thereon. Instead, CES layers thus formed alleviate reliability concerns created by previous embodiments, such as voids formed between adjacent CES layer edges, film edge peeling during subsequent process steps.
  • In an even further embodiment, a single photo mask PILD is developed by a logical operation process to pattern compressive CES layer 14 for optimized PMOS performance. The same mask layer is applied a second time on negative photoresist to pattern tensile CES layer 16. An NILD photo mask is not necessary, thus further reducing the cost of mask development.
  • As can be recognized by those skilled in the art, the preferred embodiments improve device performance without adding complex processing steps. Moreover, the added process steps can be readily integrated into a known CMOS process flow. Furthermore, the creation of NILD and PILD photo masks does not require additional works or changes on an existed design database. Optimized ENx and ENy corresponding to a certain technology node apply to all design projects developed using the same technology. No extra design rules are imposed on design and layout engineers.
  • Although the present invention and its advantages have been described in detail, it should be understood that various changes, substitutions and alterations can be made herein without departing from the spirit and scope of the invention as defined by the appended claims. As an additional example shown in FIG. 9, a CES strained PMOS transistor P1 is rotated from the orientation of the previous embodiments such that the opposing edges of poly gate 6, P-TYPE OD region and the CES strained layers 14 are not aligned with the horizontal and vertical direction. In this case, ENx is the shortest distance between the edge of poly gate 6 and the edge of strained layer 14, while ENy is the shortest distance between the P-TYPE OD edge and the edge of strained layer 14. Furthermore, it will be readily understood by those skilled in the art that materials, process steps, process parameters in forming the preferred embodiments may be varied while remaining within the scope of the present invention.
  • Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, composition of matter, means, methods and steps described in the specification. As one of ordinary skill in the art will readily appreciate from the disclosure of the present invention, processes, machines, manufacture, compositions of matter, means, methods, or steps, presently existing or later to be developed, that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein may be utilized according to the present invention. Accordingly, the appended claims are intended to include within their scope such processes, machines, manufacture, compositions of matter, means, methods, or steps.

Claims (20)

1. A semiconductor device formed in a substrate comprising:
an OD region;
a gate region overlying the OD region having an gate electrode on top;
a source and drain region formed on the opposite side of the gate region, substantially aligned with an edge of the gate region and the edges of the OD region;
a strain-induced layer having a first and second edge substantially conformally over the gate electrode and the OD region;
wherein the space between a gate electrode edge and the first edge is greater than 0.4 um;
wherein the space between an OD edge and the second edge is in the range of between about 60 nm to about 400 nm.
2. The semiconductor device of claim 1 is a PMOS transistor and said strain-induced layer is a compressive-stress layer.
3. The semiconductor device of claim 2 wherein said compressive-stress layer comprises one of SiN (silicon nitride), oxynitride, oxide, SiGe or the combinations of the elements above.
4. The semiconductor device of claim 1 wherein said substrate is one of an N-well formed on a silicon substrate, a bulk silicon substrate, a substrate made of strained semiconductor, compound semiconductor, multi-layers semiconductor, silicon on insulator (SOI), and the like.
5. The semiconductor device of claim 1 wherein said strain-induced layer has a multi-layers configuration.
6. The semiconductor device of claim 1 wherein said strain-induced layer has a thickness from about 5 nm to about 500 nm.
7. The semiconductor device of claim 1 wherein said source and drain region further comprises a material having a lattice constant different from that of the surrounding substrate material.
8. A semiconductor device formed in a semiconductor substrate comprising:
a first PMOS transistor formed in a P-type OD region with a first and second edge, having a first poly gate electrode overlying the P-type OD region, parallel to the first edge;
an first NMOS transistor formed in an N-type OD region, having a second poly gate electrode overlying said N-type OD region;
a first compressive-stress layer having a first and second edge substantially conformally over the first gate electrode and the P-type OD region;
wherein the space between an edge of the first gate electrode and the first edge of the compressive-stress layer is greater than 0.4 um;
wherein the space between the second P-type OD edge and the second edge of the compressive-stress layer is in the range of between about 60 nm to about 400 nm.
9. The semiconductor device of claim 8, wherein said first compressive-stress layer comprises one of SiN (silicon nitride), oxynitride, oxide, SiGe or the combinations of the elements above.
10. The semiconductor device of claim 8, wherein the first NMOS transistor further comprises a first tensile-stress layer substantially conformally over the second gate electrode and said N-type OD region.
11. The semiconductor device of claim 10, wherein said first tensile-stress layer comprises one of SiN (silicon nitride), oxynitride, oxide, SiC, SiCN, CoSi2 (Co silicide), NiSi2 (Ni silicide), or the combinations of the elements above.
12. The semiconductor device of claim 10, wherein the lateral space between said first tensile-stress layer and said first compressive-stress layer is filled with one of an ILD layer, a dielectric layer having tensile-stress and a dielectric layer having compressive-stress.
13. The semiconductor device of claim 10 further comprises a second PMOS transistor and a second NMOS transistor, covered with a second compressive-stress layer and a second tensile-stress.
14. The semiconductor device of claim 10, wherein said first tensile-stress layer substantially conformally over the portion of the substrate, which is not over with the compressive-stress layer, respectively; wherein the lateral space between said first and second tensile-stress layers and the lateral space between said first and second compressive-stress layers is filled with one of an ILD layer, a dielectric layer having tensile-stress and a dielectric layer having compressive-stress.
15. The semiconductor device of claim 10, wherein said tensile-stress layer and said compressive-stress layer have a different film thickness.
16. The semiconductor device of claim 10, wherein the first PMOS and the first NMOS transistor further comprise a source and drain region, respectively, wherein said source and drain region comprise an epitaxial layer having a lattice constant different from that of the surrounding substrate material.
17. A PMOS transistor formed in a P-type active region (OD) in a semiconductor substrate comprising:
a gate region overlying the OD region having a poly gate electrode on the top;
a source and drain region formed on the opposite side of the gate region, substantially aligned with an edge of the gate region and the edges of the OD region;
a compressive-stress layer substantially conformally over the gate electrode and the OD region having a first and second edge;
wherein the space between an edge of the gate electrode and the first edge of the compressive-stress layer is in the range of one to two times either P or G, whichever is larger, where P is the minimum design rule gate poly to P-type OD dimension, and G is the minimum design rule poly-to-poly spacing on an P-type OD region; and
wherein the space between an P-type OD edge and the second edge of the compressive-stress layer is in the range of between about one-third to about two-thirds of the sum of L and H, where L is the minimum P-type OD to N-well boundary dimension and H is the minimum spacing between an N-type OD and an N-well boundary.
18. The PMOS transistor of claim 17 wherein said compressive-stress layer comprises one of SiN (silicon nitride), oxynitride, oxide, SiGe or the combinations of the elements above.
19. The PMOS transistor of claim 17 wherein said source and drain region further comprises a material having a lattice constant different from that of the surrounding substrate material.
20. The PMOS transistor of claim 17 has a rotated orientation such that the opposing edges of said poly gate electrode are not aligned with the horizontal and vertical direction.
US11/849,798 2007-01-16 2007-09-04 Strained transistor with optimized drive current and method of forming Expired - Fee Related US8558278B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US11/849,798 US8558278B2 (en) 2007-01-16 2007-09-04 Strained transistor with optimized drive current and method of forming
TW096147203A TWI374541B (en) 2007-01-16 2007-12-11 Strained transistor with optimized drive current and method of forming

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US88056307P 2007-01-16 2007-01-16
US11/849,798 US8558278B2 (en) 2007-01-16 2007-09-04 Strained transistor with optimized drive current and method of forming

Publications (2)

Publication Number Publication Date
US20080169484A1 true US20080169484A1 (en) 2008-07-17
US8558278B2 US8558278B2 (en) 2013-10-15

Family

ID=39617084

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/849,798 Expired - Fee Related US8558278B2 (en) 2007-01-16 2007-09-04 Strained transistor with optimized drive current and method of forming

Country Status (3)

Country Link
US (1) US8558278B2 (en)
CN (1) CN100570893C (en)
TW (1) TWI374541B (en)

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080303101A1 (en) * 2007-06-05 2008-12-11 International Business Machines Corporation Dual stress memorization technique for cmos application
US20100065913A1 (en) * 2008-09-17 2010-03-18 Lee-Chung Lu Performance-Aware Logic Operations for Generating Masks
US20100133621A1 (en) * 2008-11-28 2010-06-03 Kai Frohberg Restricted stress regions formed in the contact level of a semiconductor device
US7808051B2 (en) 2008-09-29 2010-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell without OD space effect in Y-direction
US20110101462A1 (en) * 2009-11-04 2011-05-05 c/o FUJITSU SEMICONDUCTOR LIMITED Method for designing a semiconductor device including stress films
US7943961B2 (en) 2008-03-13 2011-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strain bars in stressed layers of MOS devices
US20110210393A1 (en) * 2010-03-01 2011-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Dual epitaxial process for a finfet device
US20120211807A1 (en) * 2007-10-15 2012-08-23 Taiwan Semiconductor Manufacturing Comapny, Ltd. System and Method for Source/Drain Contact Processing
US20130049128A1 (en) * 2011-08-25 2013-02-28 Globalfoundries Inc. Semiconductor Device with Dual Metal Silicide Regions and Methods of Making Same
CN103247649A (en) * 2013-05-07 2013-08-14 上海华力微电子有限公司 Method for reducing electrical mutual disturbance of image sensor
US8648388B2 (en) 2012-02-15 2014-02-11 International Business Machines Corporation High performance multi-finger strained silicon germanium channel PFET and method of fabrication
US20140353715A1 (en) * 2013-06-04 2014-12-04 Semiconductor Manufacturing International (Shanghai) Corporation Finfet device and fabrication method thereof
US20150035070A1 (en) * 2013-07-31 2015-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method and layout of an integrated circuit
US20150228782A1 (en) * 2014-02-07 2015-08-13 Taiwan Semiconductor Manufacturing Company Ltd. Field effect transistor with heterostructure channel
US9123558B2 (en) 2011-06-20 2015-09-01 Mediatek Inc. Bipolar junction transistor
US20150295070A1 (en) * 2012-11-16 2015-10-15 Institute of Microelectronics, Chinese Academy of Sciences Finfet and method for manufacturing the same
US20150311203A1 (en) * 2014-04-24 2015-10-29 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device
US9390982B2 (en) * 2013-09-13 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS devices with reduced leakage and methods of forming the same

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8357617B2 (en) * 2008-08-22 2013-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning a metal gate of semiconductor device
KR102094131B1 (en) * 2010-02-05 2020-03-30 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Method for driving semiconductor device
CN102339860B (en) * 2010-07-27 2013-03-27 中国科学院微电子研究所 Semiconductor device and manufacturing method thereof
CN102339852B (en) * 2010-07-27 2013-03-27 中国科学院微电子研究所 Semiconductor device and method for manufacturing same
US8629426B2 (en) * 2010-12-03 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain stressor having enhanced carrier mobility manufacturing same
KR102089682B1 (en) * 2013-07-15 2020-03-16 삼성전자 주식회사 Semiconductor device and method for fabricating the same
US9496257B2 (en) 2014-06-30 2016-11-15 International Business Machines Corporation Removal of semiconductor growth defects
US10043903B2 (en) 2015-12-21 2018-08-07 Samsung Electronics Co., Ltd. Semiconductor devices with source/drain stress liner
US20180069035A1 (en) * 2016-09-02 2018-03-08 Newport Fab, LLC dba Jazz Semiconductor, Inc. Tensile Contact Etch Stop Layer (CESL) For Radio Frequency (RF) Silicon-On-Insulator (SOI) Switch Technology
CN108461493A (en) 2018-01-05 2018-08-28 上海和辉光电有限公司 A kind of gate transistor, pixel circuit, dot structure and display panel altogether

Citations (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4069094A (en) * 1976-12-30 1978-01-17 Rca Corporation Method of manufacturing apertured aluminum oxide substrates
US4314269A (en) * 1978-06-06 1982-02-02 Vlsi Technology Research Association Semiconductor resistor comprising a resistor layer along a side surface
US4497683A (en) * 1982-05-03 1985-02-05 At&T Bell Laboratories Process for producing dielectrically isolated silicon devices
US4892614A (en) * 1986-07-07 1990-01-09 Texas Instruments Incorporated Integrated circuit isolation process
US5130773A (en) * 1989-06-30 1992-07-14 Hitachi, Ltd. Semiconductor device with photosensitivity
US5378919A (en) * 1991-01-21 1995-01-03 Sony Corporation Semiconductor integrated circuit device with plural gates and plural passive devices
US5525828A (en) * 1991-10-31 1996-06-11 International Business Machines Corporation High speed silicon-based lateral junction photodetectors having recessed electrodes and thick oxide to reduce fringing fields
US5534713A (en) * 1994-05-20 1996-07-09 International Business Machines Corporation Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers
US5596524A (en) * 1995-04-21 1997-01-21 Advanced Micro Devices, Inc. CMOS memory cell with gate oxide of both NMOS and PMOS transistors as tunneling window for program and erase
US5629544A (en) * 1995-04-25 1997-05-13 International Business Machines Corporation Semiconductor diode with silicide films and trench isolation
US5708288A (en) * 1995-11-02 1998-01-13 Motorola, Inc. Thin film silicon on insulator semiconductor integrated circuit with electrostatic damage protection and method
US5714777A (en) * 1997-02-19 1998-02-03 International Business Machines Corporation Si/SiGe vertical junction field effect transistor
US5763315A (en) * 1997-01-28 1998-06-09 International Business Machines Corporation Shallow trench isolation with oxide-nitride/oxynitride liner
US5783850A (en) * 1995-04-27 1998-07-21 Taiwan Semiconductor Manufacturing Company Undoped polysilicon gate process for NMOS ESD protection circuits
US6015993A (en) * 1998-08-31 2000-01-18 International Business Machines Corporation Semiconductor diode with depleted polysilicon gate structure and method
US6027968A (en) * 1996-11-19 2000-02-22 International Business Machines Corporation Advanced damascene planar stack capacitor fabrication method
US6059895A (en) * 1997-04-30 2000-05-09 International Business Machines Corporation Strained Si/SiGe layers on insulator
US6190996B1 (en) * 1997-11-12 2001-02-20 Micron Technology, Inc. Method of making an insulator for electrical structures
US6222234B1 (en) * 1998-04-15 2001-04-24 Nec Corporation Semiconductor device having partially and fully depleted SOI elements on a common substrate
US6256239B1 (en) * 1998-10-27 2001-07-03 Fujitsu Limited Redundant decision circuit for semiconductor memory device
US6258664B1 (en) * 1999-02-16 2001-07-10 Micron Technology, Inc. Methods of forming silicon-comprising materials having roughened outer surfaces, and methods of forming capacitor constructions
US6339232B1 (en) * 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
US20020008289A1 (en) * 2000-07-24 2002-01-24 Junichi Murota Mosfet with strained channel layer
US20020031890A1 (en) * 2000-08-28 2002-03-14 Takayuki Watanabe Semiconductor device of STI structure and method of fabricating MOS transistors having consistent threshold voltages
US6358791B1 (en) * 1999-06-04 2002-03-19 International Business Machines Corporation Method for increasing a very-large-scale-integrated (VLSI) capacitor size on bulk silicon and silicon-on-insulator (SOI) wafers and structure formed thereby
US20020045318A1 (en) * 1999-04-09 2002-04-18 Coming Chen Method for manufacturing mos transistor
US6387739B1 (en) * 1998-08-07 2002-05-14 International Business Machines Corporation Method and improved SOI body contact structure for transistors
US6396137B1 (en) * 2000-03-15 2002-05-28 Kevin Mark Klughart Integrated voltage/current/power regulator/switch system and method
US6396506B1 (en) * 1996-03-15 2002-05-28 Hitachi, Ltd. Display operation method to change the number of images to be displayed and to independently change image direction and rotation of each image
US6407406B1 (en) * 1998-06-30 2002-06-18 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US20020076899A1 (en) * 2000-08-02 2002-06-20 Stmicroelectronics S.A. Process for fabricating a substrate of the silicon-on-insulator or silicon-on-nothing type and resulting device
US20020074598A1 (en) * 1999-06-28 2002-06-20 Doyle Brian S. Methodology for control of short channel effects in MOS transistors
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6414355B1 (en) * 2001-01-26 2002-07-02 Advanced Micro Devices, Inc. Silicon-on-insulator (SOI) chip having an active layer of non-uniform thickness
US6420264B1 (en) * 2000-04-12 2002-07-16 Ultratech Stepper, Inc. Method of forming a silicide region in a Si substrate and a device having same
US6420218B1 (en) * 2000-04-24 2002-07-16 Advanced Micro Devices, Inc. Ultra-thin-body SOI MOS transistors having recessed source and drain regions
US20030001219A1 (en) * 2001-06-29 2003-01-02 Chau Robert S. Novel transistor structure and method of fabrication
US6518610B2 (en) * 2001-02-20 2003-02-11 Micron Technology, Inc. Rhodium-rich oxygen barriers
US20030030091A1 (en) * 2001-08-13 2003-02-13 Amberwave Systems Corporation Dynamic random access memory trench capacitors
US6521952B1 (en) * 2001-10-22 2003-02-18 United Microelectronics Corp. Method of forming a silicon controlled rectifier devices in SOI CMOS process for on-chip ESD protection
US6525403B2 (en) * 2000-09-28 2003-02-25 Kabushiki Kaisha Toshiba Semiconductor device having MIS field effect transistors or three-dimensional structure
US6524905B2 (en) * 2000-07-14 2003-02-25 Nec Corporation Semiconductor device, and thin film capacitor
US6541343B1 (en) * 1999-12-30 2003-04-01 Intel Corporation Methods of making field effect transistor structure with partially isolated source/drain junctions
US6555839B2 (en) * 2000-05-26 2003-04-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US20030080386A1 (en) * 2001-02-15 2003-05-01 United Microelectronics Corp. Silicon-on-insulator diodes and ESD protection circuits
US20030080388A1 (en) * 2001-10-29 2003-05-01 Power Integrations, Inc. Lateral power mosfet for high switching speeds
US20030080361A1 (en) * 2001-11-01 2003-05-01 Anand Murthy Semiconductor transistor having a stressed channel
US6558998B2 (en) * 1998-06-15 2003-05-06 Marc Belleville SOI type integrated circuit with a decoupling capacity and process for embodiment of such a circuit
US20030098479A1 (en) * 1999-12-30 2003-05-29 Anand Murthy Novel MOS transistor structure and method of fabrication
US6573172B1 (en) * 2002-09-16 2003-06-03 Advanced Micro Devices, Inc. Methods for improving carrier mobility of PMOS and NMOS devices
US6576526B2 (en) * 2001-07-09 2003-06-10 Chartered Semiconductor Manufacturing Ltd. Darc layer for MIM process integration
US20030181005A1 (en) * 2002-03-19 2003-09-25 Kiyota Hachimine Semiconductor device and a method of manufacturing the same
US6674100B2 (en) * 1996-09-17 2004-01-06 Matsushita Electric Industrial Co., Ltd. SiGeC-based CMOSFET with separate heterojunctions
US20040016972A1 (en) * 2002-07-29 2004-01-29 Dinkar Singh Enhanced t-gate structure for modulation doped field effect transistors
US20040018668A1 (en) * 2002-06-25 2004-01-29 Advanced Micro Devices, Inc. Silicon-on-insulator device with strained device film and method for making the same with partial replacement of isolation oxide
US6686247B1 (en) * 2002-08-22 2004-02-03 Intel Corporation Self-aligned contacts to gates
US6690082B2 (en) * 2001-09-28 2004-02-10 Agere Systems Inc. High dopant concentration diffused resistor and method of manufacture therefor
US20040026765A1 (en) * 2002-06-07 2004-02-12 Amberwave Systems Corporation Semiconductor devices having strained dual channel layers
US20040029323A1 (en) * 2000-11-22 2004-02-12 Akihiro Shimizu Semiconductor device and method for fabricating the same
US20040063300A1 (en) * 2002-10-01 2004-04-01 Taiwan Semiconductor Manufacturing Company Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US6720619B1 (en) * 2002-12-13 2004-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating partially-depleted, fully-depleted, and multiple-gate devices
US6724019B2 (en) * 2000-05-25 2004-04-20 Renesas Technology Corporation Multi-layered, single crystal field effect transistor
US20040087098A1 (en) * 2002-11-01 2004-05-06 Chartered Semiconductor Manufacturing Ltd. Mim and metal resistor formation at cu beol using only one extra mask
US6734527B1 (en) * 2002-12-12 2004-05-11 Advanced Micro Devices, Inc. CMOS devices with balanced drive currents based on SiGe
US6737710B2 (en) * 1999-06-30 2004-05-18 Intel Corporation Transistor structure having silicide source/drain extensions
US20040104405A1 (en) * 2002-12-02 2004-06-03 Taiwan Semiconductor Manufacturing Company Novel CMOS device
US20040108598A1 (en) * 2001-04-18 2004-06-10 International Business Machines Corporation Self-aligned silicide process for silicon sidewall source and drain contacts and structure formed thereby
US20050012087A1 (en) * 2003-07-15 2005-01-20 Yi-Ming Sheu Self-aligned MOSFET having an oxide region below the channel
US20050029601A1 (en) * 2003-08-04 2005-02-10 International Business Machines Corporation Structure and method of making strained semiconductor cmos transistors having lattice-mismatched source and drain regions
US20050035369A1 (en) * 2003-08-15 2005-02-17 Chun-Chieh Lin Structure and method of forming integrated circuits utilizing strained channel transistors
US20050035410A1 (en) * 2003-08-15 2005-02-17 Yee-Chia Yeo Semiconductor diode with reduced leakage
US6867101B1 (en) * 2001-04-04 2005-03-15 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having a nitride/high-k/nitride gate dielectric stack by atomic layer deposition (ALD) and a device thereby formed
US6867433B2 (en) * 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
US6872610B1 (en) * 2003-11-18 2005-03-29 Texas Instruments Incorporated Method for preventing polysilicon mushrooming during selective epitaxial processing
US6882025B2 (en) * 2003-04-25 2005-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Strained-channel transistor and methods of manufacture
US20050082522A1 (en) * 2003-07-25 2005-04-21 Yi-Chun Huang Strained channel transistor formation
US20050093078A1 (en) * 2003-10-30 2005-05-05 Victor Chan Increasing carrier mobility in NFET and PFET transistors on a common wafer
US6900502B2 (en) * 2003-04-03 2005-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel on insulator device
US20050136584A1 (en) * 2003-12-23 2005-06-23 Boyan Boyanov Strained transistor integration for CMOS
US6982433B2 (en) * 2003-06-12 2006-01-03 Intel Corporation Gate-induced strain for MOS performance improvement
US20060001073A1 (en) * 2003-05-21 2006-01-05 Jian Chen Use of voids between elements in semiconductor structures for isolation
US6998311B2 (en) * 2002-06-10 2006-02-14 Micron Technology, Inc. Methods of forming output prediction logic circuits with ultra-thin vertical transistors
US20060121727A1 (en) * 2004-12-07 2006-06-08 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric and a titanium carbide gate electrode
US7164163B2 (en) * 2005-02-22 2007-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Strained transistor with hybrid-strain inducing layer
US20070040225A1 (en) * 2005-08-22 2007-02-22 Yang Haining S High performance MOSFET comprising a stressed gate metal silicide layer and method of fabricating the same
US7193269B2 (en) * 2001-12-10 2007-03-20 Nec Corporation MOS semiconductor device
US7220630B2 (en) * 2004-05-21 2007-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method for selectively forming strained etch stop layers to improve FET charge carrier mobility
US7303955B2 (en) * 2005-02-21 2007-12-04 Samsung Electronics Co., Ltd. Semiconductor memory device with high operating current and method of manufacturing the same
US7354843B2 (en) * 2003-07-25 2008-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a capacitor that includes forming a bottom electrode in a strained silicon layer
US7528028B2 (en) * 2005-06-17 2009-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Super anneal for process induced strain modulation
US20100078725A1 (en) * 2008-09-29 2010-04-01 Yung-Chin Hou Standard Cell without OD Space Effect in Y-Direction

Family Cites Families (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4631803A (en) 1985-02-14 1986-12-30 Texas Instruments Incorporated Method of fabricating defect free trench isolation devices
JPH0640583B2 (en) 1987-07-16 1994-05-25 株式会社東芝 Method for manufacturing semiconductor device
US4946799A (en) 1988-07-08 1990-08-07 Texas Instruments, Incorporated Process for making high performance silicon-on-insulator transistor with body node to source node connection
US5155571A (en) 1990-08-06 1992-10-13 The Regents Of The University Of California Complementary field effect transistors having strained superlattice structure
US5338960A (en) 1992-08-05 1994-08-16 Harris Corporation Formation of dual polarity source/drain extensions in lateral complementary channel MOS architectures
US5461250A (en) 1992-08-10 1995-10-24 International Business Machines Corporation SiGe thin film or SOI MOSFET and method for making the same
US5273915A (en) 1992-10-05 1993-12-28 Motorola, Inc. Method for fabricating bipolar junction and MOS transistors on SOI
US5596529A (en) 1993-11-30 1997-01-21 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
JPH0846139A (en) 1994-05-06 1996-02-16 Texas Instr Inc <Ti> Polysilicon resistor and its manufacture
US5479033A (en) 1994-05-27 1995-12-26 Sandia Corporation Complementary junction heterostructure field-effect transistor
US5447884A (en) 1994-06-29 1995-09-05 International Business Machines Corporation Shallow trench isolation with thin nitride liner
US6433382B1 (en) 1995-04-06 2002-08-13 Motorola, Inc. Split-gate vertically oriented EEPROM device and process
US5955766A (en) 1995-06-12 1999-09-21 Kabushiki Kaisha Toshiba Diode with controlled breakdown
DE69624107T2 (en) 1996-07-18 2003-06-05 St Microelectronics Srl Flash EEPROM cell with a single polysilicon layer and manufacturing method
TW335558B (en) 1996-09-03 1998-07-01 Ibm High temperature superconductivity in strained SiSiGe
US5789807A (en) 1996-10-15 1998-08-04 International Business Machines Corporation On-chip power distribution for improved decoupling
US5811857A (en) 1996-10-22 1998-09-22 International Business Machines Corporation Silicon-on-insulator body-coupled gated diode for electrostatic discharge (ESD) and analog applications
DE19720008A1 (en) 1997-05-13 1998-11-19 Siemens Ag Integrated CMOS circuit arrangement and method for its production
US6027988A (en) 1997-05-28 2000-02-22 The Regents Of The University Of California Method of separating films from bulk substrates by plasma immersion ion implantation
US5894152A (en) 1997-06-18 1999-04-13 International Business Machines Corporation SOI/bulk hybrid substrate and method of forming the same
KR100400808B1 (en) 1997-06-24 2003-10-08 매사츄세츠 인스티튜트 오브 테크놀러지 CONTROLLING THREADING DISLOCATION DENSITIES IN Ge ON Si USING GRADED GeSi LAYERS AND PLANARIZATION
US6221709B1 (en) 1997-06-30 2001-04-24 Stmicroelectronics, Inc. Method of fabricating a CMOS integrated circuit device with LDD N-channel transistor and non-LDD P-channel transistor
US6096591A (en) 1997-06-30 2000-08-01 Advanced Micro Devices, Inc. Method of making an IGFET and a protected resistor with reduced processing steps
US6103599A (en) 1997-07-25 2000-08-15 Silicon Genesis Corporation Planarizing technique for multilayered substrates
EP0923116A1 (en) 1997-12-12 1999-06-16 STMicroelectronics S.r.l. Process for manufacturing integrated multi-crystal silicon resistors in MOS technology and integrated MOS device comprising multi-crystal silicon resistors
US6100153A (en) 1998-01-20 2000-08-08 International Business Machines Corporation Reliable diffusion resistor and diffusion capacitor
US5972722A (en) 1998-04-14 1999-10-26 Texas Instruments Incorporated Adhesion promoting sacrificial etch stop layer in advanced capacitor structures
US6100204A (en) 1998-07-28 2000-08-08 Advanced Micro Devices, Inc. Method of making ultra thin gate oxide using aluminum oxide
US6008095A (en) 1998-08-07 1999-12-28 Advanced Micro Devices, Inc. Process for formation of isolation trenches with high-K gate dielectrics
US5965917A (en) 1999-01-04 1999-10-12 Advanced Micro Devices, Inc. Structure and method of formation of body contacts in SOI MOSFETS to elimate floating body effects
US6303479B1 (en) 1999-12-16 2001-10-16 Spinnaker Semiconductor, Inc. Method of manufacturing a short-channel FET with Schottky-barrier source and drain contacts
US6255175B1 (en) 2000-01-07 2001-07-03 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with minimized parasitic Miller capacitance
TW503439B (en) 2000-01-21 2002-09-21 United Microelectronics Corp Combination structure of passive element and logic circuit on silicon on insulator wafer
US6475838B1 (en) 2000-03-14 2002-11-05 International Business Machines Corporation Methods for forming decoupling capacitors
JP3504212B2 (en) 2000-04-04 2004-03-08 シャープ株式会社 Semiconductor device with SOI structure
US6281059B1 (en) 2000-05-11 2001-08-28 Worldwide Semiconductor Manufacturing Corp. Method of doing ESD protective device ion implant without additional photo mask
DE10025264A1 (en) 2000-05-22 2001-11-29 Max Planck Gesellschaft Field effect transistor based on embedded cluster structures and method for its production
KR100393205B1 (en) 2000-05-30 2003-07-31 삼성전자주식회사 Memory merged logic semiconductor device of salicided dual gate structure including embedded memory of self-aligned contact structure and Method of manufacturing the same
US6429061B1 (en) 2000-07-26 2002-08-06 International Business Machines Corporation Method to fabricate a strained Si CMOS structure using selective epitaxial deposition of Si after device isolation formation
WO2002047168A2 (en) 2000-12-04 2002-06-13 Amberwave Systems Corporation Cmos inverter circuits utilizing strained silicon surface channel mosfets
US6475869B1 (en) 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
US6646322B2 (en) 2001-03-02 2003-11-11 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6603156B2 (en) 2001-03-31 2003-08-05 International Business Machines Corporation Strained silicon on insulator structures
US6593181B2 (en) 2001-04-20 2003-07-15 International Business Machines Corporation Tailored insulator properties for devices
US6586311B2 (en) 2001-04-25 2003-07-01 Advanced Micro Devices, Inc. Salicide block for silicon-on-insulator (SOI) applications
JP2002329861A (en) 2001-05-01 2002-11-15 Mitsubishi Electric Corp Semiconductor device and its manufacturing method
US6489684B1 (en) 2001-05-14 2002-12-03 Taiwan Semiconductor Manufacturing Company Reduction of electromigration in dual damascene connector
US7138649B2 (en) 2001-08-09 2006-11-21 Amberwave Systems Corporation Dual-channel CMOS transistors with differentially strained channels
US6703271B2 (en) 2001-11-30 2004-03-09 Taiwan Semiconductor Manufacturing Company Complementary metal oxide semiconductor transistor technology using selective epitaxy of a strained silicon germanium layer
US6657259B2 (en) 2001-12-04 2003-12-02 International Business Machines Corporation Multiple-plane FinFET CMOS
US6657276B1 (en) 2001-12-10 2003-12-02 Advanced Micro Devices, Inc. Shallow trench isolation (STI) region with high-K liner and method of formation
US6600170B1 (en) 2001-12-17 2003-07-29 Advanced Micro Devices, Inc. CMOS with strained silicon channel NMOS and silicon germanium channel PMOS
FI119215B (en) 2002-01-31 2008-08-29 Imbera Electronics Oy A method for immersing a component in a substrate and an electronic module
JP2003282726A (en) 2002-03-27 2003-10-03 Nec Electronics Corp Semiconductor device and its manufacturing method
US6784101B1 (en) 2002-05-16 2004-08-31 Advanced Micro Devices Inc Formation of high-k gate dielectric layers for MOS devices fabricated on strained lattice semiconductor substrates with minimized stress relaxation
JP4136452B2 (en) 2002-05-23 2008-08-20 株式会社ルネサステクノロジ Semiconductor device and manufacturing method thereof
US6812103B2 (en) 2002-06-20 2004-11-02 Micron Technology, Inc. Methods of fabricating a dielectric plug in MOSFETS to suppress short-channel effects
US6617643B1 (en) 2002-06-28 2003-09-09 Mcnc Low power tunneling metal-oxide-semiconductor (MOS) device
US6969618B2 (en) 2002-08-23 2005-11-29 Micron Technology, Inc. SOI device having increased reliability and reduced free floating body effects
JP4030383B2 (en) 2002-08-26 2008-01-09 株式会社ルネサステクノロジ Semiconductor device and manufacturing method thereof
DE10240423B4 (en) 2002-09-02 2007-02-22 Advanced Micro Devices, Inc., Sunnyvale Semiconductor element having a field effect transistor and a passive capacitor with reduced leakage current and an improved capacity per unit area and method for its production
US6919233B2 (en) 2002-12-31 2005-07-19 Texas Instruments Incorporated MIM capacitors and methods for fabricating same
US6924181B2 (en) 2003-02-13 2005-08-02 Taiwan Semiconductor Manufacturing Co., Ltd Strained silicon layer semiconductor product employing strained insulator layer
US6921913B2 (en) 2003-03-04 2005-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel transistor structure with lattice-mismatched zone
US6794764B1 (en) 2003-03-05 2004-09-21 Advanced Micro Devices, Inc. Charge-trapping memory arrays resistant to damage from contact hole information
US6845034B2 (en) 2003-03-11 2005-01-18 Micron Technology, Inc. Electronic systems, constructions for detecting properties of objects, and assemblies for identifying persons
US6762448B1 (en) 2003-04-03 2004-07-13 Advanced Micro Devices, Inc. FinFET device with multiple fin structures
US6936506B1 (en) 2003-05-22 2005-08-30 Advanced Micro Devices, Inc. Strained-silicon devices with different silicon thicknesses
US7081395B2 (en) 2003-05-23 2006-07-25 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon strain engineering accomplished via use of specific shallow trench isolation fill materials
US20040266116A1 (en) 2003-06-26 2004-12-30 Rj Mears, Llc Methods of fabricating semiconductor structures having improved conductivity effective mass
US20040262683A1 (en) 2003-06-27 2004-12-30 Bohr Mark T. PMOS transistor strain optimization with raised junction regions
US6936881B2 (en) 2003-07-25 2005-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitor that includes high permittivity capacitor dielectric
US6830962B1 (en) 2003-08-05 2004-12-14 International Business Machines Corporation Self-aligned SOI with different crystal orientation using wafer bonding and SIMOX processes
US7101742B2 (en) 2003-08-12 2006-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel complementary field-effect transistors and methods of manufacture
US7112495B2 (en) 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
US7071052B2 (en) 2003-08-18 2006-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Resistor with reduced leakage
US20050186722A1 (en) 2004-02-25 2005-08-25 Kuan-Lun Cheng Method and structure for CMOS device with stress relaxed by ion implantation of carbon or oxygen containing ions
US7224068B2 (en) 2004-04-06 2007-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Stable metal structure with tungsten plug
US7115974B2 (en) 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
US20050266632A1 (en) 2004-05-26 2005-12-01 Yun-Hsiu Chen Integrated circuit with strained and non-strained transistors, and method of forming thereof
JP4700295B2 (en) * 2004-06-08 2011-06-15 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof
JP2007311491A (en) 2006-05-17 2007-11-29 Toshiba Corp Semiconductor integrated circuit
US7800150B2 (en) 2007-05-29 2010-09-21 United Microelectronics Corp. Semiconductor device
US7943961B2 (en) 2008-03-13 2011-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strain bars in stressed layers of MOS devices

Patent Citations (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4069094A (en) * 1976-12-30 1978-01-17 Rca Corporation Method of manufacturing apertured aluminum oxide substrates
US4314269A (en) * 1978-06-06 1982-02-02 Vlsi Technology Research Association Semiconductor resistor comprising a resistor layer along a side surface
US4497683A (en) * 1982-05-03 1985-02-05 At&T Bell Laboratories Process for producing dielectrically isolated silicon devices
US4892614A (en) * 1986-07-07 1990-01-09 Texas Instruments Incorporated Integrated circuit isolation process
US5130773A (en) * 1989-06-30 1992-07-14 Hitachi, Ltd. Semiconductor device with photosensitivity
US5378919A (en) * 1991-01-21 1995-01-03 Sony Corporation Semiconductor integrated circuit device with plural gates and plural passive devices
US5525828A (en) * 1991-10-31 1996-06-11 International Business Machines Corporation High speed silicon-based lateral junction photodetectors having recessed electrodes and thick oxide to reduce fringing fields
US5534713A (en) * 1994-05-20 1996-07-09 International Business Machines Corporation Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers
US5596524A (en) * 1995-04-21 1997-01-21 Advanced Micro Devices, Inc. CMOS memory cell with gate oxide of both NMOS and PMOS transistors as tunneling window for program and erase
US5629544A (en) * 1995-04-25 1997-05-13 International Business Machines Corporation Semiconductor diode with silicide films and trench isolation
US5783850A (en) * 1995-04-27 1998-07-21 Taiwan Semiconductor Manufacturing Company Undoped polysilicon gate process for NMOS ESD protection circuits
US5708288A (en) * 1995-11-02 1998-01-13 Motorola, Inc. Thin film silicon on insulator semiconductor integrated circuit with electrostatic damage protection and method
US6396506B1 (en) * 1996-03-15 2002-05-28 Hitachi, Ltd. Display operation method to change the number of images to be displayed and to independently change image direction and rotation of each image
US6674100B2 (en) * 1996-09-17 2004-01-06 Matsushita Electric Industrial Co., Ltd. SiGeC-based CMOSFET with separate heterojunctions
US6027968A (en) * 1996-11-19 2000-02-22 International Business Machines Corporation Advanced damascene planar stack capacitor fabrication method
US5763315A (en) * 1997-01-28 1998-06-09 International Business Machines Corporation Shallow trench isolation with oxide-nitride/oxynitride liner
US6046487A (en) * 1997-01-28 2000-04-04 International Business Machines Corporation Shallow trench isolation with oxide-nitride/oxynitride liner
US5714777A (en) * 1997-02-19 1998-02-03 International Business Machines Corporation Si/SiGe vertical junction field effect transistor
US6059895A (en) * 1997-04-30 2000-05-09 International Business Machines Corporation Strained Si/SiGe layers on insulator
US6190996B1 (en) * 1997-11-12 2001-02-20 Micron Technology, Inc. Method of making an insulator for electrical structures
US6222234B1 (en) * 1998-04-15 2001-04-24 Nec Corporation Semiconductor device having partially and fully depleted SOI elements on a common substrate
US6558998B2 (en) * 1998-06-15 2003-05-06 Marc Belleville SOI type integrated circuit with a decoupling capacity and process for embodiment of such a circuit
US6407406B1 (en) * 1998-06-30 2002-06-18 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6387739B1 (en) * 1998-08-07 2002-05-14 International Business Machines Corporation Method and improved SOI body contact structure for transistors
US6232163B1 (en) * 1998-08-31 2001-05-15 International Business Machines Corporation Method of forming a semiconductor diode with depleted polysilicon gate structure
US6015993A (en) * 1998-08-31 2000-01-18 International Business Machines Corporation Semiconductor diode with depleted polysilicon gate structure and method
US6256239B1 (en) * 1998-10-27 2001-07-03 Fujitsu Limited Redundant decision circuit for semiconductor memory device
US6258664B1 (en) * 1999-02-16 2001-07-10 Micron Technology, Inc. Methods of forming silicon-comprising materials having roughened outer surfaces, and methods of forming capacitor constructions
US20020045318A1 (en) * 1999-04-09 2002-04-18 Coming Chen Method for manufacturing mos transistor
US6358791B1 (en) * 1999-06-04 2002-03-19 International Business Machines Corporation Method for increasing a very-large-scale-integrated (VLSI) capacitor size on bulk silicon and silicon-on-insulator (SOI) wafers and structure formed thereby
US20020074598A1 (en) * 1999-06-28 2002-06-20 Doyle Brian S. Methodology for control of short channel effects in MOS transistors
US6737710B2 (en) * 1999-06-30 2004-05-18 Intel Corporation Transistor structure having silicide source/drain extensions
US6339232B1 (en) * 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
US20030136985A1 (en) * 1999-12-30 2003-07-24 Murthy Anand S. Field effect transistor structure with partially isolated source/drain junctions and methods of making same
US20030098479A1 (en) * 1999-12-30 2003-05-29 Anand Murthy Novel MOS transistor structure and method of fabrication
US6541343B1 (en) * 1999-12-30 2003-04-01 Intel Corporation Methods of making field effect transistor structure with partially isolated source/drain junctions
US6396137B1 (en) * 2000-03-15 2002-05-28 Kevin Mark Klughart Integrated voltage/current/power regulator/switch system and method
US6420264B1 (en) * 2000-04-12 2002-07-16 Ultratech Stepper, Inc. Method of forming a silicide region in a Si substrate and a device having same
US6420218B1 (en) * 2000-04-24 2002-07-16 Advanced Micro Devices, Inc. Ultra-thin-body SOI MOS transistors having recessed source and drain regions
US6724019B2 (en) * 2000-05-25 2004-04-20 Renesas Technology Corporation Multi-layered, single crystal field effect transistor
US20040129982A1 (en) * 2000-05-25 2004-07-08 Renesas Technology Corporation Semiconductor device and manufacturing method
US6555839B2 (en) * 2000-05-26 2003-04-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US6524905B2 (en) * 2000-07-14 2003-02-25 Nec Corporation Semiconductor device, and thin film capacitor
US20020008289A1 (en) * 2000-07-24 2002-01-24 Junichi Murota Mosfet with strained channel layer
US20020076899A1 (en) * 2000-08-02 2002-06-20 Stmicroelectronics S.A. Process for fabricating a substrate of the silicon-on-insulator or silicon-on-nothing type and resulting device
US20020031890A1 (en) * 2000-08-28 2002-03-14 Takayuki Watanabe Semiconductor device of STI structure and method of fabricating MOS transistors having consistent threshold voltages
US6525403B2 (en) * 2000-09-28 2003-02-25 Kabushiki Kaisha Toshiba Semiconductor device having MIS field effect transistors or three-dimensional structure
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US20040029323A1 (en) * 2000-11-22 2004-02-12 Akihiro Shimizu Semiconductor device and method for fabricating the same
US6414355B1 (en) * 2001-01-26 2002-07-02 Advanced Micro Devices, Inc. Silicon-on-insulator (SOI) chip having an active layer of non-uniform thickness
US20030080386A1 (en) * 2001-02-15 2003-05-01 United Microelectronics Corp. Silicon-on-insulator diodes and ESD protection circuits
US6518610B2 (en) * 2001-02-20 2003-02-11 Micron Technology, Inc. Rhodium-rich oxygen barriers
US6867101B1 (en) * 2001-04-04 2005-03-15 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having a nitride/high-k/nitride gate dielectric stack by atomic layer deposition (ALD) and a device thereby formed
US20040108598A1 (en) * 2001-04-18 2004-06-10 International Business Machines Corporation Self-aligned silicide process for silicon sidewall source and drain contacts and structure formed thereby
US20030001219A1 (en) * 2001-06-29 2003-01-02 Chau Robert S. Novel transistor structure and method of fabrication
US6576526B2 (en) * 2001-07-09 2003-06-10 Chartered Semiconductor Manufacturing Ltd. Darc layer for MIM process integration
US20030030091A1 (en) * 2001-08-13 2003-02-13 Amberwave Systems Corporation Dynamic random access memory trench capacitors
US6690082B2 (en) * 2001-09-28 2004-02-10 Agere Systems Inc. High dopant concentration diffused resistor and method of manufacture therefor
US6521952B1 (en) * 2001-10-22 2003-02-18 United Microelectronics Corp. Method of forming a silicon controlled rectifier devices in SOI CMOS process for on-chip ESD protection
US20030080388A1 (en) * 2001-10-29 2003-05-01 Power Integrations, Inc. Lateral power mosfet for high switching speeds
US20030080361A1 (en) * 2001-11-01 2003-05-01 Anand Murthy Semiconductor transistor having a stressed channel
US6885084B2 (en) * 2001-11-01 2005-04-26 Intel Corporation Semiconductor transistor having a stressed channel
US6861318B2 (en) * 2001-11-01 2005-03-01 Intel Corporation Semiconductor transistor having a stressed channel
US20040070035A1 (en) * 2001-11-01 2004-04-15 Anand Murthy Semiconductor transistor having a stressed channel
US7193269B2 (en) * 2001-12-10 2007-03-20 Nec Corporation MOS semiconductor device
US20030181005A1 (en) * 2002-03-19 2003-09-25 Kiyota Hachimine Semiconductor device and a method of manufacturing the same
US20040026765A1 (en) * 2002-06-07 2004-02-12 Amberwave Systems Corporation Semiconductor devices having strained dual channel layers
US6998311B2 (en) * 2002-06-10 2006-02-14 Micron Technology, Inc. Methods of forming output prediction logic circuits with ultra-thin vertical transistors
US20040018668A1 (en) * 2002-06-25 2004-01-29 Advanced Micro Devices, Inc. Silicon-on-insulator device with strained device film and method for making the same with partial replacement of isolation oxide
US6740535B2 (en) * 2002-07-29 2004-05-25 International Business Machines Corporation Enhanced T-gate structure for modulation doped field effect transistors
US20040016972A1 (en) * 2002-07-29 2004-01-29 Dinkar Singh Enhanced t-gate structure for modulation doped field effect transistors
US6686247B1 (en) * 2002-08-22 2004-02-03 Intel Corporation Self-aligned contacts to gates
US6573172B1 (en) * 2002-09-16 2003-06-03 Advanced Micro Devices, Inc. Methods for improving carrier mobility of PMOS and NMOS devices
US20040063300A1 (en) * 2002-10-01 2004-04-01 Taiwan Semiconductor Manufacturing Company Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US20040087098A1 (en) * 2002-11-01 2004-05-06 Chartered Semiconductor Manufacturing Ltd. Mim and metal resistor formation at cu beol using only one extra mask
US20040104405A1 (en) * 2002-12-02 2004-06-03 Taiwan Semiconductor Manufacturing Company Novel CMOS device
US6734527B1 (en) * 2002-12-12 2004-05-11 Advanced Micro Devices, Inc. CMOS devices with balanced drive currents based on SiGe
US6720619B1 (en) * 2002-12-13 2004-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating partially-depleted, fully-depleted, and multiple-gate devices
US7029994B2 (en) * 2003-04-03 2006-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel on insulator device
US6900502B2 (en) * 2003-04-03 2005-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel on insulator device
US6882025B2 (en) * 2003-04-25 2005-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Strained-channel transistor and methods of manufacture
US7052964B2 (en) * 2003-04-25 2006-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel transistor and methods of manufacture
US6867433B2 (en) * 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
US20060001073A1 (en) * 2003-05-21 2006-01-05 Jian Chen Use of voids between elements in semiconductor structures for isolation
US6982433B2 (en) * 2003-06-12 2006-01-03 Intel Corporation Gate-induced strain for MOS performance improvement
US20050012087A1 (en) * 2003-07-15 2005-01-20 Yi-Ming Sheu Self-aligned MOSFET having an oxide region below the channel
US20050082522A1 (en) * 2003-07-25 2005-04-21 Yi-Chun Huang Strained channel transistor formation
US7354843B2 (en) * 2003-07-25 2008-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a capacitor that includes forming a bottom electrode in a strained silicon layer
US6891192B2 (en) * 2003-08-04 2005-05-10 International Business Machines Corporation Structure and method of making strained semiconductor CMOS transistors having lattice-mismatched semiconductor regions underlying source and drain regions
US20050029601A1 (en) * 2003-08-04 2005-02-10 International Business Machines Corporation Structure and method of making strained semiconductor cmos transistors having lattice-mismatched source and drain regions
US20050035410A1 (en) * 2003-08-15 2005-02-17 Yee-Chia Yeo Semiconductor diode with reduced leakage
US20050035369A1 (en) * 2003-08-15 2005-02-17 Chun-Chieh Lin Structure and method of forming integrated circuits utilizing strained channel transistors
US20050093078A1 (en) * 2003-10-30 2005-05-05 Victor Chan Increasing carrier mobility in NFET and PFET transistors on a common wafer
US6872610B1 (en) * 2003-11-18 2005-03-29 Texas Instruments Incorporated Method for preventing polysilicon mushrooming during selective epitaxial processing
US20050136584A1 (en) * 2003-12-23 2005-06-23 Boyan Boyanov Strained transistor integration for CMOS
US7220630B2 (en) * 2004-05-21 2007-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method for selectively forming strained etch stop layers to improve FET charge carrier mobility
US20060121727A1 (en) * 2004-12-07 2006-06-08 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric and a titanium carbide gate electrode
US7303955B2 (en) * 2005-02-21 2007-12-04 Samsung Electronics Co., Ltd. Semiconductor memory device with high operating current and method of manufacturing the same
US7164163B2 (en) * 2005-02-22 2007-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Strained transistor with hybrid-strain inducing layer
US7528028B2 (en) * 2005-06-17 2009-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Super anneal for process induced strain modulation
US20070040225A1 (en) * 2005-08-22 2007-02-22 Yang Haining S High performance MOSFET comprising a stressed gate metal silicide layer and method of fabricating the same
US20100078725A1 (en) * 2008-09-29 2010-04-01 Yung-Chin Hou Standard Cell without OD Space Effect in Y-Direction

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
"1-D and 2-D Geometry Effects in Uniaxially-Strained Dual Etch Stop Layer Stressor Integrations" by Grudowski et al. 2006 Symposium on VLSI Technology Digest of Technical Papers, 1-4244-0005-8/06/$20.00 (c) 2006 IEEE *

Cited By (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7968915B2 (en) 2007-06-05 2011-06-28 International Business Machines Corporation Dual stress memorization technique for CMOS application
US20090298297A1 (en) * 2007-06-05 2009-12-03 International Business Machines Corporation Dual stress memorization technique for cmos application
US20080303101A1 (en) * 2007-06-05 2008-12-11 International Business Machines Corporation Dual stress memorization technique for cmos application
US7834399B2 (en) * 2007-06-05 2010-11-16 International Business Machines Corporation Dual stress memorization technique for CMOS application
US20120211807A1 (en) * 2007-10-15 2012-08-23 Taiwan Semiconductor Manufacturing Comapny, Ltd. System and Method for Source/Drain Contact Processing
US11038056B2 (en) * 2007-10-15 2021-06-15 Taiwan Semiconductor Manufacturing Company, Ltd. Hsin-Chu, Taiwan System and method for source/drain contact processing
US8227869B2 (en) 2008-03-13 2012-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Performance-aware logic operations for generating masks
US8389316B2 (en) 2008-03-13 2013-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Strain bars in stressed layers of MOS devices
US7943961B2 (en) 2008-03-13 2011-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strain bars in stressed layers of MOS devices
US8122394B2 (en) * 2008-09-17 2012-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Performance-aware logic operations for generating masks
US20100065913A1 (en) * 2008-09-17 2010-03-18 Lee-Chung Lu Performance-Aware Logic Operations for Generating Masks
US7808051B2 (en) 2008-09-29 2010-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell without OD space effect in Y-direction
US8828887B2 (en) 2008-11-28 2014-09-09 GLOBALFOUNDRIE Inc. Restricted stress regions formed in the contact level of a semiconductor device
DE102008059498A1 (en) * 2008-11-28 2010-06-10 Advanced Micro Devices, Inc., Sunnyvale Limited strain regions formed in the contact plane of a semiconductor device
US20100133621A1 (en) * 2008-11-28 2010-06-03 Kai Frohberg Restricted stress regions formed in the contact level of a semiconductor device
DE102008059498B4 (en) * 2008-11-28 2012-12-06 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Method for limiting stress layers formed in the contact plane of a semiconductor device
US20110101462A1 (en) * 2009-11-04 2011-05-05 c/o FUJITSU SEMICONDUCTOR LIMITED Method for designing a semiconductor device including stress films
US8723237B2 (en) * 2009-11-04 2014-05-13 Fujitsu Semiconductor Limited Method for designing a semiconductor device including stress films
US8778752B2 (en) * 2009-11-04 2014-07-15 Fujitu Semiconductor Limited Method for designing a semiconductor device including stress films
JP2011100789A (en) * 2009-11-04 2011-05-19 Fujitsu Semiconductor Ltd Semiconductor device and method of designing the same, and method of manufacturing semiconductor device
US20110210393A1 (en) * 2010-03-01 2011-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Dual epitaxial process for a finfet device
US8937353B2 (en) 2010-03-01 2015-01-20 Taiwan Semiconductor Manufacturing Co., Ltd. Dual epitaxial process for a finFET device
US9224737B2 (en) 2010-03-01 2015-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Dual epitaxial process for a finFET device
US9123558B2 (en) 2011-06-20 2015-09-01 Mediatek Inc. Bipolar junction transistor
US20130049128A1 (en) * 2011-08-25 2013-02-28 Globalfoundries Inc. Semiconductor Device with Dual Metal Silicide Regions and Methods of Making Same
US8558290B2 (en) * 2011-08-25 2013-10-15 Globalfoundries Inc. Semiconductor device with dual metal silicide regions and methods of making same
US8648388B2 (en) 2012-02-15 2014-02-11 International Business Machines Corporation High performance multi-finger strained silicon germanium channel PFET and method of fabrication
US20150295070A1 (en) * 2012-11-16 2015-10-15 Institute of Microelectronics, Chinese Academy of Sciences Finfet and method for manufacturing the same
CN103247649A (en) * 2013-05-07 2013-08-14 上海华力微电子有限公司 Method for reducing electrical mutual disturbance of image sensor
US9853026B2 (en) * 2013-06-04 2017-12-26 Semiconductor Manufacturing International (Shanghai) Corporation FinFET device and fabrication method thereof
US20140353715A1 (en) * 2013-06-04 2014-12-04 Semiconductor Manufacturing International (Shanghai) Corporation Finfet device and fabrication method thereof
US20150035070A1 (en) * 2013-07-31 2015-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method and layout of an integrated circuit
US9245887B2 (en) * 2013-07-31 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method and layout of an integrated circuit
US9390982B2 (en) * 2013-09-13 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS devices with reduced leakage and methods of forming the same
US20150228782A1 (en) * 2014-02-07 2015-08-13 Taiwan Semiconductor Manufacturing Company Ltd. Field effect transistor with heterostructure channel
US9437738B2 (en) * 2014-02-07 2016-09-06 Taiwan Semiconductor Manufacturing Company Ltd. Field effect transistor with heterostructure channel
US20150311203A1 (en) * 2014-04-24 2015-10-29 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device
US9472632B2 (en) * 2014-04-24 2016-10-18 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device

Also Published As

Publication number Publication date
TWI374541B (en) 2012-10-11
TW200832702A (en) 2008-08-01
US8558278B2 (en) 2013-10-15
CN100570893C (en) 2009-12-16
CN101226958A (en) 2008-07-23

Similar Documents

Publication Publication Date Title
US8558278B2 (en) Strained transistor with optimized drive current and method of forming
US7372099B2 (en) Semiconductor device and its manufacturing method
US7112483B2 (en) Method for forming a device having multiple silicide types
US7164163B2 (en) Strained transistor with hybrid-strain inducing layer
US9129986B2 (en) Spacer chamfering for a replacement metal gate device
US8324038B2 (en) Method of removing a spacer, method of manufacturing a metal-oxide-semiconductor transistor device, and metal-oxide-semiconductor transistor device
US8659089B2 (en) Nitrogen passivation of source and drain recesses
US7569896B2 (en) Transistors with stressed channels
US20080277726A1 (en) Devices with Metal Gate, High-k Dielectric, and Butted Electrodes
US9123570B2 (en) Integration scheme for changing crystal orientation in hybrid orientation technology (HOT) using direct silicon bonded (DSB) substrates
KR20110123733A (en) Methods for fabricating mos devices having epitaxially grown stress-inducing source and drain regions
US20140197410A1 (en) Semiconductor Structure and Method for Manufacturing the Same
US7190033B2 (en) CMOS device and method of manufacture
US20080185661A1 (en) Semiconductor device and method for fabricating the same
US7821074B2 (en) Semiconductor device and method for manufacturing same
US8741721B2 (en) Semiconductor device and manufacturing method thereof
US9666690B2 (en) Integrated circuit and method for fabricating the same having a replacement gate structure
JP2010536169A (en) Circuit structure having metal gate and high-K dielectric
US20090298244A1 (en) Mobility Enhanced FET Devices
US20090039436A1 (en) High Performance Metal Gate CMOS with High-K Gate Dielectric
US9281246B2 (en) Strain adjustment in the formation of MOS devices
US20090090974A1 (en) Dual stress liner structure having substantially planar interface between liners and related method
US8748248B2 (en) Semiconductor device including contact holes and method for forming the same
JP2008539592A (en) Semiconductor devices with gate insulating films with different blocking characteristics
US20070281432A1 (en) Transistor and method of providing interlocking strained silicon on a silicon substrate

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHUANG, HARRY;THEI, KONG-BENG;GUO, WEN-HUEI;AND OTHERS;REEL/FRAME:019789/0810

Effective date: 20070815

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

LAPS Lapse for failure to pay maintenance fees

Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20211015