US20080142852A1 - Semiconductor device structure with active regions having different surface directions - Google Patents

Semiconductor device structure with active regions having different surface directions Download PDF

Info

Publication number
US20080142852A1
US20080142852A1 US12/032,913 US3291308A US2008142852A1 US 20080142852 A1 US20080142852 A1 US 20080142852A1 US 3291308 A US3291308 A US 3291308A US 2008142852 A1 US2008142852 A1 US 2008142852A1
Authority
US
United States
Prior art keywords
wafer
current flow
nfets
pfets
orientation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/032,913
Inventor
Bruce B. Doris
Oleg Gluschenkov
Meikei Ieong
Effendi Leobandung
Huilong Zhu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US12/032,913 priority Critical patent/US20080142852A1/en
Publication of US20080142852A1 publication Critical patent/US20080142852A1/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76275Vertical isolation by bonding techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76278Vertical isolation by selective deposition of single crystal silicon, i.e. SEG techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

Semiconductor structure and method to simultaneously achieve optimal stress type and current flow for both nFET and pFET devices, and for gates orientated in one direction, are disclosed. One embodiment of the method includes bonding a first wafer having a first surface direction and a first surface orientation atop a second wafer having a different second surface orientation and a different second surface direction; forming an opening through the first wafer to the second wafer; and forming a region in the opening coplanar with a surface of the first wafer, wherein the region has the second surface orientation and the second surface direction. The semiconductor device structure includes at least two active regions having different surface directions, each active region including one of a plurality of nFETs and a plurality of pFETs, and wherein a gate electrode orientation is such that the nFETs and the pFETs are substantially parallel to each other.

Description

  • The current application is a continuation application of co-pending U.S. patent application Ser. No. 10/711,416 filed on Sep. 17, 2004, which is hereby incorporated by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Technical Field
  • The present invention relates generally to semiconductor devices, and more particularly, to a semiconductor device structure with active regions having different surface directions and local mechanical stress, and a method of forming the same.
  • 2. Related Art
  • Performance improvement of semiconductor devices is a never-ending endeavor for manufacturers of those devices. One of many mechanisms to improve performance of semiconductor devices is to apply local mechanical stress to particular devices. That is, stress that is mechanically applied to localized areas of a semiconductor device. One challenge in providing these stresses, however, is that the optimal stress type and direction relative to current flow direction is different for the two types of devices commonly used on semiconductors, i.e., n-type field effect transistors (nFETs) and p-type field effect transistors (pFETs).
  • In terms of current flow, conventional complementary metal-oxide semiconductor (CMOS) technologies use wafers with (100) surface orientation, and a notch in the <110> direction so that the current flow direction for nFETs and pFETs is in the <110> direction. In terms of applying mechanical stress to devices, piezoresistive coefficients have been measured for silicon (Si) with a (100) surface orientation. These measurements indicate that an optimal nFET has a current flow in the <100> direction with a tensile stress in a longitudinal direction and a compressive stress in the transverse direction relative to the current flow direction. In contrast, an optimal pFET has been found to have current flow in the <110> direction with a compressive stress in the longitudinal direction and a tensile stress in the transverse direction relative to the current flow direction. Based on these facts, applying the optimal stress type and direction relative to current flow direction is unfeasible using conventional technology.
  • Another challenge to achieving these optimal structures is presented by the state-of-the-art lithography requirement that gate electrodes must be oriented in a single orientation, e.g., for static random access memory (SRAM). Conventional technology does not allow achievement of both the best stress type and current flow directions, and single gate electrode orientation.
  • In view of the foregoing, there is a need in the art for a structure and method to simultaneously achieve the optimal stress type and direction with respect to current flow for both types of devices, and for gates orientated in one direction.
  • SUMMARY OF THE INVENTION
  • This invention teaches a new semiconductor structure and method to simultaneously achieve optimal stress type and current flow for both nFET and pFET devices, and for gates orientated in one direction. One embodiment of the method includes bonding a first wafer having a first surface direction and a first surface orientation atop a second wafer having a different second surface orientation and a different second surface direction; forming an opening through the first wafer to the second wafer; and forming a region in the opening coplanar with a surface of the first wafer, wherein the region has the second surface orientation and the second surface direction. The semiconductor device structure includes at least two active regions having different surface directions, each active region including one of a plurality of nFETs and a plurality of pFETs, and wherein a gate electrode orientation is such that the nFETs and the pFETs are substantially parallel to each other.
  • A first aspect of the invention is directed to a semiconductor device structure comprising: at least two active regions having different surface directions, each active region including one of a plurality of nFETs and a plurality of pFETs, and wherein a gate electrode orientation is such that the nFETs and the pFETs are substantially parallel to each other.
  • A second aspect of the invention is directed to a method of forming a semiconductor device structure, the method comprising the steps of: bonding a first wafer having a first surface direction and a first surface orientation atop a second wafer having a different second surface orientation and a different second surface direction; forming an opening through the first wafer to the second wafer; and forming a region in the opening coplanar with a surface of the first wafer, wherein the region has the second surface orientation and the second surface direction.
  • A third aspect of the invention is directed to a method of forming a semiconductor device structure, the method comprising the steps of: bonding a first wafer having a first surface direction and a first surface orientation atop a second wafer having a different second surface direction and a different second surface orientation; forming an opening through the first wafer to a silicon layer of the second wafer; generating a silicon in the opening to a surface of the first wafer, wherein the silicon has the different second surface orientation; forming a plurality of pFETs on the silicon, and a plurality of nFETs on another region of the first wafer, wherein gate electrodes of the FETs are substantially parallel to one another; and applying at least one of a filled trench configuration and at least one process to provide: a compressive stress in a longitudinal direction with respect to a current flow of the pFETs and a transverse direction with respect to a current flow of the nFETs; and a tensile stress in a longitudinal direction with respect to a current flow of the nFETs and a transverse direction with respect to a current flow of the pFETs.
  • The foregoing and other features of the invention will be apparent from the following more particular description of embodiments of the invention.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The embodiments of this invention will be described in detail, with reference to the following figures, wherein like designations denote like elements, and wherein:
  • FIGS. 1-10 show a method of forming a semiconductor device structure according to the invention.
  • FIG. 11 shows a schematic plan view of stresses applied to a semiconductor device structure according to the invention.
  • DETAILED DESCRIPTION OF THE INVENTION
  • With reference to the accompanying drawings, FIGS. 1-10 illustrate an embodiment of a method of forming a semiconductor device structure according to the invention.
  • In a first step, shown in FIGS. 1 and 2, a first wafer 10 having a first surface direction, indicated by notch 12, is bonded atop a second wafer 14 having a different second surface direction, indicated by notch 16. Surface direction is also indicated by arrow 18 for wafer 10 and arrow 20 for wafer 14. As used herein, “surface direction” refers to a crystallographic direction of current flow in a surface of the silicon of a wafer, and can be denoted, as known in the art, with notations such as <100>, <110>, <111>, etc., which describe the direction of current flow in a crystal flow in a crystal lattice. Hence, first wafer 10 is rotated, for example, 45° relative to second wafer 14 to generate the different surface directions. In a first embodiment, first wafer 10 may be positioned with notch 12 along the <100> surface direction, and second wafer 14 may be positioned with notch 16 along the <110> surface direction. In a second embodiment, first wafer 10 may have a (100) surface orientation and be positioned with notch 12 along the <110> direction, and second wafer 14 may have (110) surface orientation and may be positioned with notch 16 along the <111> surface direction. In most cases, designers will select surface directions to maximize mobility for the device and, hence, maximize performance. However, in some circumstances, surface directions may be selected to degrade mobility, i.e., hinder current flow.
  • As used herein, “surface orientation” refers to the crystallographic structure or periodic arrangement of silicon atoms on the surface of a wafer. In one embodiment, first and second wafers 10, 14 each have a (100) surface orientation. However, first and second wafers 10, 14 also may have different surface orientations. For example, in a second embodiment, first wafer 10 may have a (100) surface orientation and second wafer 14 may have a (110) surface orientation. In the drawings, indications for the surface direction and surface orientation for the first embodiment of each only will be made for clarity.
  • FIG. 3 shows a side view of first wafer 10 atop second wafer 14. As indicated, in one embodiment, each wafer 10, 14 is a silicon-on-insulator (SOI) type wafer. In this case, wafer 10 includes a silicon layer 30 atop an insulator layer 32, e.g., of silicon dioxide (SiO2), and wafer 14 includes a silicon layer 34 atop an insulator layer 36, e.g., of silicon dioxide (SiO2). As also shown, a next step may include forming pad films 38, such as a silicon dioxide (SiO2) followed by silicon nitride (Si3N4), followed by a silicon nitride (SiN), on the surface of first wafer 10. Formation of pad films 38 may be by any methods now known or later developed.
  • In a next step, shown in FIG. 4, an opening 50 is formed through first wafer 10 to second wafer 14, and in particular, to a surface of silicon layer 34 of second wafer 14. For example, a photoresist mask (not shown) may be deposited, patterned using conventional photolithography to expose the area into which the opening is to be made, and used to etch the opening. Etching extends through pad films 38, silicon layer 30 and buried oxide layer 32, and stops on silicon layer 34 of second wafer 14. The etching chemistry can be any now known or later developed recipe used for the above-described layers, e.g., a hydrogen-bromide (HBr) based chemistry. Subsequently, the photoresist mask (not shown) is removed, for example, using an oxygen (O2) ash or solvent strip. As will be described in more detail below, opening 50 is made in a region 52 to include later-generated pFETs, and other regions 52 will include nFETs.
  • FIG. 5 shows an additional aspect of the opening forming step, in which a sidewall spacer 56 is formed along opening 50 to protect the sidewall of first wafer 10, i.e, SOI layer 30, 32. Spacer 56 may include any common spacer material, for example, silicon dioxide (SiO2), silicon nitride (SiN), etc.
  • FIGS. 6-8 show a next step of forming region 52 (FIG. 7) in opening 50. In one embodiment, this step includes conducting a pre-clean followed by growth of a selective epitaxial silicon (Si) 60 to form region 52. As indicated in FIG. 6, epitaxial layer 60 may be grown slightly higher than first wafer 10, i.e., SOI layer 30, 32 to ensure sufficient final thickness of layer 60. Accordingly, this step may also include planarizing epitaxial layer 60 to pad films 38, as shown in FIG. 7, so that both regions of different surface directions are approximately coplanar. Planarization may then proceed to the surface of wafer 10, as shown in FIG. 8, after pad films 38 have been removed. As will be recognized, epitaxial layer 60 of silicon results in region 52 having second surface direction and orientation of silicon layer 34, i.e., (100) surface orientation and <110> surface direction.
  • Turning to FIGS. 9-10, the next steps include implanting 62 oxygen 64, as shown in FIG. 9, followed by an annealing to form a buried oxide layer 70, as shown in FIG. 10, in region 52. Buried oxide layer 70 in region 52 is substantially parallel to, and of approximately equal dimensions, as insulator layer 32 on the initial first wafer 10 (FIG. 3). The resulting semiconductor device structure includes region 52 having an SOI formation with silicon layer 72 and buried oxide layer 70 and a surface direction of <110> and another region 54 having an SOI formation with silicon layer 30, and insulator layer 32 and/or 70 and a surface direction of <100>.
  • Subsequent to the above steps, FET processing proceeds to generate a plurality of first type gate electrodes 80 (pFETs 86) on region 52, and a plurality of second type gate electrodes 82 (nFETs 84) on another region 54 of first wafer 10, in any now known or later developed fashion. In any event, as shown in FIG. 11, the invention allows all of the gate electrodes 80, 82 to be generated substantially parallel to one another in accordance with state-of-the-art photolithography techniques. The FET processing also includes application of stresses to nFETs 84 and pFETs 86 generated. Since many variations of the FET processing may be used, none will be illustrated, however, one illustrative embodiment will now be described cursorily below.
  • In a first step, an isolation process may be implemented to isolate pFETs 86 from nFETs 84 by generating a silicon trench isolation (STI) stress configuration. The isolation process may include using two mask steps. A first mask step (i.e., lithography and etch) is used to open trenches in the direction parallel to current flow for the pFETs (to be formed later) and perpendicular to current flow for the nFETs (to be formed later). A compressive material such as silicon dioxide (SiO2) or silicon nitride (SiN) is used to fill the trenches. Next, the second mask step is used to etch trenches parallel to the current flow for the nFETs (to be formed later) and trenches perpendicular to the current flow for the pFETs (to be formed later). These trenches are filled with a tensile material such as silicon dioxide (SiO2) or silicon nitride (SiN). The resulting stresses are, as shown in FIG. 11, as follows: a compressive stress 90 in a longitudinal direction with respect to a current flow 92 of pFETs 86 and a transverse direction with respect to a current flow 96 of nFETs 84; and a tensile stress 94 applied in a longitudinal direction with respect to a current flow 96 of nFETs 84 and a transverse direction with respect to a current flow 92 of pFET 86.
  • At this point in the process, as known in the art, wells (if used) may be implanted and annealed. Next, a gate dielectric may be formed followed by gate electrode patterning. As noted above, one aspect of this invention is that all gate electrodes are orientated in the same direction, as shown in FIG. 11. In other words, the gate electrodes are patterned such that they are all substantially parallel to each other. As also noted above, in a first embodiment, the direction of current flow 96 for nFETs is in the <100> surface direction (first wafer 10), while current flow 92 for pFETs is in the <110> surface direction (region 52). Alternatively, in a second embodiment, the surface orientation is (110) and the direction of current flow is <111> for pFETs while the surface orientation is (100) and the current flow direction is <110> for nFETs. Although two embodiments have been mentioned herein, it should be recognized that other surface directions are possible depending on the application. Accordingly, the invention should not be limited to any particular configuration other than as denoted in the attached claims.
  • After gate patterning, halos and source/drain (S/D) region extensions 100 may be implanted. S/D spacers may then be formed and S/D implantation conducted. A rapid thermal anneal (RTA) or other annealing process is completed to activate the junctions. Silicide is then formed on the S/D and gate regions to create low resistance contacts.
  • To provide further stress, either in addition to the above-described isolation process that generates a STI stress configuration, or as an alternative, at least one process may be conducted to the entire wafer (10 and 14). In one embodiment, application of at least one film is conducted. For example, to produce tensile stress in the longitudinal direction for the nFETs, a tensile film may be applied. The tensile film is removed from the pFET region 52 using a block mask and litho process. A compressive film may then be applied to the entire wafer in order to produce compressive stress in the longitudinal direction for the pFET. The compressive film is removed by dry or wet etch from the nFET region 54. Once again, the resulting stresses are, as shown in FIG. 11, as follows: a compressive stress 90 in a longitudinal direction with respect to a current flow 92 of pFETs 86 and a transverse direction with respect to a current flow 96 of nFETs 84; and a tensile stress 94 applied in a longitudinal direction with respect to a current flow 96 of nFETs 84 and a transverse direction with respect to a current flow 92 of pFET 86
  • It should be recognized that the description above presents one possible set of stressors applied along the preferred directions for each device. Other choices or methods of applying stress in the specified directions for the particular devices are also possible. For example, the stress may be produced by any combination of gate silicon trench isolation (STI) stress, film stress or any other type of uni-axial stress.
  • While this invention has been described in conjunction with the specific embodiments outlined above, it is evident that many alternatives, modifications and variations will be apparent to those skilled in the art. Accordingly, the embodiments of the invention as set forth above are intended to be illustrative, not limiting. Various changes may be made without departing from the spirit and scope of the invention as defined in the following claims.

Claims (4)

1. A semiconductor device structure comprising:
at least two active regions having different surface directions, each active region including one of a plurality of nFETs and a plurality of pFETs, and
wherein a gate electrode orientation is such that the nFETs and the pFETs are substantially parallel to each other.
2. The structure of claim 1, wherein the pFETs are located in an active region with a current flow in a <110> surface direction, and the nFETs are located in an active region with a current flow in a <100> surface direction.
3. The structure of claim 1, wherein the pFETs are located in an active region with a (110) surface orientation and a <111> surface direction, and the nFETs are located in an active region with a (100) surface orientation and a <110> surface direction.
4. The structure of claim 1, further comprising means for applying:
a compressive stress in a longitudinal direction with respect to a current flow of the pFET and a transverse direction with respect to a current flow of the nFET; and
a tensile stress in a longitudinal direction with respect to a current flow of the nFET and a transverse direction with respect to a current flow of the pFET.
US12/032,913 2004-09-17 2008-02-18 Semiconductor device structure with active regions having different surface directions Abandoned US20080142852A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/032,913 US20080142852A1 (en) 2004-09-17 2008-02-18 Semiconductor device structure with active regions having different surface directions

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/711,416 US7354806B2 (en) 2004-09-17 2004-09-17 Semiconductor device structure with active regions having different surface directions and methods
US12/032,913 US20080142852A1 (en) 2004-09-17 2008-02-18 Semiconductor device structure with active regions having different surface directions

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/711,416 Continuation US7354806B2 (en) 2004-09-17 2004-09-17 Semiconductor device structure with active regions having different surface directions and methods

Publications (1)

Publication Number Publication Date
US20080142852A1 true US20080142852A1 (en) 2008-06-19

Family

ID=36073045

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/711,416 Expired - Fee Related US7354806B2 (en) 2004-09-17 2004-09-17 Semiconductor device structure with active regions having different surface directions and methods
US12/032,913 Abandoned US20080142852A1 (en) 2004-09-17 2008-02-18 Semiconductor device structure with active regions having different surface directions

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/711,416 Expired - Fee Related US7354806B2 (en) 2004-09-17 2004-09-17 Semiconductor device structure with active regions having different surface directions and methods

Country Status (1)

Country Link
US (2) US7354806B2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090095988A1 (en) * 2003-12-30 2009-04-16 Texas Instruments Incorporated Transistor Design and Layout for Performance Improvement with Strain
US20100314670A1 (en) * 2009-05-27 2010-12-16 Texas Instruments Incorporated Strained ldmos and demos
US20130175671A1 (en) * 2010-09-30 2013-07-11 Freescale Semiconductor, Inc. Methods for processing a semiconductor wafer, a semiconductor wafer and a semiconductor device

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7410846B2 (en) * 2003-09-09 2008-08-12 International Business Machines Corporation Method for reduced N+ diffusion in strained Si on SiGe substrate
US6887751B2 (en) 2003-09-12 2005-05-03 International Business Machines Corporation MOSFET performance improvement using deformation in SOI structure
US7144767B2 (en) * 2003-09-23 2006-12-05 International Business Machines Corporation NFETs using gate induced stress modulation
US6872641B1 (en) * 2003-09-23 2005-03-29 International Business Machines Corporation Strained silicon on relaxed sige film with uniform misfit dislocation density
US7119403B2 (en) * 2003-10-16 2006-10-10 International Business Machines Corporation High performance strained CMOS devices
US7037770B2 (en) * 2003-10-20 2006-05-02 International Business Machines Corporation Method of manufacturing strained dislocation-free channels for CMOS
US7303949B2 (en) * 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US7129126B2 (en) * 2003-11-05 2006-10-31 International Business Machines Corporation Method and structure for forming strained Si for CMOS devices
US7015082B2 (en) * 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US7247534B2 (en) 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
US7198995B2 (en) * 2003-12-12 2007-04-03 International Business Machines Corporation Strained finFETs and method of manufacture
US7247912B2 (en) * 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
US7118999B2 (en) * 2004-01-16 2006-10-10 International Business Machines Corporation Method and apparatus to increase strain effect in a transistor channel
US7381609B2 (en) * 2004-01-16 2008-06-03 International Business Machines Corporation Method and structure for controlling stress in a transistor channel
US7202132B2 (en) 2004-01-16 2007-04-10 International Business Machines Corporation Protecting silicon germanium sidewall with silicon for strained silicon/silicon germanium MOSFETs
US7205206B2 (en) * 2004-03-03 2007-04-17 International Business Machines Corporation Method of fabricating mobility enhanced CMOS devices
US7223994B2 (en) * 2004-06-03 2007-05-29 International Business Machines Corporation Strained Si on multiple materials for bulk or SOI substrates
TWI463526B (en) * 2004-06-24 2014-12-01 Ibm Improved strained-silicon cmos device and method
US7288443B2 (en) * 2004-06-29 2007-10-30 International Business Machines Corporation Structures and methods for manufacturing p-type MOSFET with graded embedded silicon-germanium source-drain and/or extension
US7384829B2 (en) * 2004-07-23 2008-06-10 International Business Machines Corporation Patterned strained semiconductor substrate and device
US20060105533A1 (en) * 2004-11-16 2006-05-18 Chong Yung F Method for engineering hybrid orientation/material semiconductor substrate
US7271043B2 (en) 2005-01-18 2007-09-18 International Business Machines Corporation Method for manufacturing strained silicon directly-on-insulator substrate with hybrid crystalline orientation and different stress levels
US20060160317A1 (en) * 2005-01-18 2006-07-20 International Business Machines Corporation Structure and method to enhance stress in a channel of cmos devices using a thin gate
US7256081B2 (en) * 2005-02-01 2007-08-14 International Business Machines Corporation Structure and method to induce strain in a semiconductor device channel with stressed film under the gate
US7545004B2 (en) * 2005-04-12 2009-06-09 International Business Machines Corporation Method and structure for forming strained devices
US7655511B2 (en) 2005-11-03 2010-02-02 International Business Machines Corporation Gate electrode stress control for finFET performance enhancement
US7564081B2 (en) 2005-11-30 2009-07-21 International Business Machines Corporation finFET structure with multiply stressed gate electrode
US7635620B2 (en) 2006-01-10 2009-12-22 International Business Machines Corporation Semiconductor device structure having enhanced performance FET device
US20070158743A1 (en) * 2006-01-11 2007-07-12 International Business Machines Corporation Thin silicon single diffusion field effect transistor for enhanced drive performance with stress film liners
US7691698B2 (en) * 2006-02-21 2010-04-06 International Business Machines Corporation Pseudomorphic Si/SiGe/Si body device with embedded SiGe source/drain
US7521307B2 (en) * 2006-04-28 2009-04-21 International Business Machines Corporation CMOS structures and methods using self-aligned dual stressed layers
US7608489B2 (en) * 2006-04-28 2009-10-27 International Business Machines Corporation High performance stress-enhance MOSFET and method of manufacture
US7615418B2 (en) * 2006-04-28 2009-11-10 International Business Machines Corporation High performance stress-enhance MOSFET and method of manufacture
US8853746B2 (en) 2006-06-29 2014-10-07 International Business Machines Corporation CMOS devices with stressed channel regions, and methods for fabricating the same
US7790540B2 (en) 2006-08-25 2010-09-07 International Business Machines Corporation Structure and method to use low k stress liner to reduce parasitic capacitance
US7462522B2 (en) * 2006-08-30 2008-12-09 International Business Machines Corporation Method and structure for improving device performance variation in dual stress liner technology
US8754446B2 (en) 2006-08-30 2014-06-17 International Business Machines Corporation Semiconductor structure having undercut-gate-oxide gate stack enclosed by protective barrier material
WO2008042144A2 (en) * 2006-09-29 2008-04-10 Advanced Micro Devices, Inc. A semiconductor device comprising isolation trenches inducing different types of strain
DE102006046377A1 (en) * 2006-09-29 2008-04-03 Advanced Micro Devices, Inc., Sunnyvale Semiconductor device e.g. integrated circuit, has active semiconductor regions with peripheries formed by isolation trenches with dielectric filling materials, respectively, where filling materials are comprised of silicon nitride
US7393738B1 (en) * 2007-01-16 2008-07-01 International Business Machines Corporation Subground rule STI fill for hot structure
US20080203484A1 (en) * 2007-02-23 2008-08-28 Infineon Technologies Ag Field effect transistor arrangement and method of producing a field effect transistor arrangement
US7790528B2 (en) * 2007-05-01 2010-09-07 Freescale Semiconductor, Inc. Dual substrate orientation or bulk on SOI integrations using oxidation for silicon epitaxy spacer formation
US20080310220A1 (en) * 2007-06-13 2008-12-18 International Business Machines Corporation 3-d sram array to improve stability and performance
US7755926B2 (en) * 2007-06-13 2010-07-13 International Business Machines Corporation 3-D SRAM array to improve stability and performance
US7615435B2 (en) * 2007-07-31 2009-11-10 International Business Machines Corporation Semiconductor device and method of manufacture
US7525162B2 (en) * 2007-09-06 2009-04-28 International Business Machines Corporation Orientation-optimized PFETS in CMOS devices employing dual stress liners
US8115254B2 (en) 2007-09-25 2012-02-14 International Business Machines Corporation Semiconductor-on-insulator structures including a trench containing an insulator stressor plug and method of fabricating same
US8492846B2 (en) 2007-11-15 2013-07-23 International Business Machines Corporation Stress-generating shallow trench isolation structure having dual composition
US20100019322A1 (en) * 2008-07-23 2010-01-28 International Business Machines Corporation Semiconductor device and method of manufacturing
US8598006B2 (en) * 2010-03-16 2013-12-03 International Business Machines Corporation Strain preserving ion implantation methods
US20130240997A1 (en) * 2012-03-19 2013-09-19 International Business Machines Corporation Contact bars for modifying stress in semiconductor device and related method
US8669147B2 (en) * 2012-06-11 2014-03-11 Globalfoundries Inc. Methods of forming high mobility fin channels on three dimensional semiconductor devices
US9997348B2 (en) 2016-09-28 2018-06-12 International Business Machines Corporation Wafer stress control and topography compensation

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5670388A (en) * 1994-09-22 1997-09-23 International Business Machines Corporation Method of making contacted body silicon-on-insulator field effect transistor
US20040119100A1 (en) * 2002-12-19 2004-06-24 International Business Machines Corporation Dense dual-plane devices
US6906384B2 (en) * 2002-02-13 2005-06-14 Kabushiki Kaisha Toshiba Semiconductor device having one of patterned SOI and SON structure
US6949420B1 (en) * 2004-03-12 2005-09-27 Sony Corporation Silicon-on-insulator (SOI) substrate having dual surface crystallographic orientations and method of forming same
US20060043424A1 (en) * 2004-08-31 2006-03-02 Texas Instruments Incorporated Enhanced PMOS via transverse stress
US7227265B2 (en) * 2000-10-10 2007-06-05 International Business Machines Corporation Electroplated copper interconnection structure, process for making and electroplating bath

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4908328A (en) * 1989-06-06 1990-03-13 National Semiconductor Corporation High voltage power IC process
US20050116290A1 (en) * 2003-12-02 2005-06-02 De Souza Joel P. Planar substrate with selected semiconductor crystal orientations formed by localized amorphization and recrystallization of stacked template layers
US7227205B2 (en) * 2004-06-24 2007-06-05 International Business Machines Corporation Strained-silicon CMOS device and method

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5670388A (en) * 1994-09-22 1997-09-23 International Business Machines Corporation Method of making contacted body silicon-on-insulator field effect transistor
US7227265B2 (en) * 2000-10-10 2007-06-05 International Business Machines Corporation Electroplated copper interconnection structure, process for making and electroplating bath
US6906384B2 (en) * 2002-02-13 2005-06-14 Kabushiki Kaisha Toshiba Semiconductor device having one of patterned SOI and SON structure
US20040119100A1 (en) * 2002-12-19 2004-06-24 International Business Machines Corporation Dense dual-plane devices
US6949420B1 (en) * 2004-03-12 2005-09-27 Sony Corporation Silicon-on-insulator (SOI) substrate having dual surface crystallographic orientations and method of forming same
US20060043424A1 (en) * 2004-08-31 2006-03-02 Texas Instruments Incorporated Enhanced PMOS via transverse stress

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090095988A1 (en) * 2003-12-30 2009-04-16 Texas Instruments Incorporated Transistor Design and Layout for Performance Improvement with Strain
US20100314670A1 (en) * 2009-05-27 2010-12-16 Texas Instruments Incorporated Strained ldmos and demos
US8754497B2 (en) * 2009-05-27 2014-06-17 Texas Instruments Incorporated Strained LDMOS and demos
US20130175671A1 (en) * 2010-09-30 2013-07-11 Freescale Semiconductor, Inc. Methods for processing a semiconductor wafer, a semiconductor wafer and a semiconductor device

Also Published As

Publication number Publication date
US7354806B2 (en) 2008-04-08
US20060060925A1 (en) 2006-03-23

Similar Documents

Publication Publication Date Title
US7354806B2 (en) Semiconductor device structure with active regions having different surface directions and methods
US6882025B2 (en) Strained-channel transistor and methods of manufacture
US7619300B2 (en) Super hybrid SOI CMOS devices
US7425483B2 (en) Structure and method of fabricating a hybrid substrate for high-performance hybrid-orientation silicon-on-insulator CMOS devices
EP1738410B1 (en) Method for fabricating strained silicon-on-insulator structures and strained silicon-on -insulator structures formed thereby
US7528050B2 (en) High performance field effect transistors on SOI substrate with stress-inducing material as buried insulator and methods
US7202513B1 (en) Stress engineering using dual pad nitride with selective SOI device architecture
US7825477B2 (en) Semiconductor device with localized stressor
US20080179636A1 (en) N-fets with tensilely strained semiconductor channels, and method for fabricating same using buried pseudomorphic layers
US20070018252A1 (en) Semiconductor device containing high performance p-mosfet and/or n-mosfet and method of fabricating the same
US7932141B2 (en) Semiconductor device and method for fabricating the same
US20080128765A1 (en) MOSFET Device With Localized Stressor
JP2013084982A (en) Hybrid substrate for high-mobility planar and multiple-gate mosfets, substrate structure, and method of forming said substrate
TW200945556A (en) Semiconductor device and method of manufacturing semiconductor device
US20080054364A1 (en) Semiconductor device having cmos device
US8736016B2 (en) Strained isolation regions
US7838932B2 (en) Raised STI structure and superdamascene technique for NMOSFET performance enhancement with embedded silicon carbon
US20070024321A1 (en) Semiconductor cmos transistors and method of manufacturing the same
JP2009152458A (en) Semiconductor device and method of manufacturing the same
JP2009111046A (en) Semiconductor device and method of manufacturing semiconductor device
US9034102B2 (en) Method of fabricating hybrid orientation substrate and structure of the same
JP2010141102A (en) Semiconductor device and method of manufacturing the same
US20070045657A1 (en) Semiconductor substrate, semiconductor device, manufacturing method thereof, and method for designing semiconductor substrate
JP2007227600A (en) Method of manufacturing semiconductor device, photomask, and semiconductor device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910