US20080135830A1 - Semiconductor structures with structural homogeneity - Google Patents

Semiconductor structures with structural homogeneity Download PDF

Info

Publication number
US20080135830A1
US20080135830A1 US11/963,120 US96312007A US2008135830A1 US 20080135830 A1 US20080135830 A1 US 20080135830A1 US 96312007 A US96312007 A US 96312007A US 2008135830 A1 US2008135830 A1 US 2008135830A1
Authority
US
United States
Prior art keywords
semiconductor layer
layer
roughness
less
top surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/963,120
Inventor
Richard Westhoff
Christopher J. Vineis
Matthew T. Currie
Vicky K. Yang
Christopher W. Leitz
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Amber Wave Systems Inc
Original Assignee
Amber Wave Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Amber Wave Systems Inc filed Critical Amber Wave Systems Inc
Priority to US11/963,120 priority Critical patent/US20080135830A1/en
Assigned to AMBERWAVE SYSTEMS CORPORATION reassignment AMBERWAVE SYSTEMS CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CURRIE, MATTHEW T., LEITZ, CHRISTOPHER W., VINEIS, CHRISTOPHER V., WESTHOFF, RICHARD, YANG, VICKY K.
Publication of US20080135830A1 publication Critical patent/US20080135830A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/52Alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02505Layer structure consisting of more than two layers
    • H01L21/02507Alternating layers, e.g. superlattice
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/0251Graded layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • H01L21/3247Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering for altering the shape, e.g. smoothing the surface

Definitions

  • This invention relates generally to semiconductor substrates and particularly to substrates with strained semiconductor layers.
  • “Virtual substrates” based on silicon (Si) and germanium (Ge) provide a platform for new generations of very large scale integration (VLSI) devices that exhibit enhanced performance in comparison to devices fabricated on bulk Si substrates.
  • the important component of a SiGe virtual substrate is a layer of SiGe that has been relaxed to its equilibrium lattice constant (i.e., one that is larger than that of Si). This relaxed SiGe layer may be directly applied to a Si substrate (e.g., by wafer bonding or direct epitaxy), or atop a relaxed graded SiGe buffer layer in which the lattice constant of the SiGe material has been increased gradually over the thickness of the layer.
  • the SiGe virtual substrate may also incorporate buried insulating layers, in the manner of a silicon-on-insulator (SOI) wafer.
  • SOI silicon-on-insulator
  • thin strained layers of semiconductors such as Si, Ge, or SiGe
  • the resulting biaxial tensile or compressive strain alters the carrier mobilities in the layers, enabling the fabrication of high-speed and/or low-power-consumption devices.
  • the thin strained semiconductor layers may also be subsequently transferred to other substrates having insulator layers by methods such as wafer bonding, thus creating strained-semiconductor-on-insulator (SSOI) wafers.
  • the microstructure of semiconductor graded buffer layers as grown may be less than ideal depending on the growth conditions.
  • SiGe buffer layers deposited at temperatures below 850° C. may not attain the relaxation state desired for strained Si applications, i.e., >98%.
  • the density of threading dislocations may be higher than desired.
  • both high and low temperature growth conditions may result in as-grown graded buffer layers having top surfaces that are rougher than the ultra-planar surfaces preferable for growth of relaxed semiconductor cap layers with subsequent strained semiconductor layer deposition (e.g., regrowth of SiGe layers containing 20% Ge, followed by deposition of strained Si). This roughness may carry over and increase in subsequently formed layers.
  • One technique suitable for fabricating strained Si wafers may include the following steps:
  • Annealing at elevated temperatures may improve the properties of layers deposited at relatively low temperatures, e.g., below 850° C.
  • Various layer properties, in addition to relaxation and threading dislocation densities, are important for making strained semiconductor layers, e.g., strained silicon layers.
  • graded and constant composition SiGe buffer layers may contain microstructural phenomena such as decomposition. Decomposition may sometimes be observed as narrow vertical bands of varying composition, i.e., vertical superlattices.
  • Elevated temperature annealing before, after, or between planarization process steps may be used to improve the microstructure of semiconductor layers. Compositional variation within layers is reduced, thereby enabling the formation of layers with top surfaces that remain smooth even after cleaning steps that etch different compositions at different rates.
  • compositional superlattices may be avoided by appropriate selection of semiconductor layer growth parameters and regrowth layer parameters.
  • the invention features a method for forming a semiconductor structure, the method including providing a substrate, and forming a semiconductor layer over a top surface of the substrate, the semiconductor layer including at least two elements, the elements being distributed to define an initial compositional variation within the semiconductor layer.
  • the semiconductor layer is annealed to reduce the initial compositional variation.
  • the substrate may have a first lattice constant
  • the semiconductor layer may have a second lattice constant
  • the first lattice constant may differ from the second lattice constant.
  • the first element may have a first concentration
  • a second element may have a second concentration
  • each of the first and second concentrations may be at least 5%.
  • the initial compositional variation may vary periodically within the semiconductor layer in a direction perpendicular to a semiconductor layer deposition direction.
  • the compositional variation may define a column within the semiconductor layer, the column having a width and a period.
  • the columnar period may be less than approximately 2000 nanometers (nm), e.g., less than approximately 1000 nm.
  • the semiconductor layer may be annealed at an annealing temperature and/or for a duration sufficient to diffuse at least one of the two elements through a diffusion length at least equal to a quarter of the columnar period.
  • the initial compositional variation may vary in a direction parallel to a semiconductor layer deposition direction and define a superlattice having a periodicity.
  • the superlattice periodicity may be less than approximately 100 nm, preferably less than approximately 50 nm, and more preferably less than approximately 10 nm.
  • the semiconductor layer may be annealed at an annealing temperature sufficient to diffuse at least one of the two elements through a diffusion length at least equal to a quarter-period of the superlattice and/or for a duration sufficient to diffuse at least one of the two elements through a diffusion length at least equal to a quarter-period of the superlattice.
  • the semiconductor layer may be annealed at an annealing temperature greater than the deposition temperature.
  • the annealing temperature may be greater than about 800° C., e.g., greater than about 1000° C.
  • the semiconductor layer may be annealed at an annealing temperature below a melting point of the semiconductor layer, e.g., less than about 1270° C.
  • At least one of the at least two elements may be silicon and/or germanium.
  • a top surface of the semiconductor layer may be planarized.
  • the top surface of the semiconductor layer may be planarized before, while, or after the semiconductor layer is annealed.
  • Planarizing may include chemical-mechanical polishing, plasma planarization, wet chemical etching, gas-phase chemical etching [preferably at elevated temperature, e.g., above 900° C., in an ambient including an etch species, e.g., hydrogen chloride (HCl)], oxidation followed by stripping, and/or cluster ion beam planarization.
  • etch species e.g., hydrogen chloride (HCl)
  • Chemical-mechanical polishing may include a first and a second step and the semiconductor layer may be annealed between the first and the second chemical-mechanical polishing steps and/or before the first chemical-mechanical polishing step.
  • the planarization may include a high temperature step and the semiconductor layer may be annealed during the high temperature planarization step.
  • a top surface of the semiconductor layer may be bonded to a wafer, and at least a portion of the substrate may be removed, such that at least a portion of the semiconductor layer remains bonded to the wafer after the portion of the substrate is removed.
  • a second layer may be formed over the semiconductor layer subsequent to planarizing the top surface of the semiconductor layer.
  • the second layer may include a material having a lattice constant substantially equal to or substantially different from a lattice constant of the semiconductor layer.
  • a top surface of the second layer may be bonded to a wafer and at least a portion of the substrate may be removed, such that at least a portion of the second layer remains bonded to the wafer after the portion of the substrate is removed.
  • a second layer may be formed over the semiconductor layer subsequent to planarizing the top surface of the semiconductor layer.
  • the second layer may include a material having a lattice constant substantially equal to or substantially different from a lattice constant of the semiconductor layer.
  • a top surface of the second layer may be bonded to a wafer, and at least a portion of the substrate may be removed, with at least a portion of the second layer remaining bonded to the wafer after the portion of the substrate is removed.
  • the second layer may include (i) a lower portion having a superlattice and (ii) an upper portion disposed over the lower portion, the upper portion being substantially free of a superlattice.
  • the semiconductor layer may have an undulating surface.
  • the undulating surface may be formed during deposition of the semiconductor layer.
  • the substrate may have an undulating substrate surface, and the undulating substrate surface induces the formation of the undulating surface of the semiconductor layer.
  • the undulating surface may have an amplitude, the initial compositional variation may define a superlattice having a periodicity, and the periodicity of the superlattice may be less than the amplitude of the undulating surface.
  • a relaxed graded layer may be formed over the substrate, such that the semiconductor layer is formed over the relaxed graded layer.
  • the relaxed graded layer may serve to provide the semiconductor layer with a lattice spacing different from that of the substrate while reducing defect nucleation.
  • a protective layer may be formed over the semiconductor layer prior to annealing the semiconductor layer.
  • the protective layer may include a material that is substantially inert with respect to the semiconductor layer, such as, for example, silicon dioxide or silicon nitride.
  • the anneal may be performed as a batch process on multiple wafers at once, for example, in a tube furnace, to improve throughput and economics.
  • the invention features a method for forming a semiconductor structure, including providing a substrate, and selecting a first plurality of parameters suitable for forming a semiconductor layer over a top surface of the substrate, the semiconductor layer including at least two elements, the elements being distributed to define a compositional variation within the semiconductor layer.
  • the semiconductor layer having a haze is formed, and the semiconductor layer is planarized to remove the haze.
  • Forming the semiconductor layer may include forming a lower portion having a superlattice, and forming an upper portion over the lower portion, the upper portion being substantially free of a superlattice.
  • the first plurality of parameters may include temperature, precursor, growth rate, and/or pressure.
  • the semiconductor layer may be cleaned after planarizing, with the semiconductor layer remaining substantially haze-free after cleaning.
  • a second plurality of parameters may be selected that is suitable for forming a substantially haze-free regrowth layer over the semiconductor layer, the semiconductor layer including at least two elements, the elements being distributed to define a compositional variation within the semiconductor layer.
  • the substantially haze-free regrowth layer may be formed.
  • the first plurality of parameters may include a first temperature
  • the second plurality of parameters may include a second temperature
  • the first temperature may be higher than the second temperature.
  • the first plurality of parameters include a first growth rate
  • the second plurality of parameters may include a second growth rate
  • the first growth rate may be higher than the second growth rate.
  • Forming the regrowth layer may include forming a lower portion having a superlattice and forming an upper portion over the lower portion, the upper portion being substantially free of a superlattice.
  • the invention features a semiconductor structure including a substrate, and a semiconductor layer disposed over the substrate, the semiconductor layer including at least two elements and having a top surface.
  • the semiconductor layer top surface is substantially haze-free.
  • a portion of the semiconductor layer disposed below the top surface may include a superlattice.
  • a relaxed graded layer may be disposed between the substrate and the semiconductor layer.
  • the semiconductor layer top surface may have a roughness root-mean-square of less than 10 angstroms ( ⁇ ), preferably less than 5 ⁇ in a scan area of 40 ⁇ m ⁇ 40 ⁇ m, and a contamination level of less than 0.29 particles/cm 2 , the particles having a diameter greater than 0.12 micrometers ( ⁇ m).
  • the roughness is less than 1 ⁇ root-mean-square in a scan area of 1 ⁇ m ⁇ 1 ⁇ m.
  • the semiconductor layer top surface may have a roughness of less than 10 ⁇ , preferably less than 5 ⁇ root-mean-square in a scan area of 40 ⁇ m ⁇ 40 ⁇ m and a contamination level of less than 0.16 particles/cm 2 , the particles having a diameter greater than 0.16 ⁇ m.
  • the roughness is less than 1 ⁇ root-mean-square in a scan area of 1 ⁇ m ⁇ 1 ⁇ m.
  • the semiconductor layer top surface may have a roughness of less than 10 ⁇ , preferably less than 5 ⁇ root-mean-square in a scan area of 40 ⁇ m ⁇ 40 ⁇ m and a contamination level of less than 0.08 particles/cm 2 , the particles having a diameter greater than 0.2 ⁇ m.
  • the roughness is less than 1 ⁇ root-mean-square in a scan area of 1 ⁇ m ⁇ 1 ⁇ m.
  • the semiconductor top surface may have a roughness of less than 10 ⁇ , preferably less than 5 ⁇ root-mean-square in a scan area of 40 ⁇ m ⁇ 40 ⁇ m and a contamination level of less than 0.019 particles/cm 2 , the particles having a diameter greater than 1 ⁇ m.
  • the roughness is less than 1 ⁇ root-mean-square in a scan area of 1 ⁇ m ⁇ 1 ⁇ m.
  • the semiconductor layer top surface may have a roughness of less than 0.5 ⁇ root-mean-square in a scan area of 1 ⁇ m ⁇ 1 ⁇ m and a contamination level of less than 0.09 particles/cm 2 , the particles having a diameter greater than 0.09 ⁇ m.
  • the invention features a semiconductor structure including a substrate, and a semiconductor layer disposed over the substrate, the semiconductor layer including at least two elements.
  • a regrowth layer is disposed over the semiconductor layer, the regrowth layer having a top surface that is substantially haze-free.
  • the regrowth layer may include a semiconductor material, such as silicon.
  • the regrowth layer may be strained.
  • a portion of the regrowth layer disposed below the regrowth layer top surface may include a superlattice.
  • the invention features a semiconductor structure including a wafer, and a semiconductor layer bonded to the wafer, the semiconductor layer having a top surface that is substantially haze-free.
  • the semiconductor layer may include silicon and/or germanium.
  • the semiconductor layer may be strained.
  • the wafer may include an insulating layer.
  • the insulating layer may include silicon dioxide.
  • FIGS. 1-6 and 8 - 11 are schematic cross-sectional views of semiconductor substrates illustrating superlattices, columnar structures, and processes for forming homogeneous, smooth semiconductor layers;
  • FIG. 7 is a diagram illustrating the temperature and time dependence of diffusion of Ge in Si.
  • Roughness on semiconductor graded buffer layers may be separated into two components, each with distinct characteristics.
  • a first component is a cross-hatch that arises from strain fields created by the formation of misfit dislocations.
  • Cross-hatch has the form of a network of perpendicular waves with several characteristic wavelengths.
  • this cross-hatch is generally oriented in the ⁇ 110> in-plane direction of the wafers.
  • This relatively widely spaced component of surface texture may be likened to a surface feature referred to in the SEMI Specifications as “waviness.”
  • a second component, present in different degrees depending on the growth conditions, is small-scale roughness with no obvious directionality, a smaller amplitude, and a shorter spatial wavelength than the cross-hatch.
  • This fine-scale roughness may be a major contributor to haze measured on semiconductor layers by laser defect scanning tools. Methods for reducing or eliminating both cross-hatch and fine scale roughness are described below.
  • an epitaxial wafer 8 has a plurality of layers 10 disposed over a substrate 12 .
  • Substrate 12 may be formed of a semiconductor, such as Si, Ge, or SiGe.
  • Substrate 12 may also include an insulator layer (not shown).
  • a semiconductor layer 16 is disposed over graded buffer layer 14 .
  • Semiconductor layer 16 may be relaxed, and may contain at least two elements.
  • the substrate may have a first lattice constant and the semiconductor layer 16 may have a second lattice constant, such that the first lattice constant differs from the second lattice constant.
  • the first element may have a first concentration and the second element may have a second concentration, and each of the first and second concentrations may be greater than 5%.
  • the two elements may be, for example, silicon and germanium (e.g., Si 1-x Ge x ).
  • Si 1-x Ge x may include Si 0.80 Ge 0.20 and T 2 may be approximately 1.5 ⁇ m.
  • Semiconductor layer 16 may be >90% relaxed, as determined by triple axis x-ray diffraction, and may have a threading dislocation density of ⁇ 1 ⁇ 10 6 cm ⁇ 2 , as determined by etch pit density (EPD) and plan-view transmission electron microscopy (PVTEM) analysis.
  • Graded layer 14 and semiconductor layer 16 may be formed by epitaxy, such as by atmospheric-pressure chemical vapor deposition (APCVD), low- (or reduced-) pressure CVD (LPCVD), ultra-high-vacuum CVD (UHVCVD), or by molecular beam epitaxy (MBE).
  • the epitaxial deposition system may be a single-wafer or multiple-wafer batch reactor.
  • the growth system may include a horizontal flow reactor, in which process gases are introduced into the reactor from one side and exit the reactor from another side, after passing over one or more substrates.
  • the growth system may also utilize a low-energy plasma to enhance layer growth kinetics.
  • the deposition temperature may be 500-1200° C.
  • Substrate 12 , graded layer 14 , and semiconductor layer 16 may be formed from various materials systems, including various combinations of group II, group III, group IV, group V, and group VI elements.
  • each of substrate 12 , graded layer 14 , and semiconductor layer 16 may include a III-V compound.
  • Substrate 12 may include gallium arsenide (GaAs), and graded layer 14 and semiconductor layer 16 may include indium gallium arsenide (InGaAs) or aluminum gallium arsenide (AlGaAs). These examples are merely illustrative, and many other material systems are suitable.
  • semiconductor layer 16 is tensilely strained (e.g., Si x Ge 1-x disposed over SiyGe 1-y where y ⁇ x). In other embodiments, semiconductor layer 16 is compressively strained (e.g., Si x Ge 1-x disposed over SiyGe 1-y where y>x). In these cases, semiconductor layer 16 may be disposed over a relaxed semiconductor layer. In some embodiments, a strained layer (not shown) may be formed on a top surface of semiconductor layer 16 or graded layer 14 .
  • a distribution of the elements from which semiconductor layer 16 is formed may have an initial compositional variation 20 .
  • semiconductor layer 16 includes 20% Ge (Si 0.80 Ge 0.20 )
  • the actual Ge concentration within layer 16 may vary by a total of 4%, e.g., 18-22%.
  • This initial compositional variation 20 may vary in semiconductor layer 16 in a direction parallel to a deposition direction 22 thereof.
  • Compositional variation 20 may define a superlattice 24 having a periodicity P 1 .
  • Superlattice 24 has alternating regions with low 28 and high 29 concentrations of an element, e.g., Ge, alternating in the same layer, such as in semiconductor layer 16 .
  • Such alternation may occur in a horizontal flow deposition reactor, in which a higher fraction of an element is incorporated at a leading edge of a substrate, i.e., an edge of wafer 8 .
  • the element fraction, e.g., Ge concentration may alternate vertically within semiconductor layer 16 because substrate 10 may be rotated during deposition, thus changing the leading edge first exposed to gas flow.
  • alternating compositions within a layer may also occur in layers formed in other types of deposition systems.
  • Superlattice 24 may have a superlattice periodicity P 1 .
  • Periodicity P 1 may be less than approximately 100 nm, including less than 50 nm or less than 10 nm.
  • periodicity P 1 may be 8 nm with, e.g., region 29 having a thickness of 4 nm with Ge concentration above, e.g., 20% and region 28 having a thickness of 4 nm with Ge concentration below, e.g., 20%.
  • semiconductor layer 16 may be formed over graded buffer layer 14 having a top surface 15 that may not be completely smooth, i.e., it may have cross-hatch formed by strain fields arising from the formation of misfit dislocations.
  • a cross-hatch may have, for example, a relatively high Ge concentration at a peak and a relatively low Ge concentration in a trough.
  • Cross-hatch may have a wavelength of 1-10 ⁇ m and an amplitude of 1-100 nm.
  • Graded buffer layer surface 15 may also have fine-scale roughness, with a wavelength of, e.g., 10-100 nm and a height of 1-50 ⁇ .
  • Both cross-hatch and fine-scale roughness may carry over to cause undulation 30 in a top surface 32 of semiconductor layer 16 .
  • Undulation 30 may be formed during deposition of semiconductor layer 16 .
  • Undulation 30 has an amplitude A that may be greater than periodicity P 1 of superlattice 24 .
  • semiconductor layer surface 32 may be planarized by, e.g., CMP. Planarization exposes lateral composition variations on planarized semiconductor layer surface 32 .
  • the periodicity P 1 of elements disposed in semiconductor layer 16 i.e., superlattice 24 , however, may cause problems with subsequent processing. For example, maintaining the planarity of semiconductor layer 16 may be challenging. Cleaning steps after planarization may re-roughen surface 32 .
  • a wet cleaning solution whose removal rate is compositionally dependent may result in a rough top surface if there is lateral compositional variation in the layer being cleaned and the removal rate is compositionally dependent.
  • Such a solution may, for example, selectively etch portions of layer 16 with higher concentrations of a particular element, such as region 29 having a higher concentration of, e.g., Ge, more quickly than portions of layer 16 with a lower concentration of the same element, such as region 28 having a lower concentration of, e.g., Ge.
  • a wet etch is RCA SC1, i.e., ammonium hydroxide, hydrogen peroxide, and deionized water at a ratio of, e.g., 1:1:10 or 1:1:100, at 40-80° C. for about 10 minutes, with or without megasonic agitation.
  • initial compositional variation 20 may vary in semiconductor layer 16 in a direction perpendicular to the deposition direction 22 thereof.
  • Initial compositional variation 20 may define a column 50 within semiconductor layer 16 .
  • Column 50 may have an irregular cross-section.
  • Column 50 may form as a result of an interaction between the cross-hatch formed on surface 15 of graded layer 14 and superlattice 24 (see, e.g., FIGS. 2-4 ). This interaction may cause decomposition during the formation of semiconductor layer 16 , resulting in the formation of a plurality of columns 50 having a relatively high concentration of an element, e.g., Ge, alternating with a plurality of columns 52 having a relatively low concentration of the same element.
  • an element e.g., Ge
  • Column 50 and column 52 may each have a width W 1 less than approximately 1000 nm, such that columnar period P 2 , including column 50 and column 52 (one dark region and one light region in FIG. 5 ) is less than approximately 2000 nm. In some embodiments, columnar period P 2 may be less than 1000 nm.
  • Semiconductor layer surface 32 may be planarized, e.g., by CMP. The presence of columns 50 , 52 with varying compositions in semiconductor layer 16 , however, may cause problems with subsequent processing. For example, maintaining the planarity of semiconductor layer 16 may be challenging. Cleaning steps after planarization may re-roughen surface 32 .
  • Cleaning solutions such as RCA SC1 may selectively etch faster portions of layer 16 with higher concentrations of a particular element, such as columns 50 having a higher concentration of, e.g., Ge than portions of layer 16 with lower concentrations of the same element, such as columns 52 having a lower concentration of, e.g., Ge.
  • the initial compositional variation within semiconductor layer 16 may be reduced by annealing semiconductor layer 16 .
  • the resulting reduction of the initial compositional variation may substantially eliminate superlattice 24 , as well as columns 50 , 52 , resulting in a relatively homogeneous compositional distribution within semiconductor layer 16 .
  • the relatively uniform composition of semiconductor layer 16 reduces the aforementioned effects of cleaning steps, i.e., non-uniform etch rates of semiconductor layer 16 regions with varying compositions, resulting in roughening of semiconductor layer surface 32 .
  • Annealing may increase the amplitude and wavelength of the cross-hatch, but reduces the short wavelength roughness.
  • the cross-hatch may have a wavelength sufficiently long so that a small increase in the long wavelength roughness (>1 ⁇ m) may not affect optical scanning measurements of semiconductor layer surface 32 .
  • the annealing temperature may be sufficient to diffuse at least one of the at least two elements included in semiconductor layer 16 through a diffusion length at least equal to one-quarter the period P 1 of superlattice 24 , in a cost-effective time.
  • the annealing temperature may be at least 850° C. at a duration of 300,000 seconds (83.3 hours). This temperature and duration may be derived from the following equations.
  • the diffusion length x may be calculated by:
  • x is the characteristic diffusion length
  • D is the characteristic diffusion coefficient of one of the at least two elements in another of the at least two elements.
  • t is the diffusion time
  • the diffusion coefficient D is given by the following:
  • D o is the pre-exponential factor
  • E is the activation energy
  • k is the Boltzmann constant
  • T is the annealing temperature (in degrees Kelvin).
  • the duration of the anneal is selected to be sufficient to diffuse at least one of the at least two elements included in semiconductor layer 16 through a diffusion length at least equal to a quarter of the period P 1 of superlattice 24 , at an acceptable temperature, i.e., a temperature high enough to provide adequate throughput without damaging the substrate or melting semiconductor layer 16 .
  • This temperature may be greater than about 800° C. and less than about 1270° C.
  • the duration of the annealing may be at least 12 seconds at a temperature of 1250° C. This duration may be derived from equations 1-2 and/or FIG. 7 . Referring to FIGS.
  • the annealing temperature may be sufficient to diffuse one or more of the elements included in semiconductor layer 16 through a diffusion length at least equal to a quarter of the columnar period P 2 (in an economically acceptable time).
  • the annealing temperature may be at least 1050° C. at a duration of 300,000 sec (83.3 hours).
  • the appropriate annealing temperature may be derived from the equations 1-2 above or FIG. 7 .
  • the duration of the anneal may be selected to be sufficient to diffuse at least one of the at least two elements included in semiconductor layer 16 through a diffusion length at least equal to a quarter of the columnar period P 2 .
  • the duration of the annealing may be at least 1200 sec (20 minutes) at a temperature of 1250° C. This duration may be derived from equations 1-2 and/or FIG. 7 .
  • semiconductor layer 16 is annealed at an annealing temperature greater than a deposition temperature of semiconductor layer 16 .
  • the annealing temperature may be greater than about 800° C., or greater than about 1000° C.
  • the annealing temperature may also be less than a melting point of semiconductor layer 16 .
  • the annealing temperature may be less than about 1270° C.
  • a dislocation density in semiconductor layer 16 may remain substantially unchanged during the annealing step.
  • semiconductor layer 16 has a relatively homogeneous compositional distribution.
  • Top surface 32 of semiconductor layer 16 may be planarized. This planarization may be performed before, during, or after the annealing step. Planarization may be performed by one of several methods, including CMP, plasma planarization, wet chemical etching, gas-phase chemical etching (preferably at elevated temperature, e.g., above 900° C., in an ambient including an etch species, e.g., HCl), oxidation followed by stripping, and cluster ion beam planarization.
  • CMP includes a first (stock) and a second (final) step.
  • the stock polish removes a larger fraction of the total amount of material to be removed ( ⁇ 0.5 ⁇ m) and leaves a semi-polished surface.
  • the final polish step removes a smaller fraction of the total amount of material to be removed ( ⁇ 0.1 microns) and produces a smooth polished surface.
  • Semiconductor layer 16 may be annealed before or after the first CMP step.
  • the anneal step may provide a greater benefit in terms of layer homogenization, but at perhaps higher cost, if it is inserted between two steps of the planarization process, e.g., between the stock and final polishing steps.
  • the removal of the cross-hatch by the stock polish step before the anneal step may allow the threading dislocations to move more freely to the wafer edge during the anneal.
  • Performing the final polish step after the anneal may be preferable for obtaining a smooth surface for the regrowth process (see, e.g., FIG. 7 ).
  • the anneal may be performed as a batch process on multiple wafers at once, for example, in a tube furnace, to improve throughput and economics.
  • top surface 32 of semiconductor layer 16 may be bonded to a wafer 40 .
  • at least a portion of substrate 12 may be removed by, e.g., a wet etch step or a delamination process.
  • the semiconductor layer 16 remains bonded to the wafer 40 .
  • all of substrate 12 may be removed, and the semiconductor layer 16 may have a second substantially haze-free top surface 42 .
  • Second top surface 42 may be planarized (i.e., smoothed) after removal of substrate 12 .
  • Planarizing may include chemical-mechanical polishing, plasma planarization, wet chemical etching, gas-phase chemical etching (preferably at elevated temperature, e.g., above 900° C., in an ambient including an etch species, e.g., HCl), oxidation followed by stripping, and/or cluster ion beam planarization.
  • Wafer 40 may include a second substrate 42 formed of a semiconductor, such as Si, Ge, or SiGe. Second substrate 42 may also be formed of an insulating material such as sapphire (Al 2 O 3 ) or glass.
  • Wafer 40 may also include an insulating layer 44 disposed over substrate 42 and formed from, e.g., silicon dioxide. This process may be used to, e.g., prepare a semiconductor-on-insulator (SOI) substrate or an SSOI substrate.
  • SOI semiconductor-on-insulator
  • Second layer 50 may include, e.g., a semiconductor material including at least one of a group II, a group III, a group IV, a group V, and a group VI element, and may be formed by, e.g., CVD. Second layer 50 may have a lattice constant substantially equal to a lattice constant of semiconductor layer 16 . Second layer 50 may also be a regrowth layer formed from the same material as semiconductor layer 16 . Alternatively, the lattice constant of second layer 50 may be substantially different from the lattice constant of semiconductor layer 16 .
  • the lattice constant of second layer 50 may be less than that of semiconductor layer 16 , in which case second layer 50 may be tensilely strained.
  • semiconductor layer 16 may include Si 1-x Ge x and second layer 50 may include Si 1-z Ge z , with z ⁇ x.
  • the lattice constant of second layer 50 may be greater than the lattice constant of semiconductor layer 16 , in which case second layer 50 will be compressively strained.
  • semiconductor layer 16 may include Si 1-x Ge x and second layer 50 may include Si 1-z Ge z , with z>x.
  • a top surface of second layer 50 may be bonded to wafer 40 .
  • At least a portion of substrate 12 may be removed by, e.g., a wet etch step or a delamination process. After the removal of at least the portion of substrate 12 , at least a portion of the second layer 50 remains bonded to wafer 40 .
  • This process may be used to, e.g., prepare a SOI substrate or a SSOI substrate.
  • top surface 32 of semiconductor layer 16 is substantially haze-free. Haze is caused by background scattering of a surface, and is directly proportional to the roughness of the surface. Surface roughness may include features on several different spatial wavelengths. The cross-hatch features may typically be several micrometers (e.g., 1 ⁇ m-10 ⁇ m) in wavelength, while a fine-scale roughness may also be present on a shorter length scale ( ⁇ 1 ⁇ m).
  • Surface roughness may be measured by atomic force microscopy (AFM), with a tool like the Dimension 3100 from Veeco Instruments, Woodbury, N.Y.) Haze may be measured by a light-scattering tool, such as various models of the SURFSCAN tool manufactured by KLA-Tencor, San Jose, Calif. or the Film Inspection Tool (FIT)/Advanced Wafer Inspection System (AWIS) manufactured by ADE Corporation, Westwood, Mass.
  • AFM atomic force microscopy
  • FIT Film Inspection Tool
  • AWIS Advanced Wafer Inspection System
  • surface roughness causes may cause light scattering, which is termed “haze.”
  • the optical architecture of the system i.e., the wavelength of the laser, the incident beam angle, and the polar and azimuthal angles of the collection detector(s) determines the spatial wavelengths of roughness to which the system is sensitive.
  • the SURFSCAN 6220, SURFSCAN SP1-TBI dark-field narrow channel with normal incidence beam (DNN), and ADE FIT/AWIS front channel are sensitive primarily to surface roughness features with a wavelength of ⁇ 1-10 microns, which corresponds to the cross-hatch feature.
  • the SURFSCAN SP1-TBI dark-field wide channel with normal incidence (DWN), the ADE FIT/AWIS back and center channels, and SURFSCAN SP1 dark-field narrow channel with oblique incidence (DNO) are primarily sensitive to surface features with a spatial wavelength of ⁇ 1 ⁇ m, which corresponds to fine-scale roughness. Lower haze values indicate smoother (lower roughness) surfaces, which are generally preferred.
  • Haze values measured by a SURFSCAN 6220 for a high-quality surface are preferably less than 20 parts per million (ppm), more preferably less than 5 ppm, and most preferably less than 1 ppm.
  • Haze values measured by the ADE FIT/AWIS back and center channels or by the SURFSCAN SP1-TBI DNO channel are preferably less than 0.2 ppm and more preferably less than 0.05 ppm.
  • top surface 32 of semiconductor layer 16 may, therefore, be both smooth and clean.
  • top surface 32 may have a roughness root-mean-square (RMS) of less than 5 ⁇ (in a 40 ⁇ m ⁇ 40 ⁇ m scan area), less than 1 ⁇ (in a 1 ⁇ m ⁇ 1 ⁇ m scan area) and a contamination level of less than 0.29 particles per square centimeter (cm 2 ), with respect to particles having a diameter greater than 0.12 ⁇ m.
  • RMS root-mean-square
  • top surface 32 of semiconductor layer 16 may have the following roughness and contamination levels:
  • an annealing step helps eliminate superlattices, thereby reducing surface roughness.
  • an anneal can help reduce haze and provide a smoother layer surface even for layers which are initially homogeneous, i.e., do not have superlattice or columnar compositional variations.
  • growth conditions including a first plurality of parameters may be selected to prevent compositional superlattice formation, thereby eliminating the need for the aforementioned anneal.
  • the first plurality of parameters may include temperature, precursor, growth rate, and pressure.
  • a superlattice-free SiGe graded buffer layer may be grown at high temperatures under the following conditions:
  • a superlattice in a regrowth layer e.g., a SiGe layer
  • a regrowth layer e.g., a SiGe layer
  • Such a superlattice may be detrimental to the electrical properties of the semiconductor layer grown on it, e.g., a strained Si layer.
  • regrowth may be performed without forming a superlattice structure. Factors that reduce variation in a gas phase depletion profile in, e.g., a SiGe deposition system (and therefore also reduce upstream-to-downstream SiGe compositional variations) tend to reduce a tendency to define a superlattice in the SiGe layer.
  • Conditions that produce a difference of less than 5%, and preferably less than 2%, in the Ge fraction between the upstream and downstream positions on a wafer having a diameter of 200 millimeters (mm) or less may produce superlattice-free growth.
  • a wafer having a diameter larger than 200 mm, e.g., 300 mm or larger, may require even less difference in the Ge fraction to achieve superlattice-free growth, e.g., possibly less than 2% variation.
  • the effect of the conditions may be measured by growing a wafer without rotation and measuring upstream and downstream positions on the wafer near the wafer edge ( ⁇ 10 mm from a wafer edge, preferably ⁇ 5 mm from the wafer edge).
  • the regrowth layer may be formed substantially haze-free, and may include two elements, the two elements being distributed to define a compositional variation within the semiconductor layer.
  • a second plurality of parameters may be used for forming the regrowth layer. These parameters may include a second temperature, with the first temperature used to make the semiconductor layer being higher than the second temperature.
  • superlattice-free regrowth of SiGe layers may be achieved in an ASM EPSILON® 2000 epitaxial reactor under the following representative conditions:
  • the semiconductor layer 16 may have a lower portion 100 that includes a superlattice and an upper portion 110 disposed over the lower portion 100 that is substantially free of a superlattice.
  • the superlattice of the lower portion 100 may help block the effects of an underlying misfit array, thereby enabling the suppression of the reappearance of cross-hatch during subsequent regrowth or post-planarization anneal steps.
  • second layer 50 disposed over semiconductor layer 16 may be a regrowth layer having a lower portion 150 that includes a superlattice and an upper portion 152 that is substantially free of a superlattice.
  • Performing the initial portion of the regrowth under conditions that promote the presence of the superlattice may block strain fields from an underlying misfit array. This enables suppression of the reappearance of the cross-hatch during the regrowth process or post-planarization anneal steps.
  • a final portion of the regrowth can be performed using superlattice-free conditions as outlined above such that the final strained Si device layer is not in proximal contact with a region having a superlattice.
  • a “buried” region may have a superlattice, e.g., lower portion 150 of regrowth second layer 50 or lower portion 100 of semiconductor layer 16 , that may be annealed away after completion of epitaxial steps.
  • AFM analysis was conducted for samples A and B at different scan sizes (1 ⁇ m ⁇ 1 ⁇ m, 10 ⁇ m ⁇ 10 ⁇ m, and 50 ⁇ m ⁇ 50 ⁇ m).
  • roughness values [RMS and Ra (average roughness)] were obtained.
  • Surface roughness increased by an average of about 20% after annealing, based on large scan sizes, i.e., 10 ⁇ m ⁇ 10 ⁇ m and 50 ⁇ m ⁇ 50 ⁇ m. Scans of a given size can capture roughness with wavelengths less than the scan size, but not larger.
  • characteristic RMS values represent only the wavelength with the largest amplitude, i.e., the long wavelength.
  • the layers in samples A and B do not exhibit columnar decomposition.
  • Cross-hatch roughness i.e., waviness
  • the cross-hatch does not correspond to the columnar decomposition; rather, it ultimately arises from the influence of the strain fields of the buried misfit dislocations in the graded layer.
  • Annealing may cause the cross-hatch to reappear even after the layer has been polished because the surface atom mobility may be high at high temperatures. Because the buried misfit dislocations are still present below the surface, the atoms on the surface may start to rearrange under the influence of the misfit dislocation strain fields, bringing back a milder version of the original cross-hatch.
  • the short wavelength roughness decreased by a factor of approximately seven. This significant reduction in the short wavelength roughness reduces the haze level observed on wafers annealed like sample B.
  • annealing may reduce the short wavelength roughness and the associated haze level of a layer, but may increase the large wavelength roughness (e.g., the cross-hatch roughness). Therefore, it may be advantageous to perform the annealing step prior to planarization. In this manner, the anneal reduces the propensity of the short wavelength roughness to reappear in subsequent processing steps, and the planarization step reduces any long wavelength roughness that reappeared during annealing. Because the re-emergence of the long wavelength roughness results from high surface atom mobility and from atoms responding to underlying strain fields below the surface, low long scale roughness may be maintained during the annealing step in other ways.
  • the layer may be capped by a protective layer.
  • This protective layer may include material that will not react with the surface being protected and that is easily removed selectively to the underlying surface. Suitable material for the protective layer may be, for example, silicon dioxide (SiO 2 ) or silicon nitride (Si 3 N 4 ).
  • SiO 2 silicon dioxide
  • Si 3 N 4 silicon nitride
  • a SiGe graded buffer layer grown at >850° C. was annealed at 1050° C. for 5 minutes at atmospheric pressure in hydrogen.
  • the surface roughness was measured by AFM with different scan sizes (1 ⁇ 1 ⁇ m, 10 ⁇ 10 ⁇ m, and 50 ⁇ 50 ⁇ m) at the center, mid-radius, and edge of the wafer.
  • haze measurements using a laser defect scanner (SURFSCAN 6220, available from KLA-Tencor) were compared between equivalent buffer layers, one unannealed and the other annealed. Referring to Table 2, the short spatial wavelength surface roughness derived from the 1 ⁇ m ⁇ 1 ⁇ m scan decreased after the anneal by an average of about 50%.
  • AFM images (50 ⁇ m ⁇ 50 ⁇ m, 10 ⁇ m ⁇ 10 ⁇ m, and 1 ⁇ m ⁇ 1 ⁇ m) at the edge of the wafer were compared before and after anneal.
  • the number of periods in the cross-hatch roughness decreased after the anneal.
  • Haze level measurements were made before and after the anneal of wafers having equivalent buffer layers.
  • the haze levels of non-annealed and annealed wafers were compared, with wafers placed in the inspection tool in the “notch down” (0 degree rotation) orientation.
  • Haze is measured as a fraction of light energy scattered by the surface relative to the energy in the incident laser beam.
  • the haze level was reduced by 50% or more by the anneal, confirming the reduction of small scale roughness shown in the AFM data.
  • Another aspect of the effect of the anneal process on the wafer surface roughness and resulting haze measurement is the greater extent to which the haze of an annealed substrate is reduced by changing the orientation angle. Because fine scale roughness has a more random orientation than cross-hatch, the scattering characteristics of fine scale roughness do not depend on the orientation of the wafer in relation to the incident beam. The cross-hatch, in contrast, scatters the incident beam in a different direction depending on the orientation angle of the wafer.
  • Annealing the substrate increases the impact of the orientation angle on haze.
  • changing the orientation angle of the wafer (0 to 45 degrees) in the inspection system reduces the measured haze by only about 10%, e.g., the average haze measurement is reduced from 716 to 657 ppm.
  • the random, fine scale roughness is reduced, and the haze is reduced by 50% when the orientation angle is changed from 0 to 45 degrees.
  • a vertical superlattice i.e., a vertical variation in the composition of the SiGe, has been observed in SiGe buffer layers.
  • X-ray diffraction (XRD) scans of buffer layer 14 provided evidence of the presence or absence of superlattices in buffer layers before and after anneal.
  • XRD rocking curves were generated of a SiGe buffer layer 14 without an anneal and with an anneal for 1050° C. for 5 minutes.
  • Satellite peaks around the normal graded buffer signature (peaks at ⁇ 3500 to ⁇ 3000 arc-sec, and at +700 to +1000 arc-sec indicated the presence of the superlattice structure in buffer layer 14 that has not been annealed. The peaks were observed at the wafer edge, possibly due to the wafer edge alternating as a leading and trailing edge due to wafer rotation in a horizontal flow reactor.
  • the satellite peaks were not present, neither at the center nor at the edge of the wafer, in a SiGe graded buffer layer 14 that has been annealed.

Abstract

Semiconductor structures are formed with semiconductor layers having reduced compositional variation. Top surfaces of the semiconductor layers are substantially haze-free.

Description

    RELATED APPLICATIONS
  • This application claims the benefit of U.S. Provisional Application Ser. No. 60/442,784, filed on Jan. 27, 2003, the entire disclosure of which is hereby incorporated by reference.
  • FIELD OF THE INVENTION
  • This invention relates generally to semiconductor substrates and particularly to substrates with strained semiconductor layers.
  • BACKGROUND
  • “Virtual substrates” based on silicon (Si) and germanium (Ge) provide a platform for new generations of very large scale integration (VLSI) devices that exhibit enhanced performance in comparison to devices fabricated on bulk Si substrates. The important component of a SiGe virtual substrate is a layer of SiGe that has been relaxed to its equilibrium lattice constant (i.e., one that is larger than that of Si). This relaxed SiGe layer may be directly applied to a Si substrate (e.g., by wafer bonding or direct epitaxy), or atop a relaxed graded SiGe buffer layer in which the lattice constant of the SiGe material has been increased gradually over the thickness of the layer. The SiGe virtual substrate may also incorporate buried insulating layers, in the manner of a silicon-on-insulator (SOI) wafer. To fabricate high-performance devices on these platforms, thin strained layers of semiconductors, such as Si, Ge, or SiGe, are grown on the relaxed SiGe virtual substrates. The resulting biaxial tensile or compressive strain alters the carrier mobilities in the layers, enabling the fabrication of high-speed and/or low-power-consumption devices. The thin strained semiconductor layers may also be subsequently transferred to other substrates having insulator layers by methods such as wafer bonding, thus creating strained-semiconductor-on-insulator (SSOI) wafers.
  • In certain cases the microstructure of semiconductor graded buffer layers as grown may be less than ideal depending on the growth conditions. For example, SiGe buffer layers deposited at temperatures below 850° C. may not attain the relaxation state desired for strained Si applications, i.e., >98%. In addition, the density of threading dislocations may be higher than desired. Furthermore, both high and low temperature growth conditions may result in as-grown graded buffer layers having top surfaces that are rougher than the ultra-planar surfaces preferable for growth of relaxed semiconductor cap layers with subsequent strained semiconductor layer deposition (e.g., regrowth of SiGe layers containing 20% Ge, followed by deposition of strained Si). This roughness may carry over and increase in subsequently formed layers. In addition, roughness on a layer surface negatively impacts the ability of laser scanning tools to perform optical inspection for defects in the layer before and after planarization and regrowth. Roughness appears in the scattered signal of the laser scanner as an elevated level of “haze” or background noise, reducing the ability of the tool to detect small defects in and on the layer. It is desirable, therefore, to reduce this roughness in semiconductor layers.
  • SUMMARY
  • One technique suitable for fabricating strained Si wafers may include the following steps:
      • 1. Providing a silicon substrate;
      • 2. Epitaxially depositing a relaxed, graded SiGe buffer layer to some final Ge composition on the silicon substrate;
      • 3. Epitaxially depositing a relaxed SiGe cap layer having a constant composition on the SiGe buffer layer;
      • 4. Annealing the layers at a temperature greater than a growth temperature of the layers to relax strain or modify the morphology of the layers, at any point during or after Steps 2 and 3;
      • 5. Planarizing a surface of the SiGe cap layer by, e.g., chemical mechanical polishing (CMP), and cleaning the resulting planarized surface;
      • 6. Epitaxially depositing a relaxed SiGe regrowth layer having a constant composition on the planarized surface; and
      • 7. Epitaxially depositing a strained Si layer on the SiGe regrowth layer.
      • 8. Measuring the surface quality of the strained Si layer using laser scanning techniques.
  • Annealing at elevated temperatures may improve the properties of layers deposited at relatively low temperatures, e.g., below 850° C. Various layer properties, in addition to relaxation and threading dislocation densities, are important for making strained semiconductor layers, e.g., strained silicon layers. For example, at high temperature growth conditions (>850° C.), graded and constant composition SiGe buffer layers may contain microstructural phenomena such as decomposition. Decomposition may sometimes be observed as narrow vertical bands of varying composition, i.e., vertical superlattices.
  • Elevated temperature annealing before, after, or between planarization process steps may be used to improve the microstructure of semiconductor layers. Compositional variation within layers is reduced, thereby enabling the formation of layers with top surfaces that remain smooth even after cleaning steps that etch different compositions at different rates.
  • In some embodiments, compositional superlattices may be avoided by appropriate selection of semiconductor layer growth parameters and regrowth layer parameters.
  • In an aspect, the invention features a method for forming a semiconductor structure, the method including providing a substrate, and forming a semiconductor layer over a top surface of the substrate, the semiconductor layer including at least two elements, the elements being distributed to define an initial compositional variation within the semiconductor layer. The semiconductor layer is annealed to reduce the initial compositional variation.
  • One or more of the following features may be included. The substrate may have a first lattice constant, the semiconductor layer may have a second lattice constant, and the first lattice constant may differ from the second lattice constant. The first element may have a first concentration, a second element may have a second concentration, and each of the first and second concentrations may be at least 5%. The initial compositional variation may vary periodically within the semiconductor layer in a direction perpendicular to a semiconductor layer deposition direction. The compositional variation may define a column within the semiconductor layer, the column having a width and a period. The columnar period may be less than approximately 2000 nanometers (nm), e.g., less than approximately 1000 nm.
  • The semiconductor layer may be annealed at an annealing temperature and/or for a duration sufficient to diffuse at least one of the two elements through a diffusion length at least equal to a quarter of the columnar period.
  • The initial compositional variation may vary in a direction parallel to a semiconductor layer deposition direction and define a superlattice having a periodicity. The superlattice periodicity may be less than approximately 100 nm, preferably less than approximately 50 nm, and more preferably less than approximately 10 nm. The semiconductor layer may be annealed at an annealing temperature sufficient to diffuse at least one of the two elements through a diffusion length at least equal to a quarter-period of the superlattice and/or for a duration sufficient to diffuse at least one of the two elements through a diffusion length at least equal to a quarter-period of the superlattice.
  • The semiconductor layer may be annealed at an annealing temperature greater than the deposition temperature. The annealing temperature may be greater than about 800° C., e.g., greater than about 1000° C.
  • The semiconductor layer may be annealed at an annealing temperature below a melting point of the semiconductor layer, e.g., less than about 1270° C.
  • At least one of the at least two elements may be silicon and/or germanium. A top surface of the semiconductor layer may be planarized. The top surface of the semiconductor layer may be planarized before, while, or after the semiconductor layer is annealed. Planarizing may include chemical-mechanical polishing, plasma planarization, wet chemical etching, gas-phase chemical etching [preferably at elevated temperature, e.g., above 900° C., in an ambient including an etch species, e.g., hydrogen chloride (HCl)], oxidation followed by stripping, and/or cluster ion beam planarization.
  • Chemical-mechanical polishing may include a first and a second step and the semiconductor layer may be annealed between the first and the second chemical-mechanical polishing steps and/or before the first chemical-mechanical polishing step. The planarization may include a high temperature step and the semiconductor layer may be annealed during the high temperature planarization step.
  • A top surface of the semiconductor layer may be bonded to a wafer, and at least a portion of the substrate may be removed, such that at least a portion of the semiconductor layer remains bonded to the wafer after the portion of the substrate is removed.
  • A second layer may be formed over the semiconductor layer subsequent to planarizing the top surface of the semiconductor layer. The second layer may include a material having a lattice constant substantially equal to or substantially different from a lattice constant of the semiconductor layer. A top surface of the second layer may be bonded to a wafer and at least a portion of the substrate may be removed, such that at least a portion of the second layer remains bonded to the wafer after the portion of the substrate is removed.
  • A second layer may be formed over the semiconductor layer subsequent to planarizing the top surface of the semiconductor layer. The second layer may include a material having a lattice constant substantially equal to or substantially different from a lattice constant of the semiconductor layer. A top surface of the second layer may be bonded to a wafer, and at least a portion of the substrate may be removed, with at least a portion of the second layer remaining bonded to the wafer after the portion of the substrate is removed. The second layer may include (i) a lower portion having a superlattice and (ii) an upper portion disposed over the lower portion, the upper portion being substantially free of a superlattice.
  • The semiconductor layer may have an undulating surface. The undulating surface may be formed during deposition of the semiconductor layer. The substrate may have an undulating substrate surface, and the undulating substrate surface induces the formation of the undulating surface of the semiconductor layer. The undulating surface may have an amplitude, the initial compositional variation may define a superlattice having a periodicity, and the periodicity of the superlattice may be less than the amplitude of the undulating surface.
  • A relaxed graded layer may be formed over the substrate, such that the semiconductor layer is formed over the relaxed graded layer. The relaxed graded layer may serve to provide the semiconductor layer with a lattice spacing different from that of the substrate while reducing defect nucleation. A protective layer may be formed over the semiconductor layer prior to annealing the semiconductor layer. The protective layer may include a material that is substantially inert with respect to the semiconductor layer, such as, for example, silicon dioxide or silicon nitride. The anneal may be performed as a batch process on multiple wafers at once, for example, in a tube furnace, to improve throughput and economics.
  • In another aspect, the invention features a method for forming a semiconductor structure, including providing a substrate, and selecting a first plurality of parameters suitable for forming a semiconductor layer over a top surface of the substrate, the semiconductor layer including at least two elements, the elements being distributed to define a compositional variation within the semiconductor layer. The semiconductor layer having a haze is formed, and the semiconductor layer is planarized to remove the haze.
  • One or more of the following features may be included. Forming the semiconductor layer may include forming a lower portion having a superlattice, and forming an upper portion over the lower portion, the upper portion being substantially free of a superlattice. The first plurality of parameters may include temperature, precursor, growth rate, and/or pressure. The semiconductor layer may be cleaned after planarizing, with the semiconductor layer remaining substantially haze-free after cleaning. A second plurality of parameters may be selected that is suitable for forming a substantially haze-free regrowth layer over the semiconductor layer, the semiconductor layer including at least two elements, the elements being distributed to define a compositional variation within the semiconductor layer. The substantially haze-free regrowth layer may be formed. The first plurality of parameters may include a first temperature, the second plurality of parameters may include a second temperature, and the first temperature may be higher than the second temperature. The first plurality of parameters include a first growth rate, the second plurality of parameters may include a second growth rate, and the first growth rate may be higher than the second growth rate. Forming the regrowth layer may include forming a lower portion having a superlattice and forming an upper portion over the lower portion, the upper portion being substantially free of a superlattice.
  • In another aspect, the invention features a semiconductor structure including a substrate, and a semiconductor layer disposed over the substrate, the semiconductor layer including at least two elements and having a top surface. The semiconductor layer top surface is substantially haze-free.
  • One or more of the following features may be included. A portion of the semiconductor layer disposed below the top surface may include a superlattice. A relaxed graded layer may be disposed between the substrate and the semiconductor layer. The semiconductor layer top surface may have a roughness root-mean-square of less than 10 angstroms (Å), preferably less than 5 Å in a scan area of 40 μm×40 μm, and a contamination level of less than 0.29 particles/cm2, the particles having a diameter greater than 0.12 micrometers (μm). Preferably, the roughness is less than 1 Å root-mean-square in a scan area of 1 μm×1 μm.
  • The semiconductor layer top surface may have a roughness of less than 10 Å, preferably less than 5 Å root-mean-square in a scan area of 40 μm×40 μm and a contamination level of less than 0.16 particles/cm2, the particles having a diameter greater than 0.16 μm. Preferably, the roughness is less than 1 Å root-mean-square in a scan area of 1 μm×1 μm.
  • The semiconductor layer top surface may have a roughness of less than 10 Å, preferably less than 5 Å root-mean-square in a scan area of 40 μm×40 μm and a contamination level of less than 0.08 particles/cm2, the particles having a diameter greater than 0.2 μm. Preferably, the roughness is less than 1 Å root-mean-square in a scan area of 1 μm×1 μm.
  • The semiconductor top surface may have a roughness of less than 10 Å, preferably less than 5 Å root-mean-square in a scan area of 40 μm×40 μm and a contamination level of less than 0.019 particles/cm2, the particles having a diameter greater than 1 μm. Preferably, the roughness is less than 1 Å root-mean-square in a scan area of 1 μm×1 μm.
  • The semiconductor layer top surface may have a roughness of less than 0.5 Å root-mean-square in a scan area of 1 μm×1 μm and a contamination level of less than 0.09 particles/cm2, the particles having a diameter greater than 0.09 μm.
  • In another aspect, the invention features a semiconductor structure including a substrate, and a semiconductor layer disposed over the substrate, the semiconductor layer including at least two elements. A regrowth layer is disposed over the semiconductor layer, the regrowth layer having a top surface that is substantially haze-free.
  • One or more of the following features may be included. The regrowth layer may include a semiconductor material, such as silicon. The regrowth layer may be strained. A portion of the regrowth layer disposed below the regrowth layer top surface may include a superlattice.
  • In another aspect, the invention features a semiconductor structure including a wafer, and a semiconductor layer bonded to the wafer, the semiconductor layer having a top surface that is substantially haze-free.
  • One or more of the following features may be included. The semiconductor layer may include silicon and/or germanium. The semiconductor layer may be strained. The wafer may include an insulating layer. The insulating layer may include silicon dioxide.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1-6 and 8-11 are schematic cross-sectional views of semiconductor substrates illustrating superlattices, columnar structures, and processes for forming homogeneous, smooth semiconductor layers; and
  • FIG. 7 is a diagram illustrating the temperature and time dependence of diffusion of Ge in Si.
  • DETAILED DESCRIPTION
  • Roughness on semiconductor graded buffer layers may be separated into two components, each with distinct characteristics. A first component is a cross-hatch that arises from strain fields created by the formation of misfit dislocations. Cross-hatch has the form of a network of perpendicular waves with several characteristic wavelengths. For many graded buffer layers formed on wafers, for example layers constituted of group IV or III-V semiconductors with diamond cubic or zinc blende crystal structures, this cross-hatch is generally oriented in the <110> in-plane direction of the wafers. This relatively widely spaced component of surface texture may be likened to a surface feature referred to in the SEMI Specifications as “waviness.” A second component, present in different degrees depending on the growth conditions, is small-scale roughness with no obvious directionality, a smaller amplitude, and a shorter spatial wavelength than the cross-hatch. This fine-scale roughness may be a major contributor to haze measured on semiconductor layers by laser defect scanning tools. Methods for reducing or eliminating both cross-hatch and fine scale roughness are described below.
  • Referring to FIG. 1, an epitaxial wafer 8 has a plurality of layers 10 disposed over a substrate 12. Substrate 12 may be formed of a semiconductor, such as Si, Ge, or SiGe. Substrate 12 may also include an insulator layer (not shown). The plurality of layers 10 formed on a top surface 13 of substrate 12 includes a graded buffer layer 14, which may be relaxed and may be formed of Si1-yGey, with a maximum Ge content of, e.g., 10-100% (i.e., y=0.1-1.0) and a thickness T1 of, for example, greater than or equal to 0.5 μm, e.g., 0.5-10 μm. A semiconductor layer 16 is disposed over graded buffer layer 14. Semiconductor layer 16 may be relaxed, and may contain at least two elements. The substrate may have a first lattice constant and the semiconductor layer 16 may have a second lattice constant, such that the first lattice constant differs from the second lattice constant. The first element may have a first concentration and the second element may have a second concentration, and each of the first and second concentrations may be greater than 5%. The two elements may be, for example, silicon and germanium (e.g., Si1-xGex). Si1-xGex may have a Ge content of, for example, 10-100% (i.e., x=0.1-1.0), and a thickness T2 of, for example, 0.2-2 μm. In some embodiments, Si1-xGex may include Si0.80Ge0.20 and T2 may be approximately 1.5 μm. Semiconductor layer 16 may be >90% relaxed, as determined by triple axis x-ray diffraction, and may have a threading dislocation density of <1×106 cm−2, as determined by etch pit density (EPD) and plan-view transmission electron microscopy (PVTEM) analysis.
  • Graded layer 14 and semiconductor layer 16 may be formed by epitaxy, such as by atmospheric-pressure chemical vapor deposition (APCVD), low- (or reduced-) pressure CVD (LPCVD), ultra-high-vacuum CVD (UHVCVD), or by molecular beam epitaxy (MBE). The epitaxial deposition system may be a single-wafer or multiple-wafer batch reactor. The growth system may include a horizontal flow reactor, in which process gases are introduced into the reactor from one side and exit the reactor from another side, after passing over one or more substrates. The growth system may also utilize a low-energy plasma to enhance layer growth kinetics. The deposition temperature may be 500-1200° C.
  • Substrate 12, graded layer 14, and semiconductor layer 16 may be formed from various materials systems, including various combinations of group II, group III, group IV, group V, and group VI elements. For example, each of substrate 12, graded layer 14, and semiconductor layer 16 may include a III-V compound. Substrate 12 may include gallium arsenide (GaAs), and graded layer 14 and semiconductor layer 16 may include indium gallium arsenide (InGaAs) or aluminum gallium arsenide (AlGaAs). These examples are merely illustrative, and many other material systems are suitable.
  • In alternative embodiments, semiconductor layer 16 is tensilely strained (e.g., SixGe1-x disposed over SiyGe1-y where y<x). In other embodiments, semiconductor layer 16 is compressively strained (e.g., SixGe1-x disposed over SiyGe1-y where y>x). In these cases, semiconductor layer 16 may be disposed over a relaxed semiconductor layer. In some embodiments, a strained layer (not shown) may be formed on a top surface of semiconductor layer 16 or graded layer 14.
  • Referring to FIG. 2 as well as to FIG. 1, as deposited, a distribution of the elements from which semiconductor layer 16 is formed may have an initial compositional variation 20. For example, if semiconductor layer 16 includes 20% Ge (Si0.80Ge0.20), the actual Ge concentration within layer 16 may vary by a total of 4%, e.g., 18-22%. This initial compositional variation 20 may vary in semiconductor layer 16 in a direction parallel to a deposition direction 22 thereof.
  • Compositional variation 20 may define a superlattice 24 having a periodicity P1. Superlattice 24 has alternating regions with low 28 and high 29 concentrations of an element, e.g., Ge, alternating in the same layer, such as in semiconductor layer 16. Such alternation may occur in a horizontal flow deposition reactor, in which a higher fraction of an element is incorporated at a leading edge of a substrate, i.e., an edge of wafer 8. The element fraction, e.g., Ge concentration, may alternate vertically within semiconductor layer 16 because substrate 10 may be rotated during deposition, thus changing the leading edge first exposed to gas flow. Depending on deposition parameters, alternating compositions within a layer may also occur in layers formed in other types of deposition systems. Superlattice 24 may have a superlattice periodicity P1. Periodicity P1 may be less than approximately 100 nm, including less than 50 nm or less than 10 nm. In an embodiment, periodicity P1 may be 8 nm with, e.g., region 29 having a thickness of 4 nm with Ge concentration above, e.g., 20% and region 28 having a thickness of 4 nm with Ge concentration below, e.g., 20%.
  • Referring to FIG. 3, semiconductor layer 16 may be formed over graded buffer layer 14 having a top surface 15 that may not be completely smooth, i.e., it may have cross-hatch formed by strain fields arising from the formation of misfit dislocations. A cross-hatch may have, for example, a relatively high Ge concentration at a peak and a relatively low Ge concentration in a trough. Cross-hatch may have a wavelength of 1-10 μm and an amplitude of 1-100 nm. Graded buffer layer surface 15 may also have fine-scale roughness, with a wavelength of, e.g., 10-100 nm and a height of 1-50 Å. Both cross-hatch and fine-scale roughness may carry over to cause undulation 30 in a top surface 32 of semiconductor layer 16. Undulation 30 may be formed during deposition of semiconductor layer 16. Undulation 30 has an amplitude A that may be greater than periodicity P1 of superlattice 24.
  • Referring to FIG. 4, semiconductor layer surface 32 may be planarized by, e.g., CMP. Planarization exposes lateral composition variations on planarized semiconductor layer surface 32. The periodicity P1 of elements disposed in semiconductor layer 16, i.e., superlattice 24, however, may cause problems with subsequent processing. For example, maintaining the planarity of semiconductor layer 16 may be challenging. Cleaning steps after planarization may re-roughen surface 32. A wet cleaning solution whose removal rate is compositionally dependent may result in a rough top surface if there is lateral compositional variation in the layer being cleaned and the removal rate is compositionally dependent. Such a solution may, for example, selectively etch portions of layer 16 with higher concentrations of a particular element, such as region 29 having a higher concentration of, e.g., Ge, more quickly than portions of layer 16 with a lower concentration of the same element, such as region 28 having a lower concentration of, e.g., Ge. An example of such a wet etch is RCA SC1, i.e., ammonium hydroxide, hydrogen peroxide, and deionized water at a ratio of, e.g., 1:1:10 or 1:1:100, at 40-80° C. for about 10 minutes, with or without megasonic agitation.
  • Referring to FIG. 5, in an alternative embodiment, initial compositional variation 20 may vary in semiconductor layer 16 in a direction perpendicular to the deposition direction 22 thereof. Initial compositional variation 20 may define a column 50 within semiconductor layer 16. Column 50 may have an irregular cross-section. Column 50 may form as a result of an interaction between the cross-hatch formed on surface 15 of graded layer 14 and superlattice 24 (see, e.g., FIGS. 2-4). This interaction may cause decomposition during the formation of semiconductor layer 16, resulting in the formation of a plurality of columns 50 having a relatively high concentration of an element, e.g., Ge, alternating with a plurality of columns 52 having a relatively low concentration of the same element. Column 50 and column 52 may each have a width W1 less than approximately 1000 nm, such that columnar period P2, including column 50 and column 52 (one dark region and one light region in FIG. 5) is less than approximately 2000 nm. In some embodiments, columnar period P2 may be less than 1000 nm. Semiconductor layer surface 32 may be planarized, e.g., by CMP. The presence of columns 50, 52 with varying compositions in semiconductor layer 16, however, may cause problems with subsequent processing. For example, maintaining the planarity of semiconductor layer 16 may be challenging. Cleaning steps after planarization may re-roughen surface 32. Cleaning solutions, such as RCA SC1 may selectively etch faster portions of layer 16 with higher concentrations of a particular element, such as columns 50 having a higher concentration of, e.g., Ge than portions of layer 16 with lower concentrations of the same element, such as columns 52 having a lower concentration of, e.g., Ge.
  • Referring to FIG. 6, the initial compositional variation within semiconductor layer 16 may be reduced by annealing semiconductor layer 16. The resulting reduction of the initial compositional variation may substantially eliminate superlattice 24, as well as columns 50, 52, resulting in a relatively homogeneous compositional distribution within semiconductor layer 16. The relatively uniform composition of semiconductor layer 16 reduces the aforementioned effects of cleaning steps, i.e., non-uniform etch rates of semiconductor layer 16 regions with varying compositions, resulting in roughening of semiconductor layer surface 32. Annealing may increase the amplitude and wavelength of the cross-hatch, but reduces the short wavelength roughness. The cross-hatch may have a wavelength sufficiently long so that a small increase in the long wavelength roughness (>1 μm) may not affect optical scanning measurements of semiconductor layer surface 32.
  • Referring to FIGS. 3 and 6, the annealing temperature may be sufficient to diffuse at least one of the at least two elements included in semiconductor layer 16 through a diffusion length at least equal to one-quarter the period P1 of superlattice 24, in a cost-effective time. For example, to diffuse Ge through a diffusion length of 100 nm, the annealing temperature may be at least 850° C. at a duration of 300,000 seconds (83.3 hours). This temperature and duration may be derived from the following equations. The diffusion length x may be calculated by:

  • x=2*(Dt)0.15  (Equation 1)
  • where
  • x is the characteristic diffusion length,
  • D is the characteristic diffusion coefficient of one of the at least two elements in another of the at least two elements, and
  • t is the diffusion time.
  • The diffusion coefficient D is given by the following:

  • D=D o exp(−E/kT)  (Equation 2)
  • where
  • Do is the pre-exponential factor,
  • E is the activation energy,
  • k is the Boltzmann constant, and
  • T is the annealing temperature (in degrees Kelvin).
  • For example, for germanium diffusing in silicon, the following values may be obtained from published literature: Do=6.26×105 cm 2/sec, E=5.28 eV, and k=8.63×10−5 eV/K. Using these values, the characteristic diffusion distance may be calculated for a range of anneal times, and plotted versus temperature (see, e.g., FIG. 7). The various values of the diffusion constants for germanium in silicon that are available may produce somewhat different results (see below). In some embodiments, the duration of the anneal is selected to be sufficient to diffuse at least one of the at least two elements included in semiconductor layer 16 through a diffusion length at least equal to a quarter of the period P1 of superlattice 24, at an acceptable temperature, i.e., a temperature high enough to provide adequate throughput without damaging the substrate or melting semiconductor layer 16. This temperature may be greater than about 800° C. and less than about 1270° C. For example, to diffuse Ge through a diffusion length of at least 100 nm, the duration of the annealing may be at least 12 seconds at a temperature of 1250° C. This duration may be derived from equations 1-2 and/or FIG. 7. Referring to FIGS. 5 and 6, the annealing temperature may be sufficient to diffuse one or more of the elements included in semiconductor layer 16 through a diffusion length at least equal to a quarter of the columnar period P2 (in an economically acceptable time). For example, to diffuse Ge through a diffusion length of at least 1000 nm, the annealing temperature may be at least 1050° C. at a duration of 300,000 sec (83.3 hours). The appropriate annealing temperature may be derived from the equations 1-2 above or FIG. 7. In some embodiments, the duration of the anneal may be selected to be sufficient to diffuse at least one of the at least two elements included in semiconductor layer 16 through a diffusion length at least equal to a quarter of the columnar period P2. For example, to diffuse Ge through a diffusion length of at least 1000 nm, the duration of the annealing may be at least 1200 sec (20 minutes) at a temperature of 1250° C. This duration may be derived from equations 1-2 and/or FIG. 7.
  • Referring to FIGS. 3, 5, and 6, in some embodiments, semiconductor layer 16 is annealed at an annealing temperature greater than a deposition temperature of semiconductor layer 16. For example, the annealing temperature may be greater than about 800° C., or greater than about 1000° C. The annealing temperature may also be less than a melting point of semiconductor layer 16. For example, for semiconductor layer 16 including Si0.8Ge0.2, the annealing temperature may be less than about 1270° C. A dislocation density in semiconductor layer 16 may remain substantially unchanged during the annealing step.
  • Referring to FIG. 6, after an annealing step, semiconductor layer 16 has a relatively homogeneous compositional distribution. Top surface 32 of semiconductor layer 16 may be planarized. This planarization may be performed before, during, or after the annealing step. Planarization may be performed by one of several methods, including CMP, plasma planarization, wet chemical etching, gas-phase chemical etching (preferably at elevated temperature, e.g., above 900° C., in an ambient including an etch species, e.g., HCl), oxidation followed by stripping, and cluster ion beam planarization. In some embodiments, CMP includes a first (stock) and a second (final) step. The stock polish removes a larger fraction of the total amount of material to be removed (˜0.5 μm) and leaves a semi-polished surface. The final polish step removes a smaller fraction of the total amount of material to be removed (<0.1 microns) and produces a smooth polished surface. Semiconductor layer 16 may be annealed before or after the first CMP step. The anneal step may provide a greater benefit in terms of layer homogenization, but at perhaps higher cost, if it is inserted between two steps of the planarization process, e.g., between the stock and final polishing steps. The removal of the cross-hatch by the stock polish step before the anneal step may allow the threading dislocations to move more freely to the wafer edge during the anneal. Performing the final polish step after the anneal may be preferable for obtaining a smooth surface for the regrowth process (see, e.g., FIG. 7). The anneal may be performed as a batch process on multiple wafers at once, for example, in a tube furnace, to improve throughput and economics.
  • Referring to FIG. 8 as well as to FIG. 7, after planarization, top surface 32 of semiconductor layer 16 may be bonded to a wafer 40. Subsequently, at least a portion of substrate 12 may be removed by, e.g., a wet etch step or a delamination process. After the removal of at least the portion of substrate 12, at least a portion of semiconductor layer 16 remains bonded to the wafer 40. In an embodiment, all of substrate 12 may be removed, and the semiconductor layer 16 may have a second substantially haze-free top surface 42. Second top surface 42 may be planarized (i.e., smoothed) after removal of substrate 12. Planarizing may include chemical-mechanical polishing, plasma planarization, wet chemical etching, gas-phase chemical etching (preferably at elevated temperature, e.g., above 900° C., in an ambient including an etch species, e.g., HCl), oxidation followed by stripping, and/or cluster ion beam planarization. Wafer 40 may include a second substrate 42 formed of a semiconductor, such as Si, Ge, or SiGe. Second substrate 42 may also be formed of an insulating material such as sapphire (Al2O3) or glass. Wafer 40 may also include an insulating layer 44 disposed over substrate 42 and formed from, e.g., silicon dioxide. This process may be used to, e.g., prepare a semiconductor-on-insulator (SOI) substrate or an SSOI substrate.
  • Referring to FIGS. 7 and 9, after planarization of top surface 32 of semiconductor layer 16, a second layer 50 may be formed over semiconductor layer 16. Second layer 50 may include, e.g., a semiconductor material including at least one of a group II, a group III, a group IV, a group V, and a group VI element, and may be formed by, e.g., CVD. Second layer 50 may have a lattice constant substantially equal to a lattice constant of semiconductor layer 16. Second layer 50 may also be a regrowth layer formed from the same material as semiconductor layer 16. Alternatively, the lattice constant of second layer 50 may be substantially different from the lattice constant of semiconductor layer 16. The lattice constant of second layer 50 may be less than that of semiconductor layer 16, in which case second layer 50 may be tensilely strained. For example, semiconductor layer 16 may include Si1-xGex and second layer 50 may include Si1-zGez, with z<x. In another embodiment, the lattice constant of second layer 50 may be greater than the lattice constant of semiconductor layer 16, in which case second layer 50 will be compressively strained. For example, semiconductor layer 16 may include Si1-xGex and second layer 50 may include Si1-zGez, with z>x. A top surface of second layer 50 may be bonded to wafer 40. Subsequently, at least a portion of substrate 12 may be removed by, e.g., a wet etch step or a delamination process. After the removal of at least the portion of substrate 12, at least a portion of the second layer 50 remains bonded to wafer 40. This process may be used to, e.g., prepare a SOI substrate or a SSOI substrate.
  • Referring again to FIG. 6, after annealing and planarization, top surface 32 of semiconductor layer 16 is substantially haze-free. Haze is caused by background scattering of a surface, and is directly proportional to the roughness of the surface. Surface roughness may include features on several different spatial wavelengths. The cross-hatch features may typically be several micrometers (e.g., 1 μm-10 μm) in wavelength, while a fine-scale roughness may also be present on a shorter length scale (<1 μm). Surface roughness may be measured by atomic force microscopy (AFM), with a tool like the Dimension 3100 from Veeco Instruments, Woodbury, N.Y.) Haze may be measured by a light-scattering tool, such as various models of the SURFSCAN tool manufactured by KLA-Tencor, San Jose, Calif. or the Film Inspection Tool (FIT)/Advanced Wafer Inspection System (AWIS) manufactured by ADE Corporation, Westwood, Mass. In such laser-based particle or defect detection systems for semiconductor wafers, surface roughness causes may cause light scattering, which is termed “haze.” The optical architecture of the system, i.e., the wavelength of the laser, the incident beam angle, and the polar and azimuthal angles of the collection detector(s) determines the spatial wavelengths of roughness to which the system is sensitive. For example, the SURFSCAN 6220, SURFSCAN SP1-TBI dark-field narrow channel with normal incidence beam (DNN), and ADE FIT/AWIS front channel are sensitive primarily to surface roughness features with a wavelength of ˜1-10 microns, which corresponds to the cross-hatch feature. In contrast, the SURFSCAN SP1-TBI dark-field wide channel with normal incidence (DWN), the ADE FIT/AWIS back and center channels, and SURFSCAN SP1 dark-field narrow channel with oblique incidence (DNO) are primarily sensitive to surface features with a spatial wavelength of <1 μm, which corresponds to fine-scale roughness. Lower haze values indicate smoother (lower roughness) surfaces, which are generally preferred. Haze values measured by a SURFSCAN 6220 for a high-quality surface are preferably less than 20 parts per million (ppm), more preferably less than 5 ppm, and most preferably less than 1 ppm. Haze values measured by the ADE FIT/AWIS back and center channels or by the SURFSCAN SP1-TBI DNO channel are preferably less than 0.2 ppm and more preferably less than 0.05 ppm.
  • By annealing semiconductor layer 16, the compositional variation is homogenized. This uniform composition enables the planarization of top surface 32, as well as cleaning of top surface 32, without the re-introduction of roughness. Top surface 32 of semiconductor layer 16 may, therefore, be both smooth and clean. For example, top surface 32 may have a roughness root-mean-square (RMS) of less than 5 Å (in a 40 μm×40 μm scan area), less than 1 Å (in a 1 μm×1 μm scan area) and a contamination level of less than 0.29 particles per square centimeter (cm2), with respect to particles having a diameter greater than 0.12 μm. This contamination level is equivalent to less than 90 localized light-scattering (LLS) defects greater than 0.12 μm on a 200 millimeter (mm) wafer. The roughness of top surface 32 may be less than 1 Å RMS in a 1 μm×1 μm scan area. Further, top surface 32 of semiconductor layer 16 may have the following roughness and contamination levels:
  • Roughness root-mean-square contamination level
    <5 Å (40 μm × 40 μm scan area) <0.16 particles/cm2
    <1 Å (1 μm × 1 μm scan area) particle diameter > 0.16 μm
    (<50 LLS defects on a 200 mm wafer)
    <5 Å (40 μm × 40 μm scan area) <0.08 particles/cm2
    <1 Å (1 μm × 1 μm scan area) particle diameter > 0.2 μm
    (<25 LLS defects on a 200 mm wafer)
    <5 Å (40 μm × 40 μm scan area) <0.0 19 particles/cm2
    <1 Å (1 μm × 1 μm scan area) particle diameter > 1.0 μm
    (<6 LLS defects on a 200 mm wafer)
    <3 Å (40 μm × 40 μm scan area) <0.09 particles/cm2
    <0.5 Å (1 μm × 1 μm scan area) particle diameter > 0.09 μm
  • The embodiments discussed above illustrate instances in which an annealing step helps eliminate superlattices, thereby reducing surface roughness. In some embodiments, however, an anneal can help reduce haze and provide a smoother layer surface even for layers which are initially homogeneous, i.e., do not have superlattice or columnar compositional variations.
  • In some embodiments, growth conditions, including a first plurality of parameters may be selected to prevent compositional superlattice formation, thereby eliminating the need for the aforementioned anneal. The first plurality of parameters may include temperature, precursor, growth rate, and pressure. For example, a superlattice-free SiGe graded buffer layer may be grown at high temperatures under the following conditions:
      • System: ASM EPSILON® 2000 epitaxial reactor, manufactured by ASM International B.V., based in Bilthoven, the Netherlands
      • Temperature: 1000-1100° C.
      • Pressure: 20 Torr to 760 Torr (atmospheric pressure)
      • Hydrogen flow: 20-80 standard liters per minute (slm)
      • Dichlorosilane flow: 50-250 standard cubic centimeters per minute (sccm)
      • Germanium tetrachloride flow: 0-0.5 gram per minute
      • Growth rate: 380-980 nm/min
        In a preferred embodiment, conditions for growth of a superlattice-free graded SiGe buffer layer may be as follows:
      • System: ASM EPSILON® 2000 epitaxial reactor
      • Temperature: 1100° C.
      • Pressure: 80 Torr
      • Hydrogen flow: 40 slm
      • Dichlorosilane flow: 250 sccm
      • Germanium tetrachloride flow: 0-0.5 gram per minute (for up to 20% Ge)
      • Growth rate: 850-980 nm/min
  • The presence or absence of a superlattice in a regrowth layer, e.g., a SiGe layer, formed after the planarization step should also be considered. Such a superlattice may be detrimental to the electrical properties of the semiconductor layer grown on it, e.g., a strained Si layer. In some embodiments, regrowth may be performed without forming a superlattice structure. Factors that reduce variation in a gas phase depletion profile in, e.g., a SiGe deposition system (and therefore also reduce upstream-to-downstream SiGe compositional variations) tend to reduce a tendency to define a superlattice in the SiGe layer. These factors include, for example, reduced dichlorosilane (DCS) or equivalent Si precursor flow/growth rate, decreased temperature, and increased hydrogen flow rates. Conditions that produce a difference of less than 5%, and preferably less than 2%, in the Ge fraction between the upstream and downstream positions on a wafer having a diameter of 200 millimeters (mm) or less may produce superlattice-free growth. A wafer having a diameter larger than 200 mm, e.g., 300 mm or larger, may require even less difference in the Ge fraction to achieve superlattice-free growth, e.g., possibly less than 2% variation. The effect of the conditions may be measured by growing a wafer without rotation and measuring upstream and downstream positions on the wafer near the wafer edge (<10 mm from a wafer edge, preferably <5 mm from the wafer edge).
  • Like for the semiconductor layer, the regrowth layer may be formed substantially haze-free, and may include two elements, the two elements being distributed to define a compositional variation within the semiconductor layer. A second plurality of parameters may be used for forming the regrowth layer. These parameters may include a second temperature, with the first temperature used to make the semiconductor layer being higher than the second temperature. As an example, superlattice-free regrowth of SiGe layers may be achieved in an ASM EPSILON® 2000 epitaxial reactor under the following representative conditions:
      • Temperature: 700-850° C.
      • Pressure: 20-80 Torr
      • H2 flow: 20-80 slm
      • Dichlorosilane flow: 20-60 sccm
      • Germane (GeH4) flow: 8-34 sccm of 25% GeH
      • Growth rate: 20-200 n/min
        In a preferred embodiment, conditions for superlattice-free regrowth of SiGe layers may be as follows:
      • Temperature: 750-800° C.
      • Pressure: 80 Torr
      • H2 flow: 40-80 slm
      • Dichlorosilane flow: 50 sccm
      • Germane flow: 17-34 sccm of 25% GeH4
      • Growth rate: 90-100 nm/min
  • Referring to FIG. 10, in an alternative embodiment, the semiconductor layer 16 may have a lower portion 100 that includes a superlattice and an upper portion 110 disposed over the lower portion 100 that is substantially free of a superlattice. The superlattice of the lower portion 100 may help block the effects of an underlying misfit array, thereby enabling the suppression of the reappearance of cross-hatch during subsequent regrowth or post-planarization anneal steps.
  • Referring to FIG. 11, in an embodiment, second layer 50 disposed over semiconductor layer 16 may be a regrowth layer having a lower portion 150 that includes a superlattice and an upper portion 152 that is substantially free of a superlattice. Performing the initial portion of the regrowth under conditions that promote the presence of the superlattice may block strain fields from an underlying misfit array. This enables suppression of the reappearance of the cross-hatch during the regrowth process or post-planarization anneal steps. A final portion of the regrowth can be performed using superlattice-free conditions as outlined above such that the final strained Si device layer is not in proximal contact with a region having a superlattice.
  • In some embodiments, a “buried” region may have a superlattice, e.g., lower portion 150 of regrowth second layer 50 or lower portion 100 of semiconductor layer 16, that may be annealed away after completion of epitaxial steps.
  • ILLUSTRATIVE EMBODIMENTS Experimental Set 1
  • The following two SiGe relaxed buffer layer samples were analyzed with and without annealing:
    • 1. Sample A: Non-annealed test wafer subjected to x-ray diffraction (XRD) measurement. The Ge composition was determined to be 29.5±0.3%, with relaxation of 95.5±1%.
    • 2. Sample B: wafer whose Ge content was made more uniform by annealing. After deposition, the wafer was annealed in the same deposition chamber at 1050° C. for 5 minutes.
  • AFM analysis was conducted for samples A and B at different scan sizes (1 μm×1 μm, 10 μm×10 μm, and 50 μm×50 μm). Referring to Table 1, roughness values [RMS and Ra (average roughness)] were obtained. Surface roughness increased by an average of about 20% after annealing, based on large scan sizes, i.e., 10 μm×10 μm and 50 μm×50 μm. Scans of a given size can capture roughness with wavelengths less than the scan size, but not larger. However, characteristic RMS values represent only the wavelength with the largest amplitude, i.e., the long wavelength. The layers in samples A and B do not exhibit columnar decomposition. Cross-hatch roughness, i.e., waviness, increases because of the thermal annealing of the sample. The cross-hatch does not correspond to the columnar decomposition; rather, it ultimately arises from the influence of the strain fields of the buried misfit dislocations in the graded layer. Annealing may cause the cross-hatch to reappear even after the layer has been polished because the surface atom mobility may be high at high temperatures. Because the buried misfit dislocations are still present below the surface, the atoms on the surface may start to rearrange under the influence of the misfit dislocation strain fields, bringing back a milder version of the original cross-hatch. On the other hand, based on the small scan size that captures the short wavelength roughness (<1 μm), the short wavelength roughness decreased by a factor of approximately seven. This significant reduction in the short wavelength roughness reduces the haze level observed on wafers annealed like sample B.
  • In some cases, annealing may reduce the short wavelength roughness and the associated haze level of a layer, but may increase the large wavelength roughness (e.g., the cross-hatch roughness). Therefore, it may be advantageous to perform the annealing step prior to planarization. In this manner, the anneal reduces the propensity of the short wavelength roughness to reappear in subsequent processing steps, and the planarization step reduces any long wavelength roughness that reappeared during annealing. Because the re-emergence of the long wavelength roughness results from high surface atom mobility and from atoms responding to underlying strain fields below the surface, low long scale roughness may be maintained during the annealing step in other ways. In order to reduce the surface mobility of atoms in a layer, the layer may be capped by a protective layer. This protective layer may include material that will not react with the surface being protected and that is easily removed selectively to the underlying surface. Suitable material for the protective layer may be, for example, silicon dioxide (SiO2) or silicon nitride (Si3N4). The presence of the protective layer decreases the mobility of atoms in the layer to be annealed, since the atoms no longer lie atop a free surface. Thus, if additional planarization is not desirable after the anneal, protective layers may be utilized to prevent the re-emergence of long wavelength surface roughness.
  • TABLE 1
    Roughness of samples A and B at different scan sizes
    1 × 1 μm scan 10 × 10 μm scan 50 × 50 μm scan
    Sample RMS Ra RMS Ra RMS Ra
    ID (nm) (nm) (nm) (nm) (nm) (nm)
    A (not 0.700 0.588 0.956 0.774 2.622 1.974
    annealed)
    B 0.103 0.083 1.151 0.945 3.471 2.213
    (annealed)
  • Experimental Set 2
  • In second experiment, a SiGe graded buffer layer grown at >850° C. was annealed at 1050° C. for 5 minutes at atmospheric pressure in hydrogen. Before and after the annealing, the surface roughness was measured by AFM with different scan sizes (1×1 μm, 10×10 μm, and 50×50 μm) at the center, mid-radius, and edge of the wafer. In addition, haze measurements using a laser defect scanner (SURFSCAN 6220, available from KLA-Tencor) were compared between equivalent buffer layers, one unannealed and the other annealed. Referring to Table 2, the short spatial wavelength surface roughness derived from the 1 μm×1 μm scan decreased after the anneal by an average of about 50%. AFM images (50 μm×50 μm, 10 μm×10 μm, and 1 μm×1 μm) at the edge of the wafer were compared before and after anneal. The number of periods in the cross-hatch roughness decreased after the anneal.
  • TABLE 2
    Roughness of samples A and B at different scan sizes
    Scan dimension & Pre-anneal Post-anneal
    position Ra RMS Ra RMS
    50 μm - edge 5.766 4.612 5.322 7.047
    10 μm - edge 4.119 3.318 2.643 3.320
     1 μm - edge 0.730 1.463 0.210 0.266
    0.434 0.543 0.383 0.922
    0.508 0.640 0.274 0.300
    50 μm - mid-radius 5.588 4.560 3.942 4.950
    10 μm - mid-radius 3.446 2.839 2.964 4.041
     1 μm - mid-radius 0.574 0.454 0.274 0.340
    50 μm - center 6.189 4.957 3.641 4.689
    10 μm - center 2.964 3.490
     1 μm - center 0.669 0.584 0.257 0.311
  • Laser Particle Scanner—Haze
  • Surface roughness has a significant impact on the characterization of the buffer layers by laser particle scanning, e.g., with a Tencor SURFSCAN 6220. Higher roughness is observed as elevated haze levels, making detection of small particles difficult. For this reason, one of the key measurements indicating the effect of a process is the measurement of haze levels on the wafers.
  • Haze level measurements were made before and after the anneal of wafers having equivalent buffer layers. The haze levels of non-annealed and annealed wafers were compared, with wafers placed in the inspection tool in the “notch down” (0 degree rotation) orientation. Haze is measured as a fraction of light energy scattered by the surface relative to the energy in the incident laser beam. The haze level was reduced by 50% or more by the anneal, confirming the reduction of small scale roughness shown in the AFM data.
  • Another aspect of the effect of the anneal process on the wafer surface roughness and resulting haze measurement is the greater extent to which the haze of an annealed substrate is reduced by changing the orientation angle. Because fine scale roughness has a more random orientation than cross-hatch, the scattering characteristics of fine scale roughness do not depend on the orientation of the wafer in relation to the incident beam. The cross-hatch, in contrast, scatters the incident beam in a different direction depending on the orientation angle of the wafer.
  • Annealing the substrate increases the impact of the orientation angle on haze. Before an anneal, changing the orientation angle of the wafer (0 to 45 degrees) in the inspection system reduces the measured haze by only about 10%, e.g., the average haze measurement is reduced from 716 to 657 ppm. After the anneal, the random, fine scale roughness is reduced, and the haze is reduced by 50% when the orientation angle is changed from 0 to 45 degrees.
  • Reduction of Vertical Superlattice Structure
  • A vertical superlattice, i.e., a vertical variation in the composition of the SiGe, has been observed in SiGe buffer layers.
  • X-ray diffraction (XRD) scans of buffer layer 14 provided evidence of the presence or absence of superlattices in buffer layers before and after anneal. XRD rocking curves were generated of a SiGe buffer layer 14 without an anneal and with an anneal for 1050° C. for 5 minutes. Satellite peaks around the normal graded buffer signature (peaks at −3500 to −3000 arc-sec, and at +700 to +1000 arc-sec indicated the presence of the superlattice structure in buffer layer 14 that has not been annealed. The peaks were observed at the wafer edge, possibly due to the wafer edge alternating as a leading and trailing edge due to wafer rotation in a horizontal flow reactor. The satellite peaks were not present, neither at the center nor at the edge of the wafer, in a SiGe graded buffer layer 14 that has been annealed.
  • The invention may be embodied in other specific forms without departing from the spirit or essential characteristics thereof. The foregoing embodiments are therefore to be considered in all respects illustrative rather than limiting on the invention described herein. Scope of the invention is thus indicated by the appended claims rather than by the foregoing description, and all changes which come within the meaning and range of equivalency of the claims are intended to be embraced therein.

Claims (24)

1-52. (canceled)
53. A semiconductor structure comprising:
a substrate; and
a semiconductor layer disposed over the substrate, the semiconductor layer including at least two elements and having a top surface,
wherein the semiconductor layer top surface is substantially haze-free.
54. The structure of claim 53 wherein a portion of the semiconductor layer disposed below the top surface comprises a superlattice.
55. The structure of claim 53, further comprising:
a relaxed graded layer disposed between the substrate and the semiconductor layer.
56. The structure of claim 53 wherein the semiconductor layer top surface has a roughness root-mean-square of less than 5 angstroms in a scan area of 40 μm×40 μm, and a contamination level of less than 0.29 particles/cm2, the particles having a diameter greater than 0.12 micrometers.
57. The structure of claim 56 wherein the roughness is less than 1 angstrom root-mean-square in a scan area of 1 μm×1 μm.
58. The structure of claim 53 wherein the semiconductor layer top surface has a roughness of less than 5 angstroms root-mean-square in a scan area of 40 μm×40 μm and a contamination level of less than 0.16 particles/cm2, the particles having a diameter greater than 0.16 micrometers.
59. The structure of claim 58 wherein the roughness is less than 1 angstrom root-mean-square in a scan area of 1 μm×1 μm.
60. The structure of claim 53 wherein the semiconductor top surface has a roughness of less than 5 angstroms root-mean-square in a scan area of 40 μm×40 μm and a contamination level of less than 0.08 particles/cm2, the particles having a diameter greater than 0.2 micrometers.
61. The structure of claim 60 wherein the roughness is less than 1 angstrom root-mean-square in a scan area of 1 μm×1 μm.
62. The structure of claim 53 wherein the semiconductor layer top surface has a roughness of less than 5 angstroms root-mean-square in a scan area of 40 μm×40 μm and a contamination level of less than 0.019 particles/cm2, the particles having a diameter greater than 1 micrometer.
63. The structure of claim 62 wherein the roughness is less than 1 angstrom root-mean-square in a scan area of 1 μm×1 μm.
64. The structure of claim 53 wherein the semiconductor layer top surface has a roughness of less than 0.5 angstroms root-mean-square in a scan area of 1 μm×1 μm and a contamination level of less than 0.09 particles/cm2, the particles having a diameter greater than 0.09 micrometers.
65. A semiconductor structure comprising:
a substrate;
a semiconductor layer disposed over the substrate, the semiconductor layer including at least two elements; and
a regrowth layer disposed over the semiconductor layer, the regrowth layer having a top surface,
wherein the regrowth layer top surface is substantially haze-free.
66. The structure of claim 65 wherein the regrowth layer comprises a semiconductor material.
67. The structure of claim 66 wherein the regrowth layer comprises silicon.
68. The structure of claim 65 wherein the regrowth layer is strained.
69. The structure of claim 65 wherein a portion of the regrowth layer disposed below the regrowth layer top surface comprises a superlattice.
70. A semiconductor structure comprising:
a wafer, and
a semiconductor layer bonded to the wafer, the semiconductor layer having a top surface,
wherein the semiconductor layer top surface is substantially haze-free.
71. The structure of claim 70 wherein the semiconductor layer comprises silicon.
72. The structure of claim 70 wherein the semiconductor layer is strained.
73. The structure of claim 70 wherein the semiconductor layer comprises germanium.
74. The structure of claim 70 wherein the wafer comprises an insulating layer.
75. The structure of claim 74 wherein the insulating layer comprises silicon dioxide.
US11/963,120 2003-01-27 2007-12-21 Semiconductor structures with structural homogeneity Abandoned US20080135830A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/963,120 US20080135830A1 (en) 2003-01-27 2007-12-21 Semiconductor structures with structural homogeneity

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US44278403P 2003-01-27 2003-01-27
US10/765,372 US7332417B2 (en) 2003-01-27 2004-01-27 Semiconductor structures with structural homogeneity
US11/963,120 US20080135830A1 (en) 2003-01-27 2007-12-21 Semiconductor structures with structural homogeneity

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/765,372 Division US7332417B2 (en) 2003-01-27 2004-01-27 Semiconductor structures with structural homogeneity

Publications (1)

Publication Number Publication Date
US20080135830A1 true US20080135830A1 (en) 2008-06-12

Family

ID=32825257

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/765,372 Expired - Lifetime US7332417B2 (en) 2003-01-27 2004-01-27 Semiconductor structures with structural homogeneity
US11/963,120 Abandoned US20080135830A1 (en) 2003-01-27 2007-12-21 Semiconductor structures with structural homogeneity

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/765,372 Expired - Lifetime US7332417B2 (en) 2003-01-27 2004-01-27 Semiconductor structures with structural homogeneity

Country Status (4)

Country Link
US (2) US7332417B2 (en)
EP (2) EP2337062A3 (en)
JP (1) JP4659732B2 (en)
WO (1) WO2004068556A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060281234A1 (en) * 2005-06-13 2006-12-14 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US20060292835A1 (en) * 2003-11-04 2006-12-28 Kabushiki Kaishi Toshiba Element fabrication substrate
US20080203382A1 (en) * 2007-02-28 2008-08-28 Sanken Electric Co., Ltd. Semiconductor wafer, devices made therefrom, and method of fabrication

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2005090650A1 (en) * 2004-03-19 2008-02-07 日鉱金属株式会社 Compound semiconductor substrate
DE102004053307B4 (en) * 2004-11-04 2010-01-07 Siltronic Ag A multilayer structure comprising a substrate and a heteroepitaxially deposited layer of silicon and germanium thereon, and a method of making the same
US7273800B2 (en) * 2004-11-01 2007-09-25 International Business Machines Corporation Hetero-integrated strained silicon n- and p-MOSFETs
GB2420222A (en) * 2004-11-13 2006-05-17 Iqe Silicon Compounds Ltd Enhanced carrier mobility in strained semiconductor layers through smoothing surface treatment
US20090091245A1 (en) 2005-05-04 2009-04-09 Otb Group B.V. Method for manufacturing an oled, an intermediate product for manufacturing an oled, as well as an oled
US20070117350A1 (en) * 2005-08-03 2007-05-24 Memc Electronic Materials, Inc. Strained silicon on insulator (ssoi) with layer transfer from oxidized donor
WO2007024433A2 (en) * 2005-08-26 2007-03-01 Memc Electronic Materials, Inc. Method for the manufacture of a strained silicon-on-insulator structure
EP1833094B1 (en) * 2006-03-06 2011-02-02 STMicroelectronics (Crolles 2) SAS Formation of shallow SiGe conduction channel
US7514726B2 (en) * 2006-03-21 2009-04-07 The United States Of America As Represented By The Aministrator Of The National Aeronautics And Space Administration Graded index silicon geranium on lattice matched silicon geranium semiconductor alloy
JP4894390B2 (en) 2006-07-25 2012-03-14 信越半導体株式会社 Manufacturing method of semiconductor substrate
JP5018066B2 (en) * 2006-12-19 2012-09-05 信越半導体株式会社 Method for manufacturing strained Si substrate
US20090004764A1 (en) * 2007-06-29 2009-01-01 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing SOI substrate and method for manufacturing semiconductor device
US20090045437A1 (en) * 2007-08-15 2009-02-19 Northrop Grumman Space & Mission Systems Corp. Method and apparatus for forming a semi-insulating transition interface
US8257491B2 (en) * 2007-10-18 2012-09-04 The United States Of America, As Represented By The Administrator Of The National Aeronautics And Space Administration Rhombohedral cubic semiconductor materials on trigonal substrate with single crystal properties and devices based on such materials
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
US7834456B2 (en) * 2009-01-20 2010-11-16 Raytheon Company Electrical contacts for CMOS devices and III-V devices formed on a silicon substrate
US8853745B2 (en) * 2009-01-20 2014-10-07 Raytheon Company Silicon based opto-electric circuits
US7994550B2 (en) * 2009-05-22 2011-08-09 Raytheon Company Semiconductor structures having both elemental and compound semiconductor devices on a common substrate
JP5434317B2 (en) * 2009-07-08 2014-03-05 株式会社Sumco Epitaxial wafer manufacturing method
US8753962B2 (en) 2009-07-08 2014-06-17 Sumco Corporation Method for producing epitaxial wafer
DE112011106054B4 (en) 2011-12-28 2023-05-25 Intel Corporation Methods of forming hetero-layers with reduced surface roughness and defect density on non-native surfaces and the resulting structures
US9614026B2 (en) 2013-03-13 2017-04-04 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration High mobility transport layer structures for rhombohedral Si/Ge/SiGe devices
JP6221928B2 (en) * 2014-05-13 2017-11-01 株式会社Sumco Manufacturing method of semiconductor epitaxial wafer and manufacturing method of solid-state imaging device
WO2022260941A1 (en) * 2021-06-07 2022-12-15 The Regents Of The University Of California Iii-v, ii-vi in-situ compliant substrate formation
US20240038531A1 (en) * 2022-07-27 2024-02-01 Applied Materials, Inc. Substrate modification for superlattice critical thickness improvement

Citations (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4010045A (en) * 1973-12-13 1977-03-01 Ruehrwein Robert A Process for production of III-V compound crystals
US4900372A (en) * 1987-11-13 1990-02-13 Kopin Corporation III-V on Si heterostructure using a thermal strain layer
US4914488A (en) * 1987-06-11 1990-04-03 Hitachi, Ltd. Compound semiconductor structure and process for making same
US4987462A (en) * 1987-01-06 1991-01-22 Texas Instruments Incorporated Power MISFET
US4990979A (en) * 1988-05-13 1991-02-05 Eurosil Electronic Gmbh Non-volatile memory cell
US4997776A (en) * 1989-03-06 1991-03-05 International Business Machines Corp. Complementary bipolar transistor structure and method for manufacture
US5013681A (en) * 1989-09-29 1991-05-07 The United States Of America As Represented By The Secretary Of The Navy Method of producing a thin silicon-on-insulator layer
US5091767A (en) * 1991-03-18 1992-02-25 At&T Bell Laboratories Article comprising a lattice-mismatched semiconductor heterostructure
US5097630A (en) * 1987-09-14 1992-03-24 Speedfam Co., Ltd. Specular machining apparatus for peripheral edge portion of wafer
US5177583A (en) * 1990-02-20 1993-01-05 Kabushiki Kaisha Toshiba Heterojunction bipolar transistor
US5202284A (en) * 1989-12-01 1993-04-13 Hewlett-Packard Company Selective and non-selective deposition of Si1-x Gex on a Si subsrate that is partially masked with SiO2
US5207864A (en) * 1991-12-30 1993-05-04 Bell Communications Research Low-temperature fusion of dissimilar semiconductors
US5208182A (en) * 1991-11-12 1993-05-04 Kopin Corporation Dislocation density reduction in gallium arsenide on silicon heterostructures
US5210052A (en) * 1989-05-18 1993-05-11 Fujitsu Limited Method for fabricating a semiconductor substrate
US5212110A (en) * 1992-05-26 1993-05-18 Motorola, Inc. Method for forming isolation regions in a semiconductor device
US5221413A (en) * 1991-04-24 1993-06-22 At&T Bell Laboratories Method for making low defect density semiconductor heterostructure and devices made thereby
US5279687A (en) * 1989-03-10 1994-01-18 British Telecommunications Plc Preparing substrates by annealing epitaxial layers in the form of nesas and substrates so prepared
US5285086A (en) * 1990-08-02 1994-02-08 At&T Bell Laboratories Semiconductor devices with low dislocation defects
US5291439A (en) * 1991-09-12 1994-03-01 International Business Machines Corporation Semiconductor memory cell and memory array with inversion layer
US5298452A (en) * 1986-09-12 1994-03-29 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
US5308444A (en) * 1993-05-28 1994-05-03 At&T Bell Laboratories Method of making semiconductor heterostructures of gallium arsenide on germanium
US5310451A (en) * 1993-08-19 1994-05-10 International Business Machines Corporation Method of forming an ultra-uniform silicon-on-insulator layer
US5316958A (en) * 1990-05-31 1994-05-31 International Business Machines Corporation Method of dopant enhancement in an epitaxial silicon layer by using germanium
US5399522A (en) * 1993-02-16 1995-03-21 Fujitsu Limited Method of growing compound semiconductor
US5424243A (en) * 1993-09-20 1995-06-13 Fujitsu Limited Method of making a compound semiconductor crystal-on-substrate structure
US5426316A (en) * 1992-12-21 1995-06-20 International Business Machines Corporation Triple heterojunction bipolar transistor
US5426069A (en) * 1992-04-09 1995-06-20 Dalsa Inc. Method for making silicon-germanium devices using germanium implantation
US5425846A (en) * 1991-08-22 1995-06-20 At&T Corp. Removal of substrate perimeter material
US5484664A (en) * 1988-04-27 1996-01-16 Fujitsu Limited Hetero-epitaxially grown compound semiconductor substrate
US5523592A (en) * 1993-02-03 1996-06-04 Hitachi, Ltd. Semiconductor optical device, manufacturing method for the same, and opto-electronic integrated circuit using the same
US5596527A (en) * 1992-12-07 1997-01-21 Nippon Steel Corporation Electrically alterable n-bit per cell non-volatile memory with reference cells
US5617351A (en) * 1992-03-12 1997-04-01 International Business Machines Corporation Three-dimensional direct-write EEPROM arrays and fabrication methods
US5630905A (en) * 1995-02-06 1997-05-20 The Regents Of The University Of California Method of fabricating quantum bridges by selective etching of superlattice structures
US5633516A (en) * 1994-07-25 1997-05-27 Hitachi, Ltd. Lattice-mismatched crystal structures and semiconductor device using the same
US5714777A (en) * 1997-02-19 1998-02-03 International Business Machines Corporation Si/SiGe vertical junction field effect transistor
US5728623A (en) * 1994-03-16 1998-03-17 Nec Corporation Method of bonding a III-V group compound semiconductor layer on a silicon substrate
US5739567A (en) * 1992-11-02 1998-04-14 Wong; Chun Chiu D. Highly compact memory device with nonvolatile vertical transistor memory cell
US5759898A (en) * 1993-10-29 1998-06-02 International Business Machines Corporation Production of substrate for tensilely strained semiconductor
US5859864A (en) * 1996-10-28 1999-01-12 Picolight Incorporated Extended wavelength lasers having a restricted growth surface and graded lattice mismatch
US5877070A (en) * 1997-05-31 1999-03-02 Max-Planck Society Method for the transfer of thin layers of monocrystalline material to a desirable substrate
US5891769A (en) * 1997-04-07 1999-04-06 Motorola, Inc. Method for forming a semiconductor device having a heteroepitaxial layer
US5906951A (en) * 1997-04-30 1999-05-25 International Business Machines Corporation Strained Si/SiGe layers on insulator
US5906708A (en) * 1994-11-10 1999-05-25 Lawrence Semiconductor Research Laboratory, Inc. Silicon-germanium-carbon compositions in selective etch processes
US5912479A (en) * 1996-07-26 1999-06-15 Sony Corporation Heterojunction bipolar semiconductor device
US6010937A (en) * 1995-09-05 2000-01-04 Spire Corporation Reduction of dislocations in a heteroepitaxial semiconductor structure
US6013134A (en) * 1998-02-18 2000-01-11 International Business Machines Corporation Advance integrated chemical vapor deposition (AICVD) for semiconductor devices
US6033974A (en) * 1997-05-12 2000-03-07 Silicon Genesis Corporation Method for controlled cleaving process
US6033995A (en) * 1997-09-16 2000-03-07 Trw Inc. Inverted layer epitaxial liftoff process
US6039803A (en) * 1996-06-28 2000-03-21 Massachusetts Institute Of Technology Utilization of miscut substrates to improve relaxed graded silicon-germanium and germanium layers on silicon
US6058044A (en) * 1997-12-10 2000-05-02 Kabushiki Kaisha Toshiba Shielded bit line sensing scheme for nonvolatile semiconductor memory
US6074919A (en) * 1999-01-20 2000-06-13 Advanced Micro Devices, Inc. Method of forming an ultrathin gate dielectric
US6184111B1 (en) * 1998-06-23 2001-02-06 Silicon Genesis Corporation Pre-semiconductor process implant and post-process film separation
US6191432B1 (en) * 1996-09-02 2001-02-20 Kabushiki Kaisha Toshiba Semiconductor device and memory device
US6191007B1 (en) * 1997-04-28 2001-02-20 Denso Corporation Method for manufacturing a semiconductor substrate
US6194722B1 (en) * 1997-03-28 2001-02-27 Interuniversitair Micro-Elektronica Centrum, Imec, Vzw Method of fabrication of an infrared radiation detector and infrared detector device
US6204529B1 (en) * 1999-08-27 2001-03-20 Hsing Lan Lung 8 bit per cell non-volatile semiconductor memory structure utilizing trench technology and dielectric floating gate
US6207977B1 (en) * 1995-06-16 2001-03-27 Interuniversitaire Microelektronica Vertical MISFET devices
US6208005B1 (en) * 1997-06-26 2001-03-27 Lockheed Martin Corporation Mercury-based quaternary alloys of infrared sensitive materials
US6218677B1 (en) * 1994-08-15 2001-04-17 Texas Instruments Incorporated III-V nitride resonant tunneling
US6232138B1 (en) * 1997-12-01 2001-05-15 Massachusetts Institute Of Technology Relaxed InxGa(1-x)as buffers
US6235567B1 (en) * 1999-08-31 2001-05-22 International Business Machines Corporation Silicon-germanium bicmos on soi
US20010003364A1 (en) * 1998-05-27 2001-06-14 Sony Corporation Semiconductor and fabrication method thereof
US6335546B1 (en) * 1998-07-31 2002-01-01 Sharp Kabushiki Kaisha Nitride semiconductor structure, method for producing a nitride semiconductor structure, and light emitting device
US6339232B1 (en) * 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
US6350993B1 (en) * 1999-03-12 2002-02-26 International Business Machines Corporation High speed composite p-channel Si/SiGe heterostructure for field effect devices
US6352909B1 (en) * 2000-01-06 2002-03-05 Silicon Wafer Technologies, Inc. Process for lift-off of a layer from a substrate
US6368733B1 (en) * 1998-08-06 2002-04-09 Showa Denko K.K. ELO semiconductor substrate
US6372356B1 (en) * 1998-06-04 2002-04-16 Xerox Corporation Compliant substrates for growing lattice mismatched films
US20020043660A1 (en) * 2000-06-27 2002-04-18 Shunpei Yamazaki Semiconductor device and fabrication method therefor
US20030003679A1 (en) * 2001-06-29 2003-01-02 Doyle Brian S. Creation of high mobility channels in thin-body SOI devices
US20030013323A1 (en) * 2001-06-14 2003-01-16 Richard Hammond Method of selective removal of SiGe alloys
US6515335B1 (en) * 2002-01-04 2003-02-04 International Business Machines Corporation Method for fabrication of relaxed SiGe buffer layers on silicon-on-insulators and structures containing the same
US6518644B2 (en) * 2000-01-20 2003-02-11 Amberwave Systems Corporation Low threading dislocation density relaxed mismatched epilayers without high temperature growth
US6521041B2 (en) * 1998-04-10 2003-02-18 Massachusetts Institute Of Technology Etch stop layer system
US20030034529A1 (en) * 2000-12-04 2003-02-20 Amberwave Systems Corporation CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6524935B1 (en) * 2000-09-29 2003-02-25 International Business Machines Corporation Preparation of strained Si/SiGe on insulator by hydrogen induced layer transfer technique
US6525338B2 (en) * 2000-08-01 2003-02-25 Mitsubishi Materials Corporation Semiconductor substrate, field effect transistor, method of forming SiGe layer and method of forming strained Si layer using same, and method of manufacturing field effect transistor
US20030041798A1 (en) * 2001-09-06 2003-03-06 Wacker Siltronic Gesellschaft Fur Halbleitermaterialen Ag Coated silicon wafer and process for its production
US20030057439A1 (en) * 2001-08-09 2003-03-27 Fitzgerald Eugene A. Dual layer CMOS devices
US6555839B2 (en) * 2000-05-26 2003-04-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US20040005740A1 (en) * 2002-06-07 2004-01-08 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US6677192B1 (en) * 2001-03-02 2004-01-13 Amberwave Systems Corporation Method of fabricating a relaxed silicon germanium platform having planarizing for high speed CMOS electronics and high speed analog circuits
US20040014304A1 (en) * 2002-07-18 2004-01-22 Micron Technology, Inc. Stable PD-SOI devices and methods
US20040031979A1 (en) * 2002-06-07 2004-02-19 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US20040041210A1 (en) * 2002-04-05 2004-03-04 Chandra Mouli Semiconductor-on-insulator constructions
US6703688B1 (en) * 2001-03-02 2004-03-09 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6703144B2 (en) * 2000-01-20 2004-03-09 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
US6709903B2 (en) * 2001-06-12 2004-03-23 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
US6713326B2 (en) * 2000-08-16 2004-03-30 Masachusetts Institute Of Technology Process for producing semiconductor article using graded epitaxial growth
US6723661B2 (en) * 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6724008B2 (en) * 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6730551B2 (en) * 2001-08-06 2004-05-04 Massachusetts Institute Of Technology Formation of planar strained layers
US6995077B2 (en) * 1999-12-16 2006-02-07 Siltronic Ag Epitaxially coated semiconductor wafer and process for producing it

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3542482A1 (en) 1985-11-30 1987-06-04 Licentia Gmbh MODULATION-Doped FIELD EFFECT TRANSISTOR
US4960728A (en) * 1987-10-05 1990-10-02 Texas Instruments Incorporated Homogenization anneal of II-VI compounds
US5250445A (en) 1988-12-20 1993-10-05 Texas Instruments Incorporated Discretionary gettering of semiconductor circuits
US5241197A (en) 1989-01-25 1993-08-31 Hitachi, Ltd. Transistor provided with strained germanium layer
US5164359A (en) 1990-04-20 1992-11-17 Eaton Corporation Monolithic integrated circuit having compound semiconductor layer epitaxially grown on ceramic substrate
US5155571A (en) 1990-08-06 1992-10-13 The Regents Of The University Of California Complementary field effect transistors having strained superlattice structure
JPH04198095A (en) 1990-11-28 1992-07-17 Fujitsu Ltd Method for growing thin film of compound semiconductor
US5218417A (en) * 1990-12-17 1993-06-08 Siemens Corporation System and methods for measuring the haze of a thin film
US5240876A (en) 1991-02-22 1993-08-31 Harris Corporation Method of fabricating SOI wafer with SiGe as an etchback film in a BESOI process
DE69220600T2 (en) * 1991-04-12 1998-01-02 Texas Instruments Inc Method for producing a rotation-induced superlattice structure
US5442205A (en) 1991-04-24 1995-08-15 At&T Corp. Semiconductor heterostructure devices with strained semiconductor layers
CA2062134C (en) 1991-05-31 1997-03-25 Ibm Low Defect Densiry/Arbitrary Lattice Constant Heteroepitaxial Layers
JPH07187892A (en) 1991-06-28 1995-07-25 Internatl Business Mach Corp <Ibm> Silicon and its formation
US5166084A (en) 1991-09-03 1992-11-24 Motorola, Inc. Process for fabricating a silicon on insulator field effect transistor
FR2681472B1 (en) 1991-09-18 1993-10-29 Commissariat Energie Atomique PROCESS FOR PRODUCING THIN FILMS OF SEMICONDUCTOR MATERIAL.
JP3191972B2 (en) 1992-01-31 2001-07-23 キヤノン株式会社 Method for manufacturing semiconductor substrate and semiconductor substrate
US5461250A (en) 1992-08-10 1995-10-24 International Business Machines Corporation SiGe thin film or SOI MOSFET and method for making the same
JP3286921B2 (en) * 1992-10-09 2002-05-27 富士通株式会社 Silicon substrate compound semiconductor device
JPH06140624A (en) 1992-10-22 1994-05-20 Furukawa Electric Co Ltd:The Schottky junction element
US5346848A (en) 1993-06-01 1994-09-13 Motorola, Inc. Method of bonding silicon and III-V semiconductor materials
US5413679A (en) 1993-06-30 1995-05-09 The United States Of America As Represented By The Secretary Of The Navy Method of producing a silicon membrane using a silicon alloy etch stop layer
US5792679A (en) 1993-08-30 1998-08-11 Sharp Microelectronics Technology, Inc. Method for forming silicon-germanium/Si/silicon dioxide heterostructure using germanium implant
JP2980497B2 (en) 1993-11-15 1999-11-22 株式会社東芝 Method of manufacturing dielectric-isolated bipolar transistor
KR0123434B1 (en) 1994-02-07 1997-11-26 천성순 Ring pattern formation method to reduce misfit dislocation in silicon wafer
US5534713A (en) 1994-05-20 1996-07-09 International Business Machines Corporation Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers
US5479033A (en) 1994-05-27 1995-12-26 Sandia Corporation Complementary junction heterostructure field-effect transistor
JP3361922B2 (en) 1994-09-13 2003-01-07 株式会社東芝 Semiconductor device
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5777347A (en) 1995-03-07 1998-07-07 Hewlett-Packard Company Vertical CMOS digital multi-valued restoring logic device
JP3403877B2 (en) 1995-10-25 2003-05-06 三菱電機株式会社 Semiconductor memory device and manufacturing method thereof
US5943560A (en) 1996-04-19 1999-08-24 National Science Council Method to fabricate the thin film transistor
JP3217015B2 (en) 1996-07-18 2001-10-09 インターナショナル・ビジネス・マシーンズ・コーポレーション Method for forming field effect transistor
US5847419A (en) 1996-09-17 1998-12-08 Kabushiki Kaisha Toshiba Si-SiGe semiconductor device and method of fabricating the same
DE59707274D1 (en) 1996-09-27 2002-06-20 Infineon Technologies Ag Integrated CMOS circuit arrangement and method for its production
JP3562611B2 (en) 1996-11-05 2004-09-08 ソニー株式会社 Semiconductor device and manufacturing method thereof
US5808344A (en) 1996-12-13 1998-09-15 International Business Machines Corporation Single-transistor logic and CMOS inverters
US5786614A (en) 1997-04-08 1998-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Separated floating gate for EEPROM application
DE19720008A1 (en) 1997-05-13 1998-11-19 Siemens Ag Integrated CMOS circuit arrangement and method for its production
KR100400808B1 (en) 1997-06-24 2003-10-08 매사츄세츠 인스티튜트 오브 테크놀러지 CONTROLLING THREADING DISLOCATION DENSITIES IN Ge ON Si USING GRADED GeSi LAYERS AND PLANARIZATION
US5966622A (en) 1997-10-08 1999-10-12 Lucent Technologies Inc. Process for bonding crystalline substrates with different crystal lattices
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
FR2773177B1 (en) 1997-12-29 2000-03-17 France Telecom PROCESS FOR OBTAINING A SINGLE-CRYSTAL GERMANIUM OR SILICON LAYER ON A SILICON OR SINGLE-CRYSTAL GERMANIUM SUBSTRATE, RESPECTIVELY, AND MULTILAYER PRODUCTS OBTAINED
EP0984483B1 (en) * 1998-09-04 2006-04-05 Canon Kabushiki Kaisha Semiconductor substrate and method for producing the same
JP2000349264A (en) * 1998-12-04 2000-12-15 Canon Inc Method for manufacturing, use and utilizing method of semiconductor wafer
US6130453A (en) 1999-01-04 2000-10-10 International Business Machines Corporation Flash memory structure with floating gate in vertical trench
US6103559A (en) 1999-03-30 2000-08-15 Amd, Inc. (Advanced Micro Devices) Method of making disposable channel masking for both source/drain and LDD implant and subsequent gate fabrication
US6235560B1 (en) * 1999-08-16 2001-05-22 Agere Systems Guardian Corp. Silicon-germanium transistor and associated methods
DE60128647T2 (en) * 2000-03-27 2007-09-20 Matsushita Electric Industrial Co., Ltd., Kadoma SIGEC SEMICONDUCTOR CRYSTAL AND ITS MANUFACTURE
US6890835B1 (en) * 2000-10-19 2005-05-10 International Business Machines Corporation Layer transfer of low defect SiGe using an etch-back process
JP3708881B2 (en) * 2001-01-31 2005-10-19 松下電器産業株式会社 Semiconductor crystal film, manufacturing method thereof, semiconductor device and manufacturing method thereof
JP2002305293A (en) * 2001-04-06 2002-10-18 Canon Inc Method of manufacturing semiconductor member, and method of manufacturing semiconductor device
JP3613197B2 (en) * 2001-04-17 2005-01-26 日亜化学工業株式会社 Nitride semiconductor substrate growth method
US20020168802A1 (en) * 2001-05-14 2002-11-14 Hsu Sheng Teng SiGe/SOI CMOS and method of making the same
TWI322462B (en) * 2001-09-29 2010-03-21 Cree Inc Apparatus for inverted multi-wafer mocvd fabrication
US6841457B2 (en) * 2002-07-16 2005-01-11 International Business Machines Corporation Use of hydrogen implantation to improve material properties of silicon-germanium-on-insulator material made by thermal diffusion
WO2004021420A2 (en) * 2002-08-29 2004-03-11 Massachusetts Institute Of Technology Fabrication method for a monocrystalline semiconductor layer on a substrate
US8187377B2 (en) * 2002-10-04 2012-05-29 Silicon Genesis Corporation Non-contact etch annealing of strained layers
US6767802B1 (en) * 2003-09-19 2004-07-27 Sharp Laboratories Of America, Inc. Methods of making relaxed silicon-germanium on insulator via layer transfer

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4010045A (en) * 1973-12-13 1977-03-01 Ruehrwein Robert A Process for production of III-V compound crystals
US5298452A (en) * 1986-09-12 1994-03-29 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
US4987462A (en) * 1987-01-06 1991-01-22 Texas Instruments Incorporated Power MISFET
US4914488A (en) * 1987-06-11 1990-04-03 Hitachi, Ltd. Compound semiconductor structure and process for making same
US5097630A (en) * 1987-09-14 1992-03-24 Speedfam Co., Ltd. Specular machining apparatus for peripheral edge portion of wafer
US4900372A (en) * 1987-11-13 1990-02-13 Kopin Corporation III-V on Si heterostructure using a thermal strain layer
US5484664A (en) * 1988-04-27 1996-01-16 Fujitsu Limited Hetero-epitaxially grown compound semiconductor substrate
US4990979A (en) * 1988-05-13 1991-02-05 Eurosil Electronic Gmbh Non-volatile memory cell
US4997776A (en) * 1989-03-06 1991-03-05 International Business Machines Corp. Complementary bipolar transistor structure and method for manufacture
US5279687A (en) * 1989-03-10 1994-01-18 British Telecommunications Plc Preparing substrates by annealing epitaxial layers in the form of nesas and substrates so prepared
US5210052A (en) * 1989-05-18 1993-05-11 Fujitsu Limited Method for fabricating a semiconductor substrate
US5013681A (en) * 1989-09-29 1991-05-07 The United States Of America As Represented By The Secretary Of The Navy Method of producing a thin silicon-on-insulator layer
US5202284A (en) * 1989-12-01 1993-04-13 Hewlett-Packard Company Selective and non-selective deposition of Si1-x Gex on a Si subsrate that is partially masked with SiO2
US5177583A (en) * 1990-02-20 1993-01-05 Kabushiki Kaisha Toshiba Heterojunction bipolar transistor
US5316958A (en) * 1990-05-31 1994-05-31 International Business Machines Corporation Method of dopant enhancement in an epitaxial silicon layer by using germanium
US5285086A (en) * 1990-08-02 1994-02-08 At&T Bell Laboratories Semiconductor devices with low dislocation defects
US5091767A (en) * 1991-03-18 1992-02-25 At&T Bell Laboratories Article comprising a lattice-mismatched semiconductor heterostructure
US5221413A (en) * 1991-04-24 1993-06-22 At&T Bell Laboratories Method for making low defect density semiconductor heterostructure and devices made thereby
US5425846A (en) * 1991-08-22 1995-06-20 At&T Corp. Removal of substrate perimeter material
US5291439A (en) * 1991-09-12 1994-03-01 International Business Machines Corporation Semiconductor memory cell and memory array with inversion layer
US5208182A (en) * 1991-11-12 1993-05-04 Kopin Corporation Dislocation density reduction in gallium arsenide on silicon heterostructures
US5207864A (en) * 1991-12-30 1993-05-04 Bell Communications Research Low-temperature fusion of dissimilar semiconductors
US5617351A (en) * 1992-03-12 1997-04-01 International Business Machines Corporation Three-dimensional direct-write EEPROM arrays and fabrication methods
US5426069A (en) * 1992-04-09 1995-06-20 Dalsa Inc. Method for making silicon-germanium devices using germanium implantation
US5212110A (en) * 1992-05-26 1993-05-18 Motorola, Inc. Method for forming isolation regions in a semiconductor device
US5739567A (en) * 1992-11-02 1998-04-14 Wong; Chun Chiu D. Highly compact memory device with nonvolatile vertical transistor memory cell
US5596527A (en) * 1992-12-07 1997-01-21 Nippon Steel Corporation Electrically alterable n-bit per cell non-volatile memory with reference cells
US5426316A (en) * 1992-12-21 1995-06-20 International Business Machines Corporation Triple heterojunction bipolar transistor
US5523243A (en) * 1992-12-21 1996-06-04 International Business Machines Corporation Method of fabricating a triple heterojunction bipolar transistor
US5523592A (en) * 1993-02-03 1996-06-04 Hitachi, Ltd. Semiconductor optical device, manufacturing method for the same, and opto-electronic integrated circuit using the same
US5399522A (en) * 1993-02-16 1995-03-21 Fujitsu Limited Method of growing compound semiconductor
US5308444A (en) * 1993-05-28 1994-05-03 At&T Bell Laboratories Method of making semiconductor heterostructures of gallium arsenide on germanium
US5310451A (en) * 1993-08-19 1994-05-10 International Business Machines Corporation Method of forming an ultra-uniform silicon-on-insulator layer
US5424243A (en) * 1993-09-20 1995-06-13 Fujitsu Limited Method of making a compound semiconductor crystal-on-substrate structure
US5759898A (en) * 1993-10-29 1998-06-02 International Business Machines Corporation Production of substrate for tensilely strained semiconductor
US5728623A (en) * 1994-03-16 1998-03-17 Nec Corporation Method of bonding a III-V group compound semiconductor layer on a silicon substrate
US6191006B1 (en) * 1994-03-16 2001-02-20 Nec Corporation Method of bonding a III-V group compound semiconductor layer on a silicon substrate
US6030884A (en) * 1994-03-16 2000-02-29 Nec Corporation Method of bonding a III-V group compound semiconductor layer on a silicon substrate
US5633516A (en) * 1994-07-25 1997-05-27 Hitachi, Ltd. Lattice-mismatched crystal structures and semiconductor device using the same
US6218677B1 (en) * 1994-08-15 2001-04-17 Texas Instruments Incorporated III-V nitride resonant tunneling
US5906708A (en) * 1994-11-10 1999-05-25 Lawrence Semiconductor Research Laboratory, Inc. Silicon-germanium-carbon compositions in selective etch processes
US5630905A (en) * 1995-02-06 1997-05-20 The Regents Of The University Of California Method of fabricating quantum bridges by selective etching of superlattice structures
US6207977B1 (en) * 1995-06-16 2001-03-27 Interuniversitaire Microelektronica Vertical MISFET devices
US6010937A (en) * 1995-09-05 2000-01-04 Spire Corporation Reduction of dislocations in a heteroepitaxial semiconductor structure
US6039803A (en) * 1996-06-28 2000-03-21 Massachusetts Institute Of Technology Utilization of miscut substrates to improve relaxed graded silicon-germanium and germanium layers on silicon
US5912479A (en) * 1996-07-26 1999-06-15 Sony Corporation Heterojunction bipolar semiconductor device
US6191432B1 (en) * 1996-09-02 2001-02-20 Kabushiki Kaisha Toshiba Semiconductor device and memory device
US5859864A (en) * 1996-10-28 1999-01-12 Picolight Incorporated Extended wavelength lasers having a restricted growth surface and graded lattice mismatch
US5714777A (en) * 1997-02-19 1998-02-03 International Business Machines Corporation Si/SiGe vertical junction field effect transistor
US6194722B1 (en) * 1997-03-28 2001-02-27 Interuniversitair Micro-Elektronica Centrum, Imec, Vzw Method of fabrication of an infrared radiation detector and infrared detector device
US5891769A (en) * 1997-04-07 1999-04-06 Motorola, Inc. Method for forming a semiconductor device having a heteroepitaxial layer
US6191007B1 (en) * 1997-04-28 2001-02-20 Denso Corporation Method for manufacturing a semiconductor substrate
US5906951A (en) * 1997-04-30 1999-05-25 International Business Machines Corporation Strained Si/SiGe layers on insulator
US6059895A (en) * 1997-04-30 2000-05-09 International Business Machines Corporation Strained Si/SiGe layers on insulator
US6033974A (en) * 1997-05-12 2000-03-07 Silicon Genesis Corporation Method for controlled cleaving process
US5877070A (en) * 1997-05-31 1999-03-02 Max-Planck Society Method for the transfer of thin layers of monocrystalline material to a desirable substrate
US6208005B1 (en) * 1997-06-26 2001-03-27 Lockheed Martin Corporation Mercury-based quaternary alloys of infrared sensitive materials
US6033995A (en) * 1997-09-16 2000-03-07 Trw Inc. Inverted layer epitaxial liftoff process
US6232138B1 (en) * 1997-12-01 2001-05-15 Massachusetts Institute Of Technology Relaxed InxGa(1-x)as buffers
US6058044A (en) * 1997-12-10 2000-05-02 Kabushiki Kaisha Toshiba Shielded bit line sensing scheme for nonvolatile semiconductor memory
US6013134A (en) * 1998-02-18 2000-01-11 International Business Machines Corporation Advance integrated chemical vapor deposition (AICVD) for semiconductor devices
US6521041B2 (en) * 1998-04-10 2003-02-18 Massachusetts Institute Of Technology Etch stop layer system
US20010003364A1 (en) * 1998-05-27 2001-06-14 Sony Corporation Semiconductor and fabrication method thereof
US6372356B1 (en) * 1998-06-04 2002-04-16 Xerox Corporation Compliant substrates for growing lattice mismatched films
US6184111B1 (en) * 1998-06-23 2001-02-06 Silicon Genesis Corporation Pre-semiconductor process implant and post-process film separation
US6335546B1 (en) * 1998-07-31 2002-01-01 Sharp Kabushiki Kaisha Nitride semiconductor structure, method for producing a nitride semiconductor structure, and light emitting device
US6368733B1 (en) * 1998-08-06 2002-04-09 Showa Denko K.K. ELO semiconductor substrate
US6074919A (en) * 1999-01-20 2000-06-13 Advanced Micro Devices, Inc. Method of forming an ultrathin gate dielectric
US6350993B1 (en) * 1999-03-12 2002-02-26 International Business Machines Corporation High speed composite p-channel Si/SiGe heterostructure for field effect devices
US6204529B1 (en) * 1999-08-27 2001-03-20 Hsing Lan Lung 8 bit per cell non-volatile semiconductor memory structure utilizing trench technology and dielectric floating gate
US6235567B1 (en) * 1999-08-31 2001-05-22 International Business Machines Corporation Silicon-germanium bicmos on soi
US6339232B1 (en) * 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
US6995077B2 (en) * 1999-12-16 2006-02-07 Siltronic Ag Epitaxially coated semiconductor wafer and process for producing it
US6352909B1 (en) * 2000-01-06 2002-03-05 Silicon Wafer Technologies, Inc. Process for lift-off of a layer from a substrate
US6518644B2 (en) * 2000-01-20 2003-02-11 Amberwave Systems Corporation Low threading dislocation density relaxed mismatched epilayers without high temperature growth
US6703144B2 (en) * 2000-01-20 2004-03-09 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
US6555839B2 (en) * 2000-05-26 2003-04-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US20020043660A1 (en) * 2000-06-27 2002-04-18 Shunpei Yamazaki Semiconductor device and fabrication method therefor
US6525338B2 (en) * 2000-08-01 2003-02-25 Mitsubishi Materials Corporation Semiconductor substrate, field effect transistor, method of forming SiGe layer and method of forming strained Si layer using same, and method of manufacturing field effect transistor
US6713326B2 (en) * 2000-08-16 2004-03-30 Masachusetts Institute Of Technology Process for producing semiconductor article using graded epitaxial growth
US6737670B2 (en) * 2000-08-16 2004-05-18 Massachusetts Institute Of Technology Semiconductor substrate structure
US6524935B1 (en) * 2000-09-29 2003-02-25 International Business Machines Corporation Preparation of strained Si/SiGe on insulator by hydrogen induced layer transfer technique
US20040075149A1 (en) * 2000-12-04 2004-04-22 Amberwave Systems Corporation CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US20030034529A1 (en) * 2000-12-04 2003-02-20 Amberwave Systems Corporation CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6724008B2 (en) * 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6723661B2 (en) * 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6677192B1 (en) * 2001-03-02 2004-01-13 Amberwave Systems Corporation Method of fabricating a relaxed silicon germanium platform having planarizing for high speed CMOS electronics and high speed analog circuits
US6703688B1 (en) * 2001-03-02 2004-03-09 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6709903B2 (en) * 2001-06-12 2004-03-23 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
US20030013323A1 (en) * 2001-06-14 2003-01-16 Richard Hammond Method of selective removal of SiGe alloys
US20030003679A1 (en) * 2001-06-29 2003-01-02 Doyle Brian S. Creation of high mobility channels in thin-body SOI devices
US6730551B2 (en) * 2001-08-06 2004-05-04 Massachusetts Institute Of Technology Formation of planar strained layers
US20030057439A1 (en) * 2001-08-09 2003-03-27 Fitzgerald Eugene A. Dual layer CMOS devices
US20030041798A1 (en) * 2001-09-06 2003-03-06 Wacker Siltronic Gesellschaft Fur Halbleitermaterialen Ag Coated silicon wafer and process for its production
US6515335B1 (en) * 2002-01-04 2003-02-04 International Business Machines Corporation Method for fabrication of relaxed SiGe buffer layers on silicon-on-insulators and structures containing the same
US20040041210A1 (en) * 2002-04-05 2004-03-04 Chandra Mouli Semiconductor-on-insulator constructions
US20040031979A1 (en) * 2002-06-07 2004-02-19 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US20040005740A1 (en) * 2002-06-07 2004-01-08 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US20040014304A1 (en) * 2002-07-18 2004-01-22 Micron Technology, Inc. Stable PD-SOI devices and methods

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060292835A1 (en) * 2003-11-04 2006-12-28 Kabushiki Kaishi Toshiba Element fabrication substrate
US7557018B2 (en) * 2003-11-04 2009-07-07 Kabushiki Kaisha Toshiba Element fabrication substrate
US20060281234A1 (en) * 2005-06-13 2006-12-14 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US7759228B2 (en) * 2005-06-13 2010-07-20 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US20080203382A1 (en) * 2007-02-28 2008-08-28 Sanken Electric Co., Ltd. Semiconductor wafer, devices made therefrom, and method of fabrication
US7652282B2 (en) * 2007-02-28 2010-01-26 Sanken Electric Co., Ltd. Semiconductor wafer, devices made therefrom, and method of fabrication

Also Published As

Publication number Publication date
EP2337062A3 (en) 2016-05-04
US7332417B2 (en) 2008-02-19
WO2004068556A2 (en) 2004-08-12
EP1588406A2 (en) 2005-10-26
JP4659732B2 (en) 2011-03-30
JP2006520096A (en) 2006-08-31
EP1588406B1 (en) 2019-07-10
WO2004068556A3 (en) 2005-03-03
EP2337062A2 (en) 2011-06-22
US20040214407A1 (en) 2004-10-28

Similar Documents

Publication Publication Date Title
US7332417B2 (en) Semiconductor structures with structural homogeneity
US9934964B2 (en) Semiconductor heterostructures having reduced dislocation pile-ups and related methods
US7594967B2 (en) Reduction of dislocation pile-up formation during relaxed lattice-mismatched epitaxy
Le Thanh New insight into the kinetics of Stranski–Krastanow growth of Ge on Si (0 0 1)
Baribeau Interface morphology and relaxation in high temperature grown Si1− xGexSi superlattices
Yamamoto et al. Fully coherent Ge islands growth on Si nano-pillars by selective epitaxy
Sawano et al. Strain-field evaluation of strain-relaxed thin SiGe layers fabricated by ion implantation method
Taoka et al. Analysis of microstructures in SiGe buffer layers on silicon-on-insulator substrates
Capewell Novel grading of silicon germanium for high quality virtual substrates.
Kim Limited-area growth of Ge and SiGe on Si
EP2167701B1 (en) Method for providing a crystalline germanium layer on a substrate
Jung et al. GROWTH OF GexSi1. x LAYERS BY RAPID THERMAL PROCESSING CHEMICAL VAPOR DEPOSITION
Kissinger et al. STEPWISE EQUILIBRATED GRADED GEXSI1-X BUFFERS GROWN BY APCVD
Owen et al. Bonding of Elastically Strain-Relaxed GaAs/InGaAs/GaAs Heterostructures to GaAs (001)
Jung et al. Defects and Strain in Ge x Si 1− x Layers Grown by Rapid Thermal Processing Chemical Vapor Deposition
Han Growth of gallium nitride layers with very low threading dislocation densities

Legal Events

Date Code Title Description
AS Assignment

Owner name: AMBERWAVE SYSTEMS CORPORATION, NEW HAMPSHIRE

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:WESTHOFF, RICHARD;CURRIE, MATTHEW T.;YANG, VICKY K.;AND OTHERS;REEL/FRAME:020922/0015

Effective date: 20040402

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION