US20080105898A1 - FET Channel Having a Strained Lattice Structure Along Multiple Surfaces - Google Patents

FET Channel Having a Strained Lattice Structure Along Multiple Surfaces Download PDF

Info

Publication number
US20080105898A1
US20080105898A1 US11/969,992 US96999208A US2008105898A1 US 20080105898 A1 US20080105898 A1 US 20080105898A1 US 96999208 A US96999208 A US 96999208A US 2008105898 A1 US2008105898 A1 US 2008105898A1
Authority
US
United States
Prior art keywords
channel
gate
lattice structure
layer
semiconductor material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/969,992
Inventor
Rajiv Joshi
Richard Williams
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Microsoft Technology Licensing LLC
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US11/969,992 priority Critical patent/US20080105898A1/en
Publication of US20080105898A1 publication Critical patent/US20080105898A1/en
Assigned to MICROSOFT CORPORATION reassignment MICROSOFT CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to MICROSOFT TECHNOLOGY LICENSING, LLC reassignment MICROSOFT TECHNOLOGY LICENSING, LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MICROSOFT CORPORATION
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1207Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with devices in contact with the semiconductor body, i.e. bulk/SOI hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • H01L29/78687Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys with a multilayer structure or superlattice structure
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • H10B10/125Static random access memory [SRAM] devices comprising a MOSFET load element the MOSFET being a thin film transistor [TFT]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S257/00Active solid-state devices, e.g. transistors, solid-state diodes
    • Y10S257/903FET configuration adapted for use as static memory cell

Definitions

  • FET field effect transistors
  • a FET is a transistor consisting of a source, a gate, and a drain. The action of the FET depends on the flow of majority carriers along a channel between the source and drain that runs past the gate.
  • the length of the gate determines how fast the FET switches and how fast the circuit can operate, and is generally about the same as the length of the channel (i.e., the distance between the source and drain).
  • State of the art gate lengths are today on the order of 50 nm, and are moving toward 10 nm within the next decade. Such size reductions should enable upwards of a billion devices on a single chip. However, such small scaling necessitates greater control over performance issues such as short channel effects, punch-through, and MOS leakage current.
  • FIG. 1 is a TEM micrograph of a prior art FET with six fins. Each pair of fins comprises an NFET and a PFET.
  • One method to enhance fin performance is to fabricate fins in layers of disparate materials.
  • One such exemplary device assigned to the assignee of this invention is described in U.S. Pat. No. 6,252,284 B1, “Planarized Silicon Fin Device”, which is directed to controlling short-channel effects.
  • one of the layers of a multi-layered planarized FET fin is strained silicon. It has been previously discovered that biaxially stretching the crystalline lattice structure of silicon can speed the flow of electrons through a transistor, thereby enhancing performance and decreasing power consumption. There is a natural tendency of atoms in layers of disparate materials to align with one another by stretching and/or compressing their mismatched lattice structures along a planar interface of the mismatch. Varying the respective thickness and chemical composition of the materials aids in controlling the extent of extension and compression in either material. As an example, FIG. 2 a depicts a silicon lattice structure and a germanium lattice structure, not to scale, in their natural (unstretched) states. When silicon is disposed (or grown) on a thicker layer of germanium, as in the SiGe compound of FIG. 2 b , the lattice of silicon stretches while the lattice of germanium remains substantially unchanged, resulting in strained silicon.
  • the actual process of lattice stretching may also, or alternatively, entail atoms of Si and Ge intermixed within a lattice structure. This integrates both atoms within a single layer, wherein the larger Ge atoms force the integrated lattice structure to stretch, as compared to a natural (unstretched) silicon lattice structure.
  • the opposite effect from that shown in FIG. 2 b can also be realized, wherein a thinner layer of germanium exhibits lattice compression when bonded to a thicker layer of silicon.
  • Strained silicon has been shown to enhance electron/hole mobility by up to 70% in NFETs, and up to 30% in PFETs.
  • Other materials such as silicon germanium carbon may be used to form the crystalline heterojunction that enhances conductivity.
  • CVD chemical vapor deposition
  • MBE molecular beam epitaxy
  • Current leakage is the primary source of power consumed by an idle transistor.
  • Current leakage may be classified into two types: MOS off current, wherein an unintended current passes through the channel despite the gate attempting to shut off current completely; and gate tunneling leakage current, wherein unintended current follows a parasitic pathway flowing into the channel, diffusions, or silicon body.
  • MOS off current wherein an unintended current passes through the channel despite the gate attempting to shut off current completely
  • gate tunneling leakage current wherein unintended current follows a parasitic pathway flowing into the channel, diffusions, or silicon body.
  • the fin structure enhances gate control over the channel, but gate control over current is not absolute, even in prior art FinFETs. Compounding the current leakage problem, miniaturization enables ever-lower power levels that require more absolute gate control. Current leakage that escapes the gate's control is less distinguishable from intentional current, particularly at low current levels.
  • the FET should improve gate control in a fin structure that exhibits enhanced carrier transport properties.
  • the present invention concerns a channel for electrically connecting a source and a drain of a field effect transistor (FET), commonly called a fin for a FinFET.
  • FET field effect transistor
  • the channel includes a channel core and a channel envelope.
  • the channel core is coupled to a substrate such as a SIMOX wafer (a wafer with an upper region separated by implanted oxygen) or bonded wafer.
  • the channel core defines a top surface that is spaced from the substrate, and opposed sidewall surfaces between the substrate and the top surface.
  • the channel core is formed from a first semiconductor material defining a first lattice structure.
  • the channel envelope is in contact with the opposed sidewall surfaces and the top surface of the channel core.
  • the channel envelope is formed from a second semiconductor material defining a second lattice structure that differs from the first lattice structure. This difference in lattice structure provides enhanced electrical conductivity due to stretching or compressing of the lattice structure.
  • the two materials are silicon and a silicon-germanium compound.
  • the channel core defines a top and an adjoining side surface.
  • the top surface is the horizontal top and the side surface is one of two upstanding sidewalls of the channel core, although two upstanding surfaces extending from the substrate and meeting at a peak, or two sidewalls joined by a third lateral surface may be used.
  • the channel core comprises a first semiconductor material and the channel envelope comprises a second semiconductor material that differs from the first. At least one of the first or second semiconductor materials exhibits enhanced electrical conductivity due to one of a stretched or compressed lattice structure.
  • the channel envelope is in contact with both the top and side surfaces, providing a larger area of interface between the disparate lattice materials, as compared to prior art channels that provide interface only along a top surface.
  • the exemplary materials noted above are operable to provide the stretched or compressed lattice structure.
  • the present invention also includes methods of making a FET channel.
  • a substrate is provided with an overlying layer of a first semiconductor material.
  • a first channel core is defined from the overlying layer, such as by a mask and etch technique.
  • the channel core defines a top surface spaced from the substrate and opposed first and second sidewalls between the substrate and the top surface.
  • the method further includes disposing a layer of second semiconductor material to contact at least two of the surfaces (that is, at least two of the top surface, the first sidewall and the second sidewall). Electrical conductivity through the layer of second semiconductor material, which is different from the first, is enhanced by its contact with the channel core.
  • the layer of second semiconductor material may be deposited via vacuum deposition, or may be grown on a carrier wafer and separated therefrom to contact the appropriate surfaces of the channel core.
  • Another method of forming the channel includes providing a first layer of a semiconductor material over a substrate.
  • This method includes defining a trench in the overlayer, and the overlayer is then divided into a first section and a second section by the trench.
  • the trench may be filled with a buffer material such as TEOS (also known as tetraethoxysilane, tetraethylorthosilicate, tetraethelorthosilicate, and tetrethoxysilicide).
  • TEOS also known as tetraethoxysilane, tetraethylorthosilicate, tetraethelorthosilicate, and tetrethoxysilicide.
  • a portion of the second section is then removed to leave a remaining layer of first semiconductor material that has a thickness less than a depth of the trench. This exposes a portion of the trench.
  • the method then includes disposing a layer of a second semiconductor material over the remaining layer and adjacent to the trench.
  • the remaining layer is less than about 15 nm.
  • the second semiconductor material is Si X Ge 1 ⁇ x
  • the relative concentration of germanium may be chosen to promote thermal stability of the channel.
  • the germanium content is between about 8% and about 22%, and most preferably between about 10% and about 20%, and a low temperature chemical vapor deposition process is used to form the layer of second semi-conductor material.
  • a channel for electrically connecting a source and a drain of a field effect transistor comprising: a channel core comprising a bottom surface coupled to a substrate and defining a top surface spaced from the substrate and opposed sidewall surfaces between the bottom surface and the top surface, wherein the channel core is formed from a first semiconductor material defining a first lattice structure; and a channel envelope in contact with at least one of the top surface, the bottom surface and one of the sidewall surfaces (or combinations thereof), wherein the channel envelope comprises a second semiconductor material comprising a strained lattice structure that differs from the first lattice structure, the channel envelope being electrically coupled to a gate electrode.
  • the channel is formed of a relaxed Si-Ge lattice
  • the envelope is formed of a strained Si lattice.
  • FIG. 1 is a TEM micrograph of a prior art FET with six fins
  • FIGS. 2 a and 2 b are prior art diagrams showing how the lattice structure of silicon is strained when disposed adjacent to germanium ( FIG. 2 b ) as opposed to its natural state ( FIG. 2 a );
  • FIG. 3 is a block diagram depicting a FinFET in which the present invention may be disposed
  • FIG. 4 is a sectional view of FIG. 3 along section lines 4 ′- 4 ′;
  • FIGS. 5 a - 5 f are enlarged cross sectional views depicting one method of making a channel according to the present invention, using masking and etching to deposit a channel envelope onto a channel core;
  • FIGS. 6 a - 6 h are enlarged cross sectional views depicting an alternative method of making at least one channel using a carrier wafer and a handle wafer;
  • FIGS. 7 a - 7 f are enlarged cross sectional views depicting an alternative method of making a channel for a PFET using a shallow trench;
  • FIG. 8 a - f are perspective views of various embodiments of FinFET devices.
  • FIG. 9 depicts a SRAM circuit and is useful when discussing quantization.
  • FIGS. 1 and 2 a - 2 b are described above and serve as context for understanding the present invention.
  • FIG. 3 depicts in block diagram a FET 10 .
  • a source 12 and drain 14 are connected electrically via a channel 16 , which is crossed by a gate 18 .
  • One or more channels 16 and gates 18 may be present in a single FET 10 .
  • the length of the channel 16 is the distance from the source 12 to the drain 14 as depicted in FIG. 3 .
  • a sectional view of the channel 16 at section line 4 ′- 4 ′ is depicted at FIG. 4 .
  • the FET 10 is disposed on a substrate 20 such as silicon 21 overlain with a layer of buried oxide 22 .
  • a channel core 24 is made from a first semiconductor material disposed over the substrate 20 .
  • the substrate 20 is preferably silicon based, such as a SIMOX wafer, a bonded wafer, or CZ silicon (silicon wafer from the Czochralski process) as known in the art.
  • the channel core 24 is formed atop the buried oxide layer 22 that forms part of the substrate 20 .
  • the channel core 24 defines a bottom surface 27 (shown as attached to the substrate 20 ), a top surface 26 spaced from the substrate 20 and opposed sidewalls 28 , 30 disposed between the substrate 20 and the top surface 26 .
  • the first semiconductor material from which the channel core 24 is made defines a first natural crystalline lattice structure.
  • a natural lattice structure for a particular material refers to the lattice structure of the particular material in question in its natural state, that is, without having been stretched, compressed, or otherwise strained by external manipulation such as was previously described for strained silicon.
  • a compound exhibiting a relaxed lattice structure, wherein the relaxation is due only to annealing or other processing that purposefully removes tensile or compressive forces that would otherwise be present, is not considered herein to be the natural state lattice structure.
  • a channel envelope 32 is disposed to substantially cover that portion of the channel core 24 that is not in direct contact with the substrate 20 when the channel 16 is viewed in cross section, at least at the portion of the channel 16 crossed by the gate 18 .
  • the channel envelope 32 is coupled to the top surface 26 and both opposed sidewalls 28 , 30 of the channel core 24 .
  • the channel envelope 32 is formed from a second semiconductor material that defines a second natural crystalline lattice structure that differs from the first lattice structure. The different natural lattice structures of the first and second semiconductor materials cause either tensile or compressive stresses at least at the boundary of the channel core 24 and the channel envelope 32 .
  • the channel core 24 defines a core width w c and a core height h c .
  • the channel envelope 32 defines an envelope width w e and an envelope height h e . Any, or all, of these dimensions may be selected to optimize or otherwise control the combined surface area of the opposed sidewalls 28 , 30 and the top surface 26 .
  • Threshold voltage of a FET 10 using the inventive channel 16 described herein can be selected based on the particular materials of either or both of the first and second semiconductor materials, the presence of a dopant in one or the other, or the core 24 and envelope 32 dimensions.
  • the first semiconductor material that comprises the channel core 24 is silicon
  • the second semiconductor material that comprises the channel envelope 32 is a compound comprising silicon and germanium, such as Si 0.7 Ge 0.3 .
  • the first semiconductor material that comprises the channel core 24 is preferably a compound comprising silicon and germanium that is processed to exhibit a relaxed lattice structure
  • the second semiconductor material that comprises the channel envelope 32 is preferably silicon.
  • the gate 18 which may be formed of poly or metal or other material as known in the art, is disposed over the channel 16 so as to contact the channel envelope 32 through a dielectric layer 33 , also termed a gate dielectric, disposed about the channel envelope 32 .
  • the dielectric layer 33 may include an oxide, oxynitride, or rare earth oxide (e.g., hafnium oxide).
  • the channel envelope 32 is disposed so as to prevent substantial, and preferably complete, contact between the gate 18 and the channel core 24 .
  • FIG. 5 illustrates a preferred method for making a FET 10 in accordance with the teachings herein.
  • FIGS. 5 a - f depict one embodiment, wherein both a PFET channel 34 and a NFET channel 36 are disposed on a single substrate 20 .
  • a substrate 20 including a buried oxide layer (BOX) 22 is provided and overlain with a layer of a first semiconductor material 38 , such as silicon.
  • the combination of substrate layer 20 , BOX layer 22 , and layer of first semiconductor material 38 may be provided by a SIMOX wafer, may be a bonded wafer, or may be provided by a Czochralski process as known in the art.
  • a first channel core 40 and a second channel core 42 are defined from the layer of first semiconductor material 38 by masking and etching, or by other means known in the art. The length and/or width of the first channel core 40 may differ from that of the second channel core 42 .
  • 5 c depicts a mask 44 (two masks shown) over the second channel core 42 and over all areas except the immediate vicinity of the first channel core 40 .
  • Etching or other known processes may be used to remove the mask 44 from contact with opposed sidewall surfaces of the first channel core 40 , or to prevent the mask 44 from ever contacting such sidewalls.
  • the first channel core 40 will become the PFET channel 34 .
  • FIG. 5 d depicts deposition of a layer of second semiconductor material 46 over the entire wafer.
  • the layer of second semiconductor material 46 is a compound of Si and Ge that is deposited via ultra-high vacuum chemical vapor deposition (UHVCVD), but other chemical deposition processes are also compatible.
  • the layer 46 defines a thickness in the range of 5-10 nm, and the thickness of the layer 46 is determined by the concentration of germanium and criterion related to layer thermal stability for such germanium concentration.
  • the entity of FIG. 5 d is planarized in FIG. 5 e to remove that portion of the entire layer of second semiconductor material 46 that overlies the mask 44 . The remainder of the mask 44 is removed in FIG.
  • SiGe layer 46 can be selectively deposited on an exposed silicon channel core 40 and any residual removed via a chemical etch.
  • the layer of first semiconductor material 38 is silicon and the layer of second semiconductor material 46 is Si X Ge 1 ⁇ x
  • the channel envelope 32 formed by the Si X Ge 1 ⁇ x compound is under compressive stress due to the smaller natural lattice structure of the underlying Si layer 38 .
  • FIG. 6 An alternative method is depicted in FIG. 6 .
  • a handle wafer 48 includes a buried oxide layer 22 as previously described, and an overlying layer of first semiconductor material 38 , such as silicon.
  • FIG. 6 b depicts use of masking and etching to define to define one or more trenches 47 adjacent to a remaining portion 38 a of the layer of first semiconductor material 38 .
  • the trenches 47 and/or the remaining portions 38 a of the layer of first semiconductor material 38 may or may not be contiguous. Parallel to, or at a separate time from, the processing depicted in FIGS.
  • a separate carrier wafer 50 is overlain with a layer of second semiconductor material 46 , such as strained or relaxed SiGe, in FIG. 6 c .
  • the carrier wafer 50 with overlayer 46 is then subjected to ion implantation at FIG. 6 c .
  • implantation with ions 52 such as hydrogen or boron ions allows the overlayer 46 to be annealed and separated from the carrier wafer 50 at a temperature generally less than about 600° C., while also providing high quality SiGe free from dislocations. Nearly complete ( ⁇ 95%) strain relaxation of the SiGe layer 46 can also be achieved.
  • a thin oxide layer 51 is disposed over at least the islands 49 to facilitate later bonding to the handle wafer 48 .
  • further processing may be according to known finfet processing techniques, such as, for example, sidewall image transfer.
  • FIG. 6 e depicts the structure of FIG. 6 d brought together with the structure of FIG. 6 b .
  • the carrier wafer 50 is inverted over the handle wafer 48 .
  • the islands 49 and trenches 47 are precision aligned with one another, preferably accurate to within 0.25 microns.
  • the anneal process described above for the smart-cut technique is employed to remove the islands 49 from the carrier wafer 50 .
  • the islands 49 then lie within the trenches 47 , and are bonded to the handle wafer 48 by the thin oxide layer 51 .
  • the carrier wafer 50 is removed, preferably for later reuse as a handle wafer after a high temperature anneal and polishing.
  • vertical etches through the overlayer 46 and selective ion implantation bounded by those vertical etches is used to combine more than one layer (more than one type of semiconductor material) into an island 49 .
  • Such an island 49 may be disposed to match a recessed trench 47 on the handle wafer 48 as previously described, or it may be deposited on an elevated surface of silicon or other semiconductor material on the handle wafer 48 .
  • FIG. 6 f shows smoothing of the exposed surfaces of the islands 49 and of the remaining portions 38 a , where these surfaces are opposite the handle wafer 48 . Smoothing and polishing is used to achieve a substantially uniform height.
  • FIG. 6 g depicts a step wherein both the island 49 and the remainder 38 a are masked and etched, or otherwise processed, to define one or more PFET channel cores 53 and preferably also one or more NFET channel cores 55 . While it is preferable to fabricate the PFET and NFET channels simultaneously as described herein, the present invention does not so require.
  • an epitaxial layer of a semiconductor material different from the first semiconductor material is grown or disposed on the PFET channel cores 53 to form channel envelopes 32 , creating a strained silicon or other hetero-layer.
  • the material of the channel envelope 32 may be strained or unstrained, depending upon the desired properties of the resultant channel 16 .
  • Maximum lattice discontinuity occurs along the lines defined by either of the opposed sidewall surfaces 28 , 30 and the bottom surface 27 /top surface 26 of the channel core 24 .
  • the PFET channel 34 or NFET channel 36 are characterized by a width of about 100-150 ⁇ and a height of about 500-600 ⁇ . These dimensions may change with scaling.
  • the strained lattice is disposed along both the sidewalls 28 , 30 and the top 26 of the PFET channel 34 or NFET channel 36 .
  • SRAM static random access memory
  • DRAM dynamic RAM
  • SRAM is a type of memory that does not need to be refreshed like dynamic RAM (DRAM), so SRAM is generally much faster (typically about 10 ns for SRAM versus about 60 ns for DRAM) and more reliable.
  • the cycle time (a measurement of how quickly two back-to-back accesses of a memory chip can be made) of SRAM is much shorter than that of DRAM because it does not need to pause between accesses.
  • the design of SRAMs generally assumes FETs in several fixed sizes (quantized).
  • the width of the channel 16 is critical for stability in SRAM, and thereby imposes a tradeoff between size and stability.
  • a FET 10 employing a fin according to the present invention may be used to modulate the height of the channel to enable a smaller FET 10 that still ensures SRAM stability.
  • the starting silicon would be at least as high as the highest estimated fin.
  • Selective masking and etching of certain devices within a cell tailors fin height to achieve the desired SRAM stability.
  • a slow etch is used to ensure uniformity and fine control over fin height.
  • effective channel width can be adjusted by using multiple fins, preferably in a side-by-side relation.
  • FIG. 7 is a series of block diagrams showing process steps in forming a PFET.
  • FIG. 7 depicts a shallow trench isolation (STI) that is imposed between a first semiconductor material, such as SiGe, and a second semiconductor material, such as Si.
  • a substrate 20 supports a buried oxide layer (BOX) 22 , which is overlain with a layer of a first semiconductor material 38 , such as silicon.
  • the substrate/BOX/overlayer combination 70 may be a SIMOX wafer, a bonded wafer, or a CZ wafer as previously described and known in the art.
  • a trench 58 is formed and filled with an oxide or other insulator such as TEOS, as is known in the art. It is noted that the trenching and filling represented by FIG. 7 b is performed in several steps, and the trench 58 divides the layer of first semiconductor material 38 into a first region 62 and a PFET region 64 . Alternatively, the trench 58 may be etched, but not filled, without departing from the advantages of the present invention.
  • a mask layer 44 is selectively disposed over the trench 58 and the first region 62 of the first semiconductor material. In FIG.
  • the PFET region 64 is then etched back to a thin layer 60 , preferably to a thickness on the order of 10 nm.
  • a layer of second semiconductor material 46 is disposed over the thin layer 60 .
  • the thin layer 60 includes a horizontal surface 67 , and a substantially vertical surface 68 .
  • the second semiconductor material 46 is SiGe of a moderate concentration.
  • 10%-20% Ge concentration is preferable when the thickness of the layer 46 is between about 10-30 nm. It has been found that thicker layers 46 of SiGe, and/or higher concentrations of Ge, will be metastable and may require further thermal processing, though not annealing.
  • Any embodiment of the present invention preferably includes an enveloping layer of gate dielectric or gate oxide.
  • a channel 16 according to the present invention may be part of a FinFET 10 , as in FIG. 3 , and the FinFET 10 may preferably be part of an integrated circuit 100 .
  • the channel 16 may include a channel core 24 that has substantially upstanding sidewalls 28 , 30 , a top surface 26 , and a bottom surface 27 , as in FIG. 4 , or may be fabricated to define only a horizontal surface 67 and substantially vertical surfaces 68 as in FIG. 7 e .
  • the channel 16 may have two sidewall surfaces 74 that extend from the substrate 22 and join at an acute or rounded peak 71 so as to define a substantially triangular cross section 75 , as opposed to the rectangular cross sections illustrated herein, such as the cross section of the thin layer 60 .
  • a channel defining a non-orthogonal cross section would be optimized using strained silicon on the top and in contact with the gate oxide.
  • the channel envelope 32 may contact any two of the surfaces 26 , 27 , 28 , 30 of the channel core 24 , or all such surfaces 26 , 28 , 30 not contacting the substrate 20 .
  • a broader surface of the strained lattice structures that carry charge (electrons or holes) is better exposed to the gate 18 as compared to prior art FinFETs, enabling greater control by the gate 18 and more efficient current transport.
  • FIG. 8 represents various additional embodiments of FinFET structures in accordance with the teachings herein.
  • the structures include a relaxed Si—Ge layer with an overlying strained Si layer. This combination provides for improved electron-hole mobility.
  • the channel 16 shown in FIGS. 8 A-B is formed of a channel core 24 and is overlain with the channel envelope 32 , as is shown in FIG. 4 .
  • the substrate 20 includes the buried oxide layer 22 , and the Si layer 21 .
  • Each structure depicted in FIG. 8 includes the source 12 , a drain 14 , the gate 18 , and the channel 16 .
  • a dielectric layer 33 disposed between the channel 16 and the various gates.
  • the channel 16 is internal to the structures shown in FIGS. 8 C-E, and is therefore not visible, the channel 16 is only depicted in FIGS. 8 A-B and 8 F. Regardless, each of the embodiments of FIGS. 8A-8F may include the channel core 24 and channel envelope 32 as previously described.
  • FIG. 8A a single gate FinFET 90 is shown.
  • the channel 16 includes a relaxed Si—Ge layer, and a strained Si layer.
  • a thin oxide layer 33 is disposed between the channel 16 and a single gate 95 .
  • FIG. 8B represents a double gate 91 embodiment of a FinFET.
  • a second gate 96 is presented.
  • the second gate 96 is buried within a trench in the buried oxide layer 22 .
  • FIG. 8C represents a triple gate FinFET 92 , wherein a triple gate 97 is formed over the thin oxide layer 33 , which is disposed over the channel 16 (shown in FIGS. 8 A-B).
  • FIG. 8D represents a quadruple gate FinFET 93 , wherein a quadruple gate 98 effectively surrounds the channel 16 .
  • FIG. 8D a portion of the quadruple gate 98 is submerged in the buried oxide layer 22 .
  • FIG. 8E a FinFET 94 having a Pi gate 99 is shown. The Pi gate 99 extends downward, and at least partially into the buried oxide layer 22 .
  • FIG. 8F is another embodiment of a double gate FinFET 87 , defining a first gate 88 and a second gate 89 .
  • the FinFET of FIG. 8F may be formed, for example, by polishing the FinFET of FIG. 8C until the portion of the triple gate 97 of FIG. 8C that overlies the channel 16 is removed, leaving the two gates 88 , 89 of FIG. 8F .
  • the channel includes strained silicon germanium.
  • each gate 88 , 89 may be independently controlled so that, for example, the first gate 88 is a typical FinFET gate and the second gate 89 may apply variable voltage.
  • the second gate 89 is a back gate to control a depletion region, when current between the source 12 and drain 14 is switched between on and off.
  • Such a second gate 89 better controls leakages and performance of the first gate 88 by use of the variable voltage; so that only the minimum voltage necessary to control leakage current is applied.
  • the [110] direction is at a 45° angle to the crystalline axes.
  • FIG. 9 depicts one embodiment of a six transistor SRAM unit cell.
  • PFET quantization is carried out on the smallest device in a six-transistor conventional SRAM (e.g., NFET pass gate 77 (Pg) and pull-up PFET 76 (PL) is smaller in size).
  • NFET pass gate 77 Pg
  • PL pull-up PFET 76
  • fin height quantization can be based on individual devices, or bucketing pass gate NFET and pull up PFET into one quanta height and larger NFET 78 (Cc) into another.
  • a smaller fin height which is employed in multiples of NFET and PFET devices of the embodiment shown in FIGS. 8 A-F, may be used. This quantization will help to make a robust and stable cell.

Abstract

A channel 16 of a FinFET 10 has a channel core 24 and a channel envelope 32, each made from a semiconductor material defining a different lattice structure to exploit strained silicon properties. A gate is coupled to the channel envelope through a gate dielectric. Exemplary materials are Si and SiXGe1−x, wherein 78<x<92. The channel core 24 has a top surface 26 of width wc and an upstanding surface 28, 30 of height hc, preferably oriented 90° to one another. The channel envelope 32 is in contact with the top 26 and upstanding surfaces 28, 30 so that the area of interface is increased as compared to contact only along the top surface 26, improving electrical conductivity and gate 18 control over the channel 16. The height hc, can be tailored to enable a smaller scale FET 10 within a stabilized SRAM. Various methods of making the channel 16 are disclosed, including a mask and etch method, a handle wafer/carrier wafer method, and a shallow trench method. Embodiments and methods for FinFETs with one to four gates are disclosed.

Description

    TECHNICAL FIELD
  • These teachings relate generally to field effect transistors (FET) disposed on a semiconductor wafer or chip, in particular, to a layered structure as a channel that connects a source and a drain of a FET, wherein one of the layers is characterized by a strained lattice structure.
  • BACKGROUND OF THE INVENTION
  • Semiconductors and integrated circuit chips have become ubiquitous within many products due to their continually decreasing cost and size. Miniaturization in general allows increased performance (more processing per clock cycle and less heat generated) at lower power levels and lower cost. Present technology is at or approaching atomic-level scaling of certain micro-devices such as logic gates, FETs, capacitors, etc. Circuit chips with hundreds of millions of such devices are not uncommon. Further size reductions appear to be approaching the physical limit of trace lines and micro-devices that are embedded upon and within their semiconductor substrates. The present invention is directed to such micro-sized FET devices. A FET is a transistor consisting of a source, a gate, and a drain. The action of the FET depends on the flow of majority carriers along a channel between the source and drain that runs past the gate. Current through the channel, which is between the source and drain, is controlled by the transverse electric field under the gate. More than one gate may be used to more effectively control the channel. The length of the gate determines how fast the FET switches and how fast the circuit can operate, and is generally about the same as the length of the channel (i.e., the distance between the source and drain). State of the art gate lengths are today on the order of 50 nm, and are moving toward 10 nm within the next decade. Such size reductions should enable upwards of a billion devices on a single chip. However, such small scaling necessitates greater control over performance issues such as short channel effects, punch-through, and MOS leakage current.
  • Recently, the size of FETs has been successfully reduced through the use of one or more fin-shaped channels, known as fins. FETs employing fins are known as FinFETs. Previously, complementary metal-oxide semiconductor (CMOS) devices were substantially planar along the surface of the semiconductor substrate, the exception being the FET gate that was disposed over the top of the channel. Fins break from that paradigm by using a vertical structure in order to maximize surface area of the channel that is exposed to the gate. The gate controls the channel more strongly because it extends over three sides of the fin shaped channel, rather than only across the top of a more traditional planar channel. An example of a FinFET is shown in FIG. 1, which is a TEM micrograph of a prior art FET with six fins. Each pair of fins comprises an NFET and a PFET.
  • One method to enhance fin performance is to fabricate fins in layers of disparate materials. One such exemplary device assigned to the assignee of this invention is described in U.S. Pat. No. 6,252,284 B1, “Planarized Silicon Fin Device”, which is directed to controlling short-channel effects.
  • Often, one of the layers of a multi-layered planarized FET fin is strained silicon. It has been previously discovered that biaxially stretching the crystalline lattice structure of silicon can speed the flow of electrons through a transistor, thereby enhancing performance and decreasing power consumption. There is a natural tendency of atoms in layers of disparate materials to align with one another by stretching and/or compressing their mismatched lattice structures along a planar interface of the mismatch. Varying the respective thickness and chemical composition of the materials aids in controlling the extent of extension and compression in either material. As an example, FIG. 2 a depicts a silicon lattice structure and a germanium lattice structure, not to scale, in their natural (unstretched) states. When silicon is disposed (or grown) on a thicker layer of germanium, as in the SiGe compound of FIG. 2 b, the lattice of silicon stretches while the lattice of germanium remains substantially unchanged, resulting in strained silicon.
  • This result is achieved as the comparatively greater thickness of the germanium layer yields greater structural integrity and greater resistance to lattice compression. The actual process of lattice stretching may also, or alternatively, entail atoms of Si and Ge intermixed within a lattice structure. This integrates both atoms within a single layer, wherein the larger Ge atoms force the integrated lattice structure to stretch, as compared to a natural (unstretched) silicon lattice structure. The opposite effect from that shown in FIG. 2 b can also be realized, wherein a thinner layer of germanium exhibits lattice compression when bonded to a thicker layer of silicon. Strained silicon has been shown to enhance electron/hole mobility by up to 70% in NFETs, and up to 30% in PFETs. Other materials such as silicon germanium carbon may be used to form the crystalline heterojunction that enhances conductivity. There are several methods used to form strained layers, including chemical vapor deposition (CVD) and molecular beam epitaxy (MBE).
  • Strained silicon disposed along a plane has been used in prior art FinFETs. However, current leakage continues to be a limiting factor in further scaling of FETs toward the atomic limit. Current leakage becomes an ascendant concern as miniaturization progresses because shorter length FET gates, which generally track the channel length, have less ability to control electric charge carriers (holes or electrons).
  • The unintentional flow of charge carriers when the transistor is off is termed “current leakage.” Current leakage is the primary source of power consumed by an idle transistor. Current leakage may be classified into two types: MOS off current, wherein an unintended current passes through the channel despite the gate attempting to shut off current completely; and gate tunneling leakage current, wherein unintended current follows a parasitic pathway flowing into the channel, diffusions, or silicon body. As FET channel lengths continue to decrease, it is expected that gate tunneling leakage current will become a predominant concern for designers. The fin structure enhances gate control over the channel, but gate control over current is not absolute, even in prior art FinFETs. Compounding the current leakage problem, miniaturization enables ever-lower power levels that require more absolute gate control. Current leakage that escapes the gate's control is less distinguishable from intentional current, particularly at low current levels.
  • What is needed is a FET that allows small scaling with enhanced performance for both NFETs and PFETs. Preferably, the FET should improve gate control in a fin structure that exhibits enhanced carrier transport properties.
  • SUMMARY OF THE PREFERRED EMBODIMENTS
  • The foregoing and other problems are overcome, and other advantages are realized, in accordance with the presently preferred embodiments of these teachings. The present invention concerns a channel for electrically connecting a source and a drain of a field effect transistor (FET), commonly called a fin for a FinFET. The channel includes a channel core and a channel envelope. The channel core is coupled to a substrate such as a SIMOX wafer (a wafer with an upper region separated by implanted oxygen) or bonded wafer. The channel core defines a top surface that is spaced from the substrate, and opposed sidewall surfaces between the substrate and the top surface. The channel core is formed from a first semiconductor material defining a first lattice structure.
  • The channel envelope is in contact with the opposed sidewall surfaces and the top surface of the channel core. The channel envelope is formed from a second semiconductor material defining a second lattice structure that differs from the first lattice structure. This difference in lattice structure provides enhanced electrical conductivity due to stretching or compressing of the lattice structure. Preferably, the two materials are silicon and a silicon-germanium compound.
  • In another aspect of the present invention, the channel core defines a top and an adjoining side surface. In a preferred embodiment, the top surface is the horizontal top and the side surface is one of two upstanding sidewalls of the channel core, although two upstanding surfaces extending from the substrate and meeting at a peak, or two sidewalls joined by a third lateral surface may be used. The channel core comprises a first semiconductor material and the channel envelope comprises a second semiconductor material that differs from the first. At least one of the first or second semiconductor materials exhibits enhanced electrical conductivity due to one of a stretched or compressed lattice structure. The channel envelope is in contact with both the top and side surfaces, providing a larger area of interface between the disparate lattice materials, as compared to prior art channels that provide interface only along a top surface. The exemplary materials noted above are operable to provide the stretched or compressed lattice structure.
  • The present invention also includes methods of making a FET channel. In one such method, a substrate is provided with an overlying layer of a first semiconductor material. A first channel core is defined from the overlying layer, such as by a mask and etch technique. The channel core defines a top surface spaced from the substrate and opposed first and second sidewalls between the substrate and the top surface. The method further includes disposing a layer of second semiconductor material to contact at least two of the surfaces (that is, at least two of the top surface, the first sidewall and the second sidewall). Electrical conductivity through the layer of second semiconductor material, which is different from the first, is enhanced by its contact with the channel core. The layer of second semiconductor material may be deposited via vacuum deposition, or may be grown on a carrier wafer and separated therefrom to contact the appropriate surfaces of the channel core.
  • Another method of forming the channel includes providing a first layer of a semiconductor material over a substrate. This method includes defining a trench in the overlayer, and the overlayer is then divided into a first section and a second section by the trench. The trench may be filled with a buffer material such as TEOS (also known as tetraethoxysilane, tetraethylorthosilicate, tetraethelorthosilicate, and tetrethoxysilicide). A portion of the second section is then removed to leave a remaining layer of first semiconductor material that has a thickness less than a depth of the trench. This exposes a portion of the trench. The method then includes disposing a layer of a second semiconductor material over the remaining layer and adjacent to the trench. Exemplary semiconductor materials are discussed above. Preferably, the remaining layer is less than about 15 nm. Where the second semiconductor material is SiXGe1−x, the relative concentration of germanium may be chosen to promote thermal stability of the channel. Preferably, the germanium content is between about 8% and about 22%, and most preferably between about 10% and about 20%, and a low temperature chemical vapor deposition process is used to form the layer of second semi-conductor material.
  • Further, disclosed herein is a channel for electrically connecting a source and a drain of a field effect transistor (FET) comprising: a channel core comprising a bottom surface coupled to a substrate and defining a top surface spaced from the substrate and opposed sidewall surfaces between the bottom surface and the top surface, wherein the channel core is formed from a first semiconductor material defining a first lattice structure; and a channel envelope in contact with at least one of the top surface, the bottom surface and one of the sidewall surfaces (or combinations thereof), wherein the channel envelope comprises a second semiconductor material comprising a strained lattice structure that differs from the first lattice structure, the channel envelope being electrically coupled to a gate electrode. Preferably, the channel is formed of a relaxed Si-Ge lattice, and the envelope is formed of a strained Si lattice.
  • An exemplary circuit SRAM circuit using FinFET in accordance with the teachings herein is disclosed.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The foregoing and other aspects of these teachings are made more evident in the following Detailed Description of the Preferred Embodiments, when read in conjunction with the attached Drawing Figures, wherein:
  • FIG. 1 is a TEM micrograph of a prior art FET with six fins;
  • FIGS. 2 a and 2 b, collectively referred to as FIG. 2, are prior art diagrams showing how the lattice structure of silicon is strained when disposed adjacent to germanium (FIG. 2 b) as opposed to its natural state (FIG. 2 a);
  • FIG. 3 is a block diagram depicting a FinFET in which the present invention may be disposed;
  • FIG. 4 is a sectional view of FIG. 3 along section lines 4′-4′;
  • FIGS. 5 a-5 f, collectively referred to as FIG. 5, are enlarged cross sectional views depicting one method of making a channel according to the present invention, using masking and etching to deposit a channel envelope onto a channel core;
  • FIGS. 6 a-6 h, collectively referred to as FIG. 6, are enlarged cross sectional views depicting an alternative method of making at least one channel using a carrier wafer and a handle wafer;
  • FIGS. 7 a-7 f, collectively referred to as FIG. 7, are enlarged cross sectional views depicting an alternative method of making a channel for a PFET using a shallow trench;
  • FIG. 8 a-f, collectively referred to as FIG. 8, are perspective views of various embodiments of FinFET devices; and
  • FIG. 9 depicts a SRAM circuit and is useful when discussing quantization.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • FIGS. 1 and 2 a-2 b are described above and serve as context for understanding the present invention. FIG. 3 depicts in block diagram a FET 10. As known in the art, a source 12 and drain 14 are connected electrically via a channel 16, which is crossed by a gate 18. One or more channels 16 and gates 18 may be present in a single FET 10. As used herein, the length of the channel 16 is the distance from the source 12 to the drain 14 as depicted in FIG. 3.
  • A sectional view of the channel 16 at section line 4′-4′ is depicted at FIG. 4. The FET 10 is disposed on a substrate 20 such as silicon 21 overlain with a layer of buried oxide 22. A channel core 24 is made from a first semiconductor material disposed over the substrate 20. The substrate 20 is preferably silicon based, such as a SIMOX wafer, a bonded wafer, or CZ silicon (silicon wafer from the Czochralski process) as known in the art. Preferably, the channel core 24 is formed atop the buried oxide layer 22 that forms part of the substrate 20. The channel core 24 defines a bottom surface 27 (shown as attached to the substrate 20), a top surface 26 spaced from the substrate 20 and opposed sidewalls 28, 30 disposed between the substrate 20 and the top surface 26. The first semiconductor material from which the channel core 24 is made defines a first natural crystalline lattice structure. As used herein, unless specifically noted otherwise, a natural lattice structure for a particular material (element or compound) refers to the lattice structure of the particular material in question in its natural state, that is, without having been stretched, compressed, or otherwise strained by external manipulation such as was previously described for strained silicon. A compound exhibiting a relaxed lattice structure, wherein the relaxation is due only to annealing or other processing that purposefully removes tensile or compressive forces that would otherwise be present, is not considered herein to be the natural state lattice structure.
  • A channel envelope 32 is disposed to substantially cover that portion of the channel core 24 that is not in direct contact with the substrate 20 when the channel 16 is viewed in cross section, at least at the portion of the channel 16 crossed by the gate 18. Preferably, the channel envelope 32 is coupled to the top surface 26 and both opposed sidewalls 28, 30 of the channel core 24. The channel envelope 32 is formed from a second semiconductor material that defines a second natural crystalline lattice structure that differs from the first lattice structure. The different natural lattice structures of the first and second semiconductor materials cause either tensile or compressive stresses at least at the boundary of the channel core 24 and the channel envelope 32. This mismatch of natural lattices, herein termed a “heterojunction,” facilitates carrier transport where the gate dielectric contacts the heterojunction stack. However, carrier transport is not necessarily maximized at the heterojunction; the main channel for carrier transport may alternately form within one or the other disparate materials, as known in the art, depending upon several factors. The channel core 24 defines a core width wc and a core height hc. Similarly, the channel envelope 32 defines an envelope width we and an envelope height he. Any, or all, of these dimensions may be selected to optimize or otherwise control the combined surface area of the opposed sidewalls 28, 30 and the top surface 26. Where the channel core 24 and/or envelope 32 are not rectangular shaped (as primarily illustrated herein), such as when the channel core 24 is triangular and the channel envelope 32 is disposed over two sides of the channel core 24, the width and height are deemed to be averages for the particular component. Threshold voltage of a FET 10 using the inventive channel 16 described herein can be selected based on the particular materials of either or both of the first and second semiconductor materials, the presence of a dopant in one or the other, or the core 24 and envelope 32 dimensions.
  • Preferably for a PFET, the first semiconductor material that comprises the channel core 24 is silicon, and the second semiconductor material that comprises the channel envelope 32 is a compound comprising silicon and germanium, such as Si0.7Ge0.3. For a NFET, the first semiconductor material that comprises the channel core 24 is preferably a compound comprising silicon and germanium that is processed to exhibit a relaxed lattice structure, and the second semiconductor material that comprises the channel envelope 32 is preferably silicon.
  • The gate 18, which may be formed of poly or metal or other material as known in the art, is disposed over the channel 16 so as to contact the channel envelope 32 through a dielectric layer 33, also termed a gate dielectric, disposed about the channel envelope 32. The dielectric layer 33 may include an oxide, oxynitride, or rare earth oxide (e.g., hafnium oxide). The channel envelope 32 is disposed so as to prevent substantial, and preferably complete, contact between the gate 18 and the channel core 24.
  • FIG. 5 illustrates a preferred method for making a FET 10 in accordance with the teachings herein. FIGS. 5 a-f depict one embodiment, wherein both a PFET channel 34 and a NFET channel 36 are disposed on a single substrate 20.
  • In FIG. 5 a, a substrate 20 including a buried oxide layer (BOX) 22 is provided and overlain with a layer of a first semiconductor material 38, such as silicon. The combination of substrate layer 20, BOX layer 22, and layer of first semiconductor material 38 may be provided by a SIMOX wafer, may be a bonded wafer, or may be provided by a Czochralski process as known in the art. In FIG. 5 b, a first channel core 40 and a second channel core 42 are defined from the layer of first semiconductor material 38 by masking and etching, or by other means known in the art. The length and/or width of the first channel core 40 may differ from that of the second channel core 42. FIG. 5 c depicts a mask 44 (two masks shown) over the second channel core 42 and over all areas except the immediate vicinity of the first channel core 40. Etching or other known processes may be used to remove the mask 44 from contact with opposed sidewall surfaces of the first channel core 40, or to prevent the mask 44 from ever contacting such sidewalls. The first channel core 40 will become the PFET channel 34.
  • FIG. 5 d depicts deposition of a layer of second semiconductor material 46 over the entire wafer. Preferably, the layer of second semiconductor material 46 is a compound of Si and Ge that is deposited via ultra-high vacuum chemical vapor deposition (UHVCVD), but other chemical deposition processes are also compatible. Most preferably, the layer 46 defines a thickness in the range of 5-10 nm, and the thickness of the layer 46 is determined by the concentration of germanium and criterion related to layer thermal stability for such germanium concentration. The entity of FIG. 5 d is planarized in FIG. 5 e to remove that portion of the entire layer of second semiconductor material 46 that overlies the mask 44. The remainder of the mask 44 is removed in FIG. 5 f, leaving the PFET channel 34 and the adjacent NFET channel 36. More recently developed methods deposit SiGe selectively on silicon. Using such a technique, a SiGe layer 46 can be selectively deposited on an exposed silicon channel core 40 and any residual removed via a chemical etch. Where the layer of first semiconductor material 38 is silicon and the layer of second semiconductor material 46 is SiXGe1−x, the channel envelope 32 formed by the SiXGe1−x compound is under compressive stress due to the smaller natural lattice structure of the underlying Si layer 38.
  • An alternative method is depicted in FIG. 6. In FIG. 6 a, a handle wafer 48 includes a buried oxide layer 22 as previously described, and an overlying layer of first semiconductor material 38, such as silicon. FIG. 6 b depicts use of masking and etching to define to define one or more trenches 47 adjacent to a remaining portion 38 a of the layer of first semiconductor material 38. Considering the entire expanse of the handle wafer 48, the trenches 47 and/or the remaining portions 38 a of the layer of first semiconductor material 38 may or may not be contiguous. Parallel to, or at a separate time from, the processing depicted in FIGS. 6 a-6 b, a separate carrier wafer 50 is overlain with a layer of second semiconductor material 46, such as strained or relaxed SiGe, in FIG. 6 c. The carrier wafer 50 with overlayer 46 is then subjected to ion implantation at FIG. 6 c. As known in the art, implantation with ions 52 such as hydrogen or boron ions allows the overlayer 46 to be annealed and separated from the carrier wafer 50 at a temperature generally less than about 600° C., while also providing high quality SiGe free from dislocations. Nearly complete (≧95%) strain relaxation of the SiGe layer 46 can also be achieved. The above process is generally known in the art as a “smart-cut” technique, and is more particularly described by Lijuan Huang et al, ELECTRON AND HOLE MOBILITY ENHANCEMENT IN STRAINED SOI BY WAFER BONDING , IEEE Transactions on Electron Devices., vol. 49, no. 9, September 2002, pp 1566-1571, herein incorporated by reference. At FIG. 6 d, masking and etching are used to define one or more islands 49 from the second layer of semiconductor material 46. The shapes of the islands 49 on the carrier wafer 50 are complementary to the shapes of the trenches 47 on the handle wafer 48. Preferably, a thin oxide layer 51 is disposed over at least the islands 49 to facilitate later bonding to the handle wafer 48. Once the islands 49 are formed, further processing may be according to known finfet processing techniques, such as, for example, sidewall image transfer.
  • FIG. 6 e depicts the structure of FIG. 6 d brought together with the structure of FIG. 6 b. In FIG. 6 e, wherein the carrier wafer 50 is inverted over the handle wafer 48. The islands 49 and trenches 47 are precision aligned with one another, preferably accurate to within 0.25 microns. The anneal process described above for the smart-cut technique is employed to remove the islands 49 from the carrier wafer 50. The islands 49 then lie within the trenches 47, and are bonded to the handle wafer 48 by the thin oxide layer 51. The carrier wafer 50 is removed, preferably for later reuse as a handle wafer after a high temperature anneal and polishing. In some embodiments, vertical etches through the overlayer 46 and selective ion implantation bounded by those vertical etches is used to combine more than one layer (more than one type of semiconductor material) into an island 49. Such an island 49 may be disposed to match a recessed trench 47 on the handle wafer 48 as previously described, or it may be deposited on an elevated surface of silicon or other semiconductor material on the handle wafer 48. These embodiments result in a heterojunction of more than two layers, as described more fully below.
  • FIG. 6 f shows smoothing of the exposed surfaces of the islands 49 and of the remaining portions 38 a, where these surfaces are opposite the handle wafer 48. Smoothing and polishing is used to achieve a substantially uniform height.
  • FIG. 6 g depicts a step wherein both the island 49 and the remainder 38 a are masked and etched, or otherwise processed, to define one or more PFET channel cores 53 and preferably also one or more NFET channel cores 55. While it is preferable to fabricate the PFET and NFET channels simultaneously as described herein, the present invention does not so require. As shown in FIG. 6 h, an epitaxial layer of a semiconductor material different from the first semiconductor material is grown or disposed on the PFET channel cores 53 to form channel envelopes 32, creating a strained silicon or other hetero-layer.
  • The material of the channel envelope 32 may be strained or unstrained, depending upon the desired properties of the resultant channel 16. Maximum lattice discontinuity occurs along the lines defined by either of the opposed sidewall surfaces 28, 30 and the bottom surface 27/top surface 26 of the channel core 24.
  • Preferably, the PFET channel 34 or NFET channel 36 are characterized by a width of about 100-150 Å and a height of about 500-600 Å. These dimensions may change with scaling. Preferably, the strained lattice is disposed along both the sidewalls 28, 30 and the top 26 of the PFET channel 34 or NFET channel 36.
  • One particular application in which the carrier pathway along the strained sidewall can be exploited is in a FET 10 in a static random access memory (SRAM). SRAM is a type of memory that does not need to be refreshed like dynamic RAM (DRAM), so SRAM is generally much faster (typically about 10 ns for SRAM versus about 60 ns for DRAM) and more reliable. In addition, the cycle time (a measurement of how quickly two back-to-back accesses of a memory chip can be made) of SRAM is much shorter than that of DRAM because it does not need to pause between accesses. The design of SRAMs generally assumes FETs in several fixed sizes (quantized). However, the width of the channel 16 is critical for stability in SRAM, and thereby imposes a tradeoff between size and stability. Rather than select a FET with a quantized channel width that may be larger in the x-y plane (the plane of FIG. 3) than desirable, a FET 10 employing a fin according to the present invention may be used to modulate the height of the channel to enable a smaller FET 10 that still ensures SRAM stability. During fabrication, the starting silicon would be at least as high as the highest estimated fin. Selective masking and etching of certain devices within a cell tailors fin height to achieve the desired SRAM stability. Preferably, a slow etch is used to ensure uniformity and fine control over fin height. Additionally, effective channel width can be adjusted by using multiple fins, preferably in a side-by-side relation.
  • FIG. 7 is a series of block diagrams showing process steps in forming a PFET. FIG. 7 depicts a shallow trench isolation (STI) that is imposed between a first semiconductor material, such as SiGe, and a second semiconductor material, such as Si. At FIG. 7 a, a substrate 20 supports a buried oxide layer (BOX) 22, which is overlain with a layer of a first semiconductor material 38, such as silicon. The substrate/BOX/overlayer combination 70 may be a SIMOX wafer, a bonded wafer, or a CZ wafer as previously described and known in the art. At FIG. 7 b, a trench 58 is formed and filled with an oxide or other insulator such as TEOS, as is known in the art. It is noted that the trenching and filling represented by FIG. 7 b is performed in several steps, and the trench 58 divides the layer of first semiconductor material 38 into a first region 62 and a PFET region 64. Alternatively, the trench 58 may be etched, but not filled, without departing from the advantages of the present invention. At FIG. 7 c, a mask layer 44 is selectively disposed over the trench 58 and the first region 62 of the first semiconductor material. In FIG. 7 d, the PFET region 64 is then etched back to a thin layer 60, preferably to a thickness on the order of 10 nm. In FIG. 7 e, either before or after the mask 44 is removed, a layer of second semiconductor material 46 is disposed over the thin layer 60. As shown in FIG. 7 e, the thin layer 60 includes a horizontal surface 67, and a substantially vertical surface 68.
  • Preferably, the second semiconductor material 46 is SiGe of a moderate concentration. For thermally stable fins, 10%-20% Ge concentration is preferable when the thickness of the layer 46 is between about 10-30 nm. It has been found that thicker layers 46 of SiGe, and/or higher concentrations of Ge, will be metastable and may require further thermal processing, though not annealing. Any embodiment of the present invention preferably includes an enveloping layer of gate dielectric or gate oxide. A channel 16 according to the present invention may be part of a FinFET 10, as in FIG. 3, and the FinFET 10 may preferably be part of an integrated circuit 100. The channel 16 may include a channel core 24 that has substantially upstanding sidewalls 28, 30, a top surface 26, and a bottom surface 27, as in FIG. 4, or may be fabricated to define only a horizontal surface 67 and substantially vertical surfaces 68 as in FIG. 7 e. As a further alternative, shown in FIG. 7 f, the channel 16 may have two sidewall surfaces 74 that extend from the substrate 22 and join at an acute or rounded peak 71 so as to define a substantially triangular cross section 75, as opposed to the rectangular cross sections illustrated herein, such as the cross section of the thin layer 60. It is anticipated that a channel defining a non-orthogonal cross section would be optimized using strained silicon on the top and in contact with the gate oxide. The channel envelope 32 may contact any two of the surfaces 26, 27, 28, 30 of the channel core 24, or all such surfaces 26, 28, 30 not contacting the substrate 20. In any embodiment above, a broader surface of the strained lattice structures that carry charge (electrons or holes) is better exposed to the gate 18 as compared to prior art FinFETs, enabling greater control by the gate 18 and more efficient current transport.
  • FIG. 8 represents various additional embodiments of FinFET structures in accordance with the teachings herein. In the embodiments shown in FIG. 8, the structures include a relaxed Si—Ge layer with an overlying strained Si layer. This combination provides for improved electron-hole mobility.
  • The channel 16 shown in FIGS. 8A-B, is formed of a channel core 24 and is overlain with the channel envelope 32, as is shown in FIG. 4. As shown in FIG. 8, the substrate 20 includes the buried oxide layer 22, and the Si layer 21. Each structure depicted in FIG. 8 includes the source 12, a drain 14, the gate 18, and the channel 16. Also shown is a dielectric layer 33 disposed between the channel 16 and the various gates. As the channel 16 is internal to the structures shown in FIGS. 8C-E, and is therefore not visible, the channel 16 is only depicted in FIGS. 8A-B and 8F. Regardless, each of the embodiments of FIGS. 8A-8F may include the channel core 24 and channel envelope 32 as previously described.
  • In FIG. 8A, a single gate FinFET 90 is shown. In this embodiment, the channel 16 includes a relaxed Si—Ge layer, and a strained Si layer. A thin oxide layer 33 is disposed between the channel 16 and a single gate 95. FIG. 8B represents a double gate 91 embodiment of a FinFET. In addition to the single gate 95 shown in FIG. 8A, a second gate 96 is presented. In this embodiment, the second gate 96, is buried within a trench in the buried oxide layer 22. FIG. 8C represents a triple gate FinFET 92, wherein a triple gate 97 is formed over the thin oxide layer 33, which is disposed over the channel 16 (shown in FIGS. 8A-B). FIG. 8D represents a quadruple gate FinFET 93, wherein a quadruple gate 98 effectively surrounds the channel 16. In FIG. 8D, a portion of the quadruple gate 98 is submerged in the buried oxide layer 22. In FIG. 8E, a FinFET 94 having a Pi gate 99 is shown. The Pi gate 99 extends downward, and at least partially into the buried oxide layer 22.
  • FIG. 8F is another embodiment of a double gate FinFET 87, defining a first gate 88 and a second gate 89. The FinFET of FIG. 8F may be formed, for example, by polishing the FinFET of FIG. 8C until the portion of the triple gate 97 of FIG. 8C that overlies the channel 16 is removed, leaving the two gates 88, 89 of FIG. 8F. Preferably, the channel includes strained silicon germanium. One advantage in the gate arrangement of FIG. 8F is that each gate 88, 89 may be independently controlled so that, for example, the first gate 88 is a typical FinFET gate and the second gate 89 may apply variable voltage. In this manner, the second gate 89 is a back gate to control a depletion region, when current between the source 12 and drain 14 is switched between on and off. Such a second gate 89 better controls leakages and performance of the first gate 88 by use of the variable voltage; so that only the minimum voltage necessary to control leakage current is applied.
  • Depositing the strained Si layer on the quadruple gate FinFET 93 and the Pi gate FinFET 94, wherein the FinFET 93, 94 are PFET (and not NFET), improves mobility within the PFET, while preserving beneficial aspects of the PFET and the NFET. Further it is noted that depositing strained Si layers in the PFET in the [110] direction also improves the mobility. The [110] direction is at a 45° angle to the crystalline axes.
  • FIG. 9 depicts one embodiment of a six transistor SRAM unit cell. For SRAM FinFET quantization, preferably, PFET quantization is carried out on the smallest device in a six-transistor conventional SRAM (e.g., NFET pass gate 77 (Pg) and pull-up PFET 76 (PL) is smaller in size). Thus, fin height quantization can be based on individual devices, or bucketing pass gate NFET and pull up PFET into one quanta height and larger NFET 78 (Cc) into another. Alternatively, a smaller fin height, which is employed in multiples of NFET and PFET devices of the embodiment shown in FIGS. 8A-F, may be used. This quantization will help to make a robust and stable cell.
  • While described in the context of presently preferred embodiments, various modifications of and alterations to the foregoing embodiments can be made with normal skill in the art, and all such modifications and alterations remain within the scope of this invention and the ensuing claims. Examples herein are considered to be illustrative and not exhaustive of the teachings of this invention.

Claims (9)

1-20. (canceled)
21. A field effect transistor (FET) comprising:
a source, a drain, a channel, a gate electrode, and a gate dielectric, wherein the channel comprises a channel core defining a bottom surface and a top surface spaced from the bottom surface by laterally opposed sidewall surfaces disposed between the bottom surface and the top surface, wherein the channel core comprises a first semiconductor material defining a first lattice structure; the channel further comprising a channel envelope in contact with at least the top surface of the channel core, wherein the channel envelope comprises a second semiconductor material defining a second lattice structure that differs from the first lattice structure,
wherein one of the first and second lattice structures is one of stretched and compressed; and, wherein the gate electrode is coupled through the gate dielectric to the channel envelope only at a top surface of the channel envelope that is opposed to the top surface of the channel core.
22. The FET of claim 21, wherein the first lattice structure is relaxed relative to the second lattice structure.
23-35. (canceled)
36. The field effect transistor of claim 21, wherein the first lattice structure is compressed relative to the second lattice structure.
37. The field effect transistor of claim 36, wherein the second lattice structure is one of relaxed or stretched.
38. The field effect transistor of claim 21, wherein one of the first and second semiconductor materials comprises silicon and germanium.
39. The field effect transistor of claim 21, wherein the sidewall surfaces are parallel to a direction of current flow and are spaced from one another by a width that is less than or equal to a height of the sidewall surfaces.
40. The field effect transistor of claim 21, wherein the sidewall surfaces are perpendicular to a substrate on which the field effect transistor is disposed.
US11/969,992 2003-07-21 2008-01-07 FET Channel Having a Strained Lattice Structure Along Multiple Surfaces Abandoned US20080105898A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/969,992 US20080105898A1 (en) 2003-07-21 2008-01-07 FET Channel Having a Strained Lattice Structure Along Multiple Surfaces

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US10/626,760 US6921982B2 (en) 2003-07-21 2003-07-21 FET channel having a strained lattice structure along multiple surfaces
US11/137,811 US7198990B2 (en) 2003-07-21 2005-05-24 Method for making a FET channel
US11/621,290 US20070111406A1 (en) 2003-07-21 2007-01-09 FET Channel Having a Strained Lattice Structure Along Multiple Surfaces
US11/969,992 US20080105898A1 (en) 2003-07-21 2008-01-07 FET Channel Having a Strained Lattice Structure Along Multiple Surfaces

Related Parent Applications (3)

Application Number Title Priority Date Filing Date
US10/626,760 Division US6921982B2 (en) 2003-07-21 2003-07-21 FET channel having a strained lattice structure along multiple surfaces
US11/137,811 Division US7198990B2 (en) 2003-07-21 2005-05-24 Method for making a FET channel
US11/621,290 Division US20070111406A1 (en) 2003-07-21 2007-01-09 FET Channel Having a Strained Lattice Structure Along Multiple Surfaces

Publications (1)

Publication Number Publication Date
US20080105898A1 true US20080105898A1 (en) 2008-05-08

Family

ID=34080479

Family Applications (5)

Application Number Title Priority Date Filing Date
US10/626,760 Expired - Lifetime US6921982B2 (en) 2003-07-21 2003-07-21 FET channel having a strained lattice structure along multiple surfaces
US11/137,811 Expired - Lifetime US7198990B2 (en) 2003-07-21 2005-05-24 Method for making a FET channel
US11/621,290 Abandoned US20070111406A1 (en) 2003-07-21 2007-01-09 FET Channel Having a Strained Lattice Structure Along Multiple Surfaces
US11/969,992 Abandoned US20080105898A1 (en) 2003-07-21 2008-01-07 FET Channel Having a Strained Lattice Structure Along Multiple Surfaces
US11/970,011 Abandoned US20080105900A1 (en) 2003-07-21 2008-01-07 FET Channel Having a Strained Lattice Structure Along Multiple Surfaces

Family Applications Before (3)

Application Number Title Priority Date Filing Date
US10/626,760 Expired - Lifetime US6921982B2 (en) 2003-07-21 2003-07-21 FET channel having a strained lattice structure along multiple surfaces
US11/137,811 Expired - Lifetime US7198990B2 (en) 2003-07-21 2005-05-24 Method for making a FET channel
US11/621,290 Abandoned US20070111406A1 (en) 2003-07-21 2007-01-09 FET Channel Having a Strained Lattice Structure Along Multiple Surfaces

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/970,011 Abandoned US20080105900A1 (en) 2003-07-21 2008-01-07 FET Channel Having a Strained Lattice Structure Along Multiple Surfaces

Country Status (6)

Country Link
US (5) US6921982B2 (en)
EP (1) EP1652235A4 (en)
KR (1) KR100773009B1 (en)
CN (1) CN100479158C (en)
TW (1) TWI281248B (en)
WO (1) WO2005010944A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110051535A1 (en) * 2009-09-02 2011-03-03 Qualcomm Incorporated Fin-Type Device System and Method
CN102074582A (en) * 2009-11-20 2011-05-25 台湾积体电路制造股份有限公司 Integrated circuit structure and formation method thereof
CN103515420A (en) * 2012-06-26 2014-01-15 中芯国际集成电路制造(上海)有限公司 Semiconductor device and formation method thereof

Families Citing this family (349)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6503783B1 (en) * 2000-08-31 2003-01-07 Micron Technology, Inc. SOI CMOS device with reduced DIBL
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
KR100483425B1 (en) * 2003-03-17 2005-04-14 삼성전자주식회사 Semiconductor device and method for manufacturing the same
EP1643560A4 (en) * 2003-05-30 2007-04-11 Matsushita Electric Ind Co Ltd Semiconductor device and method for manufacturing same
US6909151B2 (en) * 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US7307467B2 (en) * 2006-04-28 2007-12-11 International Business Machines Corporation Structure and method for implementing oxide leakage based voltage divider network for integrated circuit devices
US7355253B2 (en) * 2003-08-22 2008-04-08 International Business Machines Corporation Strained-channel Fin field effect transistor (FET) with a uniform channel thickness and separate gates
US6970373B2 (en) * 2003-10-02 2005-11-29 Intel Corporation Method and apparatus for improving stability of a 6T CMOS SRAM cell
US7091566B2 (en) * 2003-11-20 2006-08-15 International Business Machines Corp. Dual gate FinFet
KR100585111B1 (en) * 2003-11-24 2006-06-01 삼성전자주식회사 Non-planar transistor having germanium channel region and method for forming the same
KR100571827B1 (en) * 2003-12-17 2006-04-17 삼성전자주식회사 Thin film transistor and method of manufacturing the same
US7624192B2 (en) * 2003-12-30 2009-11-24 Microsoft Corporation Framework for user interaction with multiple network devices
US7105390B2 (en) * 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US7268058B2 (en) * 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
US7180134B2 (en) * 2004-01-30 2007-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and structures for planar and multiple-gate transistors formed on SOI
US7365398B2 (en) * 2004-02-11 2008-04-29 Cornell Research Foundation, Inc. Compact SRAMs and other multiple transistor structures
US6872640B1 (en) * 2004-03-16 2005-03-29 Micron Technology, Inc. SOI CMOS device with reduced DIBL
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
KR100625175B1 (en) * 2004-05-25 2006-09-20 삼성전자주식회사 Semiconductor device having a channel layer and method of manufacturing the same
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7009250B1 (en) * 2004-08-20 2006-03-07 Micron Technology, Inc. FinFET device with reduced DIBL
US7679145B2 (en) * 2004-08-31 2010-03-16 Intel Corporation Transistor performance enhancement using engineered strains
KR100674914B1 (en) * 2004-09-25 2007-01-26 삼성전자주식회사 MOS transistor having strained channel layer and methods of manufacturing thereof
US7422946B2 (en) * 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7393733B2 (en) 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US7193279B2 (en) * 2005-01-18 2007-03-20 Intel Corporation Non-planar MOS structure with a strained channel region
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US20060202266A1 (en) 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
TWI259585B (en) * 2005-03-21 2006-08-01 Powerchip Semiconductor Corp Split gate flash memory and manufacturing method thereof
US9153645B2 (en) 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US7532501B2 (en) * 2005-06-02 2009-05-12 International Business Machines Corporation Semiconductor device including back-gated transistors and method of fabricating the device
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7279375B2 (en) * 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7402875B2 (en) * 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US20070090416A1 (en) * 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US20070090408A1 (en) * 2005-09-29 2007-04-26 Amlan Majumdar Narrow-body multiple-gate FET with dominant body transistor for high performance
US7615806B2 (en) 2005-10-31 2009-11-10 Freescale Semiconductor, Inc. Method for forming a semiconductor structure and structure thereof
US7575975B2 (en) * 2005-10-31 2009-08-18 Freescale Semiconductor, Inc. Method for forming a planar and vertical semiconductor structure having a strained semiconductor layer
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
DE102005059231B4 (en) * 2005-12-12 2011-01-13 Infineon Technologies Ag A method of fabricating a compound semiconductor field effect transistor having a fin structure and compound semiconductor field effect transistor having a fin structure
US7396711B2 (en) * 2005-12-27 2008-07-08 Intel Corporation Method of fabricating a multi-cornered film
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US7908578B2 (en) 2007-08-02 2011-03-15 Tela Innovations, Inc. Methods for designing semiconductor device with dynamic array section
WO2007112066A2 (en) 2006-03-24 2007-10-04 Amberwave Systems Corporation Lattice-mismatched semiconductor structures and related methods for device fabrication
US7449373B2 (en) * 2006-03-31 2008-11-11 Intel Corporation Method of ion implanting for tri-gate devices
EP2013883A2 (en) * 2006-04-24 2009-01-14 Nxp B.V. Static random access memory means
US7579897B2 (en) * 2006-04-28 2009-08-25 International Business Machines Corporation Design structure for implementing oxide leakage based voltage divider network for integrated circuit devices
US7422960B2 (en) 2006-05-17 2008-09-09 Micron Technology, Inc. Method of forming gate arrays on a partial SOI substrate
US7646071B2 (en) * 2006-05-31 2010-01-12 Intel Corporation Asymmetric channel doping for improved memory operation for floating body cell (FBC) memory
KR100745885B1 (en) * 2006-07-28 2007-08-02 주식회사 하이닉스반도체 Semiconductor device and method for fabricating the same
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
US7537994B2 (en) 2006-08-28 2009-05-26 Micron Technology, Inc. Methods of forming semiconductor devices, assemblies and constructions
WO2008030574A1 (en) 2006-09-07 2008-03-13 Amberwave Systems Corporation Defect reduction using aspect ratio trapping
WO2008039495A1 (en) 2006-09-27 2008-04-03 Amberwave Systems Corporation Tri-gate field-effect transistors formed by aspect ratio trapping
US7875958B2 (en) 2006-09-27 2011-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum tunneling devices and circuits with lattice-mismatched semiconductor structures
WO2008051503A2 (en) 2006-10-19 2008-05-02 Amberwave Systems Corporation Light-emitter-based devices with lattice-mismatched semiconductor structures
FR2911004B1 (en) * 2006-12-28 2009-05-15 Commissariat Energie Atomique METHOD FOR MAKING ASYMMETRIC DOUBLE-GRID TRANSISTORS FOR THE PRODUCTION OF ASYMMETRICAL AND SYMMETRICAL DOUBLE-GRID TRANSISTORS ON THE SAME SUBSTRATE
KR100823874B1 (en) * 2006-12-28 2008-04-21 경북대학교 산학협력단 High density fin field effect transistor having low leakage current and method of manufacturing the finfet
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US7859081B2 (en) * 2007-03-29 2010-12-28 Intel Corporation Capacitor, method of increasing a capacitance area of same, and system containing same
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US7825328B2 (en) 2007-04-09 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
WO2008124154A2 (en) 2007-04-09 2008-10-16 Amberwave Systems Corporation Photovoltaics on silicon
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
EP1993136A1 (en) * 2007-05-14 2008-11-19 Interuniversitair Microelektronica Centrum (IMEC) Multi-gate MOSFET device and method of manufacturing same
US7696040B2 (en) * 2007-05-30 2010-04-13 International Business Machines Corporation Method for fabrication of fin memory structure
US8329541B2 (en) 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
US7674669B2 (en) * 2007-09-07 2010-03-09 Micron Technology, Inc. FIN field effect transistor
KR101093588B1 (en) 2007-09-07 2011-12-15 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Multi-junction solar cells
US7710765B2 (en) * 2007-09-27 2010-05-04 Micron Technology, Inc. Back gated SRAM cell
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
EP2257974A1 (en) * 2008-02-26 2010-12-08 Nxp B.V. Method for manufacturing semiconductor device and semiconductor device
US8410554B2 (en) * 2008-03-26 2013-04-02 International Business Machines Corporation Method, structure and design structure for customizing history effects of SOI circuits
US8420460B2 (en) 2008-03-26 2013-04-16 International Business Machines Corporation Method, structure and design structure for customizing history effects of SOI circuits
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
KR101505494B1 (en) * 2008-04-30 2015-03-24 한양대학교 산학협력단 Cap-less memory device
KR100968151B1 (en) * 2008-05-06 2010-07-06 주식회사 하이닉스반도체 Semiconductor device with channel of FIN structure and the method for manufacturing the same
US8183667B2 (en) 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
US7902000B2 (en) * 2008-06-04 2011-03-08 International Business Machines Corporation MugFET with stub source and drain regions
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US8274097B2 (en) 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
SG10201608214SA (en) 2008-07-16 2016-11-29 Tela Innovations Inc Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US7723178B2 (en) * 2008-07-18 2010-05-25 International Business Machines Corporation Shallow and deep trench isolation structures in semiconductor integrated circuits
US9122832B2 (en) 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
KR101216541B1 (en) 2008-09-19 2012-12-31 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Formation of devices by epitaxial layer overgrowth
US20100072515A1 (en) 2008-09-19 2010-03-25 Amberwave Systems Corporation Fabrication and structures of crystalline material
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
US8361871B2 (en) 2008-12-24 2013-01-29 Intel Corporation Trigate static random-access memory with independent source and drain engineering, and devices made therefrom
DE102008063427B4 (en) * 2008-12-31 2013-02-28 Advanced Micro Devices, Inc. A method of selectively fabricating a transistor having an embedded strain inducing material having a gradually shaped configuration
JP5705207B2 (en) 2009-04-02 2015-04-22 台湾積體電路製造股▲ふん▼有限公司Taiwan Semiconductor Manufacturing Company,Ltd. Device formed from non-polar surface of crystalline material and method of manufacturing the same
US8395191B2 (en) 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US8378715B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method to construct systems
US8427200B2 (en) 2009-04-14 2013-04-23 Monolithic 3D Inc. 3D semiconductor device
US9577642B2 (en) 2009-04-14 2017-02-21 Monolithic 3D Inc. Method to form a 3D semiconductor device
US8405420B2 (en) 2009-04-14 2013-03-26 Monolithic 3D Inc. System comprising a semiconductor device and structure
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US8373439B2 (en) 2009-04-14 2013-02-12 Monolithic 3D Inc. 3D semiconductor device
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
US8058137B1 (en) 2009-04-14 2011-11-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9711407B2 (en) 2009-04-14 2017-07-18 Monolithic 3D Inc. Method of manufacturing a three dimensional integrated circuit by transfer of a mono-crystalline layer
US8384426B2 (en) 2009-04-14 2013-02-26 Monolithic 3D Inc. Semiconductor device and structure
US20110199116A1 (en) * 2010-02-16 2011-08-18 NuPGA Corporation Method for fabrication of a semiconductor device and structure
US7986042B2 (en) 2009-04-14 2011-07-26 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8754533B2 (en) 2009-04-14 2014-06-17 Monolithic 3D Inc. Monolithic three-dimensional semiconductor device and structure
US8362482B2 (en) 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US9768305B2 (en) * 2009-05-29 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Gradient ternary or quaternary multiple-gate transistor
US8362568B2 (en) * 2009-08-28 2013-01-29 International Business Machines Corporation Recessed contact for multi-gate FET optimizing series resistance
US8178400B2 (en) * 2009-09-28 2012-05-15 International Business Machines Corporation Replacement spacer for tunnel FETs
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US8581349B1 (en) 2011-05-02 2013-11-12 Monolithic 3D Inc. 3D memory semiconductor device and structure
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US8476145B2 (en) 2010-10-13 2013-07-02 Monolithic 3D Inc. Method of fabricating a semiconductor device and structure
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US8294159B2 (en) 2009-10-12 2012-10-23 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US8536023B2 (en) * 2010-11-22 2013-09-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device and structure
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US8450804B2 (en) 2011-03-06 2013-05-28 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8742476B1 (en) 2012-11-27 2014-06-03 Monolithic 3D Inc. Semiconductor device and structure
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US8263477B2 (en) * 2010-01-08 2012-09-11 International Business Machines Corporation Structure for use in fabrication of PiN heterojunction TFET
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US8541819B1 (en) 2010-12-09 2013-09-24 Monolithic 3D Inc. Semiconductor device and structure
US8461035B1 (en) 2010-09-30 2013-06-11 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8492886B2 (en) 2010-02-16 2013-07-23 Monolithic 3D Inc 3D integrated circuit with logic
US8026521B1 (en) 2010-10-11 2011-09-27 Monolithic 3D Inc. Semiconductor device and structure
US8373230B1 (en) 2010-10-13 2013-02-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8258031B2 (en) 2010-06-15 2012-09-04 International Business Machines Corporation Fabrication of a vertical heterojunction tunnel-FET
DE102010038742B4 (en) * 2010-07-30 2016-01-21 Globalfoundries Dresden Module One Llc & Co. Kg Method and semiconductor device based on a deformation technology in three-dimensional transistors based on a deformed channel semiconductor material
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
US8901613B2 (en) 2011-03-06 2014-12-02 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US9219005B2 (en) 2011-06-28 2015-12-22 Monolithic 3D Inc. Semiconductor system and device
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US8642416B2 (en) 2010-07-30 2014-02-04 Monolithic 3D Inc. Method of forming three dimensional integrated circuit devices using layer transfer technique
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US8273610B2 (en) 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US8163581B1 (en) 2010-10-13 2012-04-24 Monolith IC 3D Semiconductor and optoelectronic devices
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US8114757B1 (en) 2010-10-11 2012-02-14 Monolithic 3D Inc. Semiconductor device and structure
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US8379458B1 (en) 2010-10-13 2013-02-19 Monolithic 3D Inc. Semiconductor device and structure
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US9197804B1 (en) 2011-10-14 2015-11-24 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
JP5325932B2 (en) * 2011-05-27 2013-10-23 株式会社東芝 Semiconductor device and manufacturing method thereof
US9761666B2 (en) * 2011-06-16 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel field effect transistor
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
CN102903750B (en) * 2011-07-27 2015-11-25 中国科学院微电子研究所 A kind of semiconductor FET transistor structure and preparation method thereof
CN102956700B (en) * 2011-08-30 2015-06-24 中国科学院微电子研究所 Semiconductor structure and manufacturing method thereof
US8841701B2 (en) * 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US8687399B2 (en) 2011-10-02 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US9029173B2 (en) 2011-10-18 2015-05-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
TWI571936B (en) * 2011-10-26 2017-02-21 聯華電子股份有限公司 Structure of field effect transistor with fin structure and fabricating method thereof
US9029956B2 (en) 2011-10-26 2015-05-12 Global Foundries, Inc. SRAM cell with individual electrical device threshold control
US9048136B2 (en) 2011-10-26 2015-06-02 GlobalFoundries, Inc. SRAM cell with individual electrical device threshold control
CN103137685B (en) 2011-11-24 2015-09-30 中芯国际集成电路制造(北京)有限公司 Semiconductor device and manufacture method thereof
CN103137671B (en) * 2011-12-02 2015-06-24 中芯国际集成电路制造(上海)有限公司 Multi-grid field effect transistor and manufacturing method thereof
AU2013207719B2 (en) * 2012-01-13 2016-02-25 Tela Innovations, Inc. Circuits with linear finfet structures
US8587068B2 (en) * 2012-01-26 2013-11-19 International Business Machines Corporation SRAM with hybrid FinFET and planar transistors
US8809178B2 (en) 2012-02-29 2014-08-19 Globalfoundries Inc. Methods of forming bulk FinFET devices with replacement gates so as to reduce punch through leakage currents
US9000557B2 (en) 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US8669147B2 (en) 2012-06-11 2014-03-11 Globalfoundries Inc. Methods of forming high mobility fin channels on three dimensional semiconductor devices
US8847281B2 (en) 2012-07-27 2014-09-30 Intel Corporation High mobility strained channels for fin-based transistors
US8574929B1 (en) 2012-11-16 2013-11-05 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US8686428B1 (en) 2012-11-16 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US9917018B2 (en) 2012-12-04 2018-03-13 Synopsys, Inc. Method and apparatus with channel stop doped devices
EP2741337B1 (en) 2012-12-07 2018-04-11 IMEC vzw Semiconductor heterostructure field effect transistor and method for making thereof
KR101402697B1 (en) * 2012-12-11 2014-06-03 한국과학기술원 Independent and symmetric double gated electron-hole bilayer tunnel field effect transistor and its fabrication method
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
KR101368191B1 (en) 2013-01-03 2014-02-28 한국과학기술원 Proposal and fabrication method of vertically structured electron-hole bilayer tunnel field effect transistor with independent and symmetric double gate
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
JP6251604B2 (en) * 2013-03-11 2017-12-20 ルネサスエレクトロニクス株式会社 Semiconductor device having fin FET structure and manufacturing method thereof
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US8963258B2 (en) * 2013-03-13 2015-02-24 Taiwan Semiconductor Manufacturing Company FinFET with bottom SiGe layer in source/drain
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
KR102038486B1 (en) 2013-04-09 2019-10-30 삼성전자 주식회사 Semiconductor device and method for fabricating the same
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US9021414B1 (en) 2013-04-15 2015-04-28 Monolithic 3D Inc. Automation for monolithic 3D devices
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US9337109B2 (en) 2013-05-24 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-threshold voltage FETs
US8895395B1 (en) 2013-06-06 2014-11-25 International Business Machines Corporation Reduced resistance SiGe FinFET devices and method of forming same
US9293466B2 (en) 2013-06-19 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded SRAM and methods of forming the same
CN104347408B (en) * 2013-07-31 2017-12-26 中芯国际集成电路制造(上海)有限公司 Semiconductor device and its manufacture method
US9263455B2 (en) 2013-07-23 2016-02-16 Micron Technology, Inc. Methods of forming an array of conductive lines and methods of forming an array of recessed access gate lines
US9209094B2 (en) 2013-07-29 2015-12-08 Globalfoundries Inc. Fin field effect transistor with dielectric isolation and anchored stressor elements
CN104347407B (en) * 2013-07-31 2017-10-31 中芯国际集成电路制造(上海)有限公司 Semiconductor device and its manufacture method
US9396931B2 (en) * 2013-08-01 2016-07-19 Qualcomm Incorporated Method of forming fins from different materials on a substrate
US9070770B2 (en) * 2013-08-27 2015-06-30 International Business Machines Corporation Low interfacial defect field effect transistor
US9224866B2 (en) 2013-08-27 2015-12-29 Globalfoundries Inc. Suspended body field effect transistor
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US9214513B2 (en) * 2014-02-13 2015-12-15 Taiwan Semiconductor Manufacturing Company Limited Fin structure and method for forming the same
KR102094535B1 (en) 2014-03-21 2020-03-30 삼성전자주식회사 Transistor and method for fabricating the same
US9466669B2 (en) 2014-05-05 2016-10-11 Samsung Electronics Co., Ltd. Multiple channel length finFETs with same physical gate length
US9425275B2 (en) * 2014-06-13 2016-08-23 Samsung Electronics Co., Ltd. Integrated circuit chips having field effect transistors with different gate designs
US9165945B1 (en) * 2014-09-18 2015-10-20 Soitec Method for fabricating semiconductor structures including transistor channels having different strain states, and related semiconductor structures
US9219150B1 (en) 2014-09-18 2015-12-22 Soitec Method for fabricating semiconductor structures including fin structures with different strain states, and related semiconductor structures
US9209301B1 (en) 2014-09-18 2015-12-08 Soitec Method for fabricating semiconductor layers including transistor channels having different strain states, and related semiconductor layers
CN105552124B (en) * 2014-10-30 2018-11-16 中芯国际集成电路制造(上海)有限公司 Fin field effect pipe and forming method thereof
US9455274B2 (en) 2015-01-30 2016-09-27 International Business Machines Corporation Replacement fin process in SSOI wafer
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US10833175B2 (en) * 2015-06-04 2020-11-10 International Business Machines Corporation Formation of dislocation-free SiGe finFET using porous silicon
CN106252228B (en) * 2015-06-11 2019-11-08 中国科学院微电子研究所 A kind of forming method of compound fin
US10374042B2 (en) * 2015-08-31 2019-08-06 International Business Machines Corporation Semiconductor device including epitaxially formed buried channel region
US9362383B1 (en) 2015-09-17 2016-06-07 International Business Machines Corporation Highly scaled tunnel FET with tight pitch and method to fabricate same
US10515981B2 (en) 2015-09-21 2019-12-24 Monolithic 3D Inc. Multilevel semiconductor device and structure with memory
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US9496260B1 (en) * 2015-12-09 2016-11-15 International Business Machines Corporation Tall strained high percentage silicon germanium fins for CMOS
US9634009B1 (en) 2015-12-18 2017-04-25 International Business Machines Corporation System and method for source-drain extension in FinFETs
US10447207B2 (en) * 2016-08-08 2019-10-15 Skyworks Solutions, Inc. Switch with envelope injection
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11024369B1 (en) 2019-11-18 2021-06-01 International Business Machines Corporation Static random-access memory cell design

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5534713A (en) * 1994-05-20 1996-07-09 International Business Machines Corporation Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers
US5759898A (en) * 1993-10-29 1998-06-02 International Business Machines Corporation Production of substrate for tensilely strained semiconductor
US6252284B1 (en) * 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device
US6300182B1 (en) * 2000-12-11 2001-10-09 Advanced Micro Devices, Inc. Field effect transistor having dual gates with asymmetrical doping for reduced threshold voltage
US20020036290A1 (en) * 2000-09-28 2002-03-28 Kabushiki Kaisha Toshiba Semiconductor device having MIS field effect transistors or three-dimensional structure
US6458662B1 (en) * 2001-04-04 2002-10-01 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having an asymmetrical dual-gate silicon-germanium (SiGe) channel MOSFET and a device thereby formed
US6475869B1 (en) * 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
US20030178677A1 (en) * 2002-03-19 2003-09-25 International Business Machines Corporation Strained fin fets structure and method
US20030227036A1 (en) * 2002-02-22 2003-12-11 Naoharu Sugiyama Semiconductor device
US6765303B1 (en) * 2003-05-06 2004-07-20 Advanced Micro Devices, Inc. FinFET-based SRAM cell
US6803631B2 (en) * 2003-01-23 2004-10-12 Advanced Micro Devices, Inc. Strained channel finfet

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3443343B2 (en) * 1997-12-03 2003-09-02 松下電器産業株式会社 Semiconductor device
JP2001358233A (en) * 2000-06-15 2001-12-26 Hitachi Ltd Semiconductor integrated circuit device and its manufacturing method
KR100467527B1 (en) * 2001-06-21 2005-01-24 재단법인서울대학교산학협력재단 Double-gate MOSFET and method for fabricating the same
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US6800910B2 (en) * 2002-09-30 2004-10-05 Advanced Micro Devices, Inc. FinFET device incorporating strained silicon in the channel region
US6787864B2 (en) * 2002-09-30 2004-09-07 Advanced Micro Devices, Inc. Mosfets incorporating nickel germanosilicided gate and methods for their formation

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5759898A (en) * 1993-10-29 1998-06-02 International Business Machines Corporation Production of substrate for tensilely strained semiconductor
US5534713A (en) * 1994-05-20 1996-07-09 International Business Machines Corporation Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers
US6252284B1 (en) * 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device
US6432829B2 (en) * 1999-12-09 2002-08-13 International Business Machines Corporation Process for making planarized silicon fin device
US20020036290A1 (en) * 2000-09-28 2002-03-28 Kabushiki Kaisha Toshiba Semiconductor device having MIS field effect transistors or three-dimensional structure
US6300182B1 (en) * 2000-12-11 2001-10-09 Advanced Micro Devices, Inc. Field effect transistor having dual gates with asymmetrical doping for reduced threshold voltage
US6475869B1 (en) * 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
US6458662B1 (en) * 2001-04-04 2002-10-01 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having an asymmetrical dual-gate silicon-germanium (SiGe) channel MOSFET and a device thereby formed
US20030227036A1 (en) * 2002-02-22 2003-12-11 Naoharu Sugiyama Semiconductor device
US6774390B2 (en) * 2002-02-22 2004-08-10 Kabushiki Kaisha Toshiba Semiconductor device
US20030178677A1 (en) * 2002-03-19 2003-09-25 International Business Machines Corporation Strained fin fets structure and method
US6635909B2 (en) * 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
US6803631B2 (en) * 2003-01-23 2004-10-12 Advanced Micro Devices, Inc. Strained channel finfet
US6765303B1 (en) * 2003-05-06 2004-07-20 Advanced Micro Devices, Inc. FinFET-based SRAM cell

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110051535A1 (en) * 2009-09-02 2011-03-03 Qualcomm Incorporated Fin-Type Device System and Method
WO2011028796A1 (en) * 2009-09-02 2011-03-10 Qualcomm Incorporated Fin-type device system and method
CN102576730A (en) * 2009-09-02 2012-07-11 高通股份有限公司 Fin-type device system and method
US8796777B2 (en) 2009-09-02 2014-08-05 Qualcomm Incorporated Fin-type device system and method
US9698267B2 (en) 2009-09-02 2017-07-04 Qualcomm Incorporated Fin-type device system and method
CN102074582A (en) * 2009-11-20 2011-05-25 台湾积体电路制造股份有限公司 Integrated circuit structure and formation method thereof
CN103515420A (en) * 2012-06-26 2014-01-15 中芯国际集成电路制造(上海)有限公司 Semiconductor device and formation method thereof

Also Published As

Publication number Publication date
US6921982B2 (en) 2005-07-26
US20050017377A1 (en) 2005-01-27
WO2005010944A3 (en) 2005-08-11
EP1652235A2 (en) 2006-05-03
US20080105900A1 (en) 2008-05-08
TWI281248B (en) 2007-05-11
WO2005010944A2 (en) 2005-02-03
KR20060035746A (en) 2006-04-26
TW200516762A (en) 2005-05-16
CN1826690A (en) 2006-08-30
US20050218427A1 (en) 2005-10-06
KR100773009B1 (en) 2007-11-05
CN100479158C (en) 2009-04-15
US20070111406A1 (en) 2007-05-17
US7198990B2 (en) 2007-04-03
EP1652235A4 (en) 2008-09-17

Similar Documents

Publication Publication Date Title
US6921982B2 (en) FET channel having a strained lattice structure along multiple surfaces
US10418488B2 (en) Method to form strained channel in thin box SOI structures by elastic strain relaxation of the substrate
US10741456B2 (en) Vertically stacked nanosheet CMOS transistor
US6767793B2 (en) Strained fin FETs structure and method
TWI658592B (en) Thermionically-overdriven tunnel fets and methods of fabricating and operating the same
CN100411180C (en) Semiconductor structure and method for manufacturing semiconductor structure
JP4521542B2 (en) Semiconductor device and semiconductor substrate
US7364958B2 (en) CMOS on hybrid substrate with different crystal orientations using silicon-to-silicon direct wafer bonding
US8247275B2 (en) Strain engineering in three-dimensional transistors based on globally strained semiconductor base layers
US7759191B2 (en) Vertical SOI transistor memory cell and method of forming the same
WO2009052224A2 (en) Semiconductor structures with rare-earths
US7923346B2 (en) Field effect transistor structure with an insulating layer at the junction
WO2020174354A1 (en) Transistor channel having vertically stacked nanosheets coupled by fin-shaped bridge regions
Kim et al. 122 Mb high speed SRAM cell with 25 nm gate length multi-bridge-channel MOSFET (MBCFET) on bulk Si substrate
US11688741B2 (en) Gate-all-around devices with isolated and non-isolated epitaxy regions for strain engineering
CN116705796A (en) Longitudinally stacked grid self-aligned HVTFET integrated circuit structure

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: MICROSOFT CORPORATION, WASHINGTON

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:027102/0539

Effective date: 20110930

AS Assignment

Owner name: MICROSOFT TECHNOLOGY LICENSING, LLC, WASHINGTON

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:MICROSOFT CORPORATION;REEL/FRAME:034766/0509

Effective date: 20141014