US20080066680A1 - Sequential chemical vapor deposition - Google Patents

Sequential chemical vapor deposition Download PDF

Info

Publication number
US20080066680A1
US20080066680A1 US11/948,794 US94879407A US2008066680A1 US 20080066680 A1 US20080066680 A1 US 20080066680A1 US 94879407 A US94879407 A US 94879407A US 2008066680 A1 US2008066680 A1 US 2008066680A1
Authority
US
United States
Prior art keywords
vapor
reactant
reaction chamber
source
controller
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/948,794
Inventor
Arthur Sherman
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM America Inc
Original Assignee
ASM America Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=23121926&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=US20080066680(A1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Priority claimed from US08/669,002 external-priority patent/US5835352A/en
Application filed by ASM America Inc filed Critical ASM America Inc
Priority to US11/948,794 priority Critical patent/US20080066680A1/en
Publication of US20080066680A1 publication Critical patent/US20080066680A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases

Definitions

  • Chemical vapor deposition (CVD) reactors have been used for decades to deposit solid thin films and typical applications are coating tools, manufacture of integrated circuits, and coating jewelry.
  • a chemically reactive gas or gases at either atmospheric or reduced pressures. Since, in general, it has been desired to deposit films at as high a rate as possible as well as at as low a temperature as practical, the gases used to produce the film are extremely reactive (e.g., silane plus oxygen to deposit silicon dioxide).
  • ALE atomic layer epitaxy
  • U.S. Pat. No. 4,058,430 describes how they grew solid thin films on heated objects. This process involves exposing the heated surface to a first evaporated gaseous element, allowing a monolayer of the element to form on the surface, and then removing the excess by evacuating the chamber with a vacuum pump. When a layer of atoms or molecules one atom or molecule thick cover all or part of a surface; it is referred to as a monolayer.
  • a second evaporated gaseous element is introduced into the reactor chamber. The first and second elements combine to produce a solid thin compound monolayer film. Once the compound film has been formed, the excess of the second element is removed by again evacuating the chamber with the vacuum pump.
  • the desired film thickness is built up by repeating the process cycle many (e.g., thousands) times.
  • the need is for a thin titanium and/or titanium silicide layer to be deposited on a silicon contact (100 ⁇ ) followed by a layer of titanium nitride (3-400 ⁇ ).
  • K. Hiramatsu, H. Ohnishi, T. Takahama and K. Yamanishi Formation of TiN Films with Low Cl Concentration by Pulsed Plasma Chemical Vapor Deposition, J. Vac. Sci. Techn.
  • High temperature brazing of ceramic parts is an alternate technology for joining odd shapes of accurately finished ceramics.
  • the braze metal may not be compatible with the desired application.
  • the high temperature preferred for metal brazing makes it difficult to join parts of different thermal expansion coefficients. For example, it is not possible to braze aluminum to alumina ceramic, because the traditional brazing temperature would be far higher than the melting point of the aluminum.
  • FIG. 3 is a schematic drawing of a sequential CVD reactor, suitable for the deposition of any film, conducting or non-conducting, constructed in accordance with an embodiment of the present invention.
  • FIG. 1 is a cross-section view of a reactor vessel 2 made of a non-conducting dielectric ceramic (e.g. a quartz cylinder) which is suitable for the deposition of a non-electrically conducting film on a non-electrically conducting part.
  • the reactor vessel 2 forms a chamber closed at one end by a flange 8 , through which gases are introduced, and closed at the other end by a flange 4 which connects to a vacuum pump 38 through a pneumatically operated solenoid gate valve 36 .
  • Each flange has an O-ring seal 6 to allow vacuum operation.
  • the part 12 is placed in the reactor vessel 2 on a nonelectrically conducting part holder 10 .
  • a vacuum gage 26 monitors the chamber pressure during operation.
  • a first reactant 28 is introduced as a gas into the chamber by evaporating a liquid or solid contained in bottle 30 by temperature controller 32 to provide adequate vapor pressure for delivery into the chamber.
  • the temperature controller 32 will provide heat to the first reactant in the bottle 30 .
  • the controller may cool the first reactant 28 in the bottle 30 .
  • the first reactant 28 will be a compound having the elements of the monolayer to be formed on the part 12 such as the first reactants listed below in Examples 1-7.
  • the first reactant 28 is introduced into the reactor vessel 2 through solenoid operated pneumatic valve 20 by a manifold 18 .
  • FIG. 1 illustrates a system with two bottles 30 and 31 , each containing a first reactant 28 and 29 , however, the type of film to be formed will determine the number of reactants and bottles. For example, if a ternary film is desired, the system will include three bottles and three valves.
  • a conventional digital microcontroller 40 sequences the opening and closing of the valves 20 and 22 to deliver the first reactants to the chamber at the appropriate times as illustrated in FIG. 2 .
  • a monolayer of the first reactant is deposited on the part 12 to be coated by exposure to the first reactant 28 in vapor phase from the bottle 30 .
  • This monolayer is reacted by exposing it to a flux of radicals generated by the action of a solenoidal coil 14 , excited by a RF power supply 16 , on molecules introduced from a gas bottle 34 .
  • the RF power supply 16 can be controlled by the microcontroller circuit 40 .
  • reactor vessel 2 will be coated by a conducting film which eventually shields out the exciting electric field provided by the solenoidal coil 14 .
  • the present invention provides the reactor vessel 3 as shown in FIG. 3 .
  • the exhaust flange 4 provides access to the interior of the reactor vessel 3 .
  • the flow of second reactant 42 is generated in a radical generator 44 which is attached to the wall of the reactor vessel 3 .
  • the first reactant 28 is provided from the bottle 30 and introduced to the reactor vessel 3 through the valve 20 and the manifold 18 .
  • the part holder 10 can be either a metal or a ceramic. Again the microcontroller 40 controls all valves and the radical generator 44 .
  • the radical generator 44 suitable for use with the reactor vessel 3 , shown in FIG. 3 , can take on many well known arrangements.
  • One arrangement is to use a miniaturized version of the quartz tube 2 and RF coil 14 described in FIG. 1 .
  • the only modification is to provide an end plate with a small hole in it, so that the radicals can flow rapidly into the reactor vessel 3 through such a nozzle.
  • FIG. 1 One illustration of a suitable end plate with a hole in it serving as a nozzle is shown in FIG. 1 , as a stainless steel anode, in a paper by A. Sherman, In situ Removal of Native Oxide from Silicon Wafers, J. Vac. Sci. Technol. Vol.
  • Deposition of thin films of silicon dioxide can be carried out with a silicon precursor, such as dichlorosilane which can be reduced to elemental silicon by a flux of hydrogen atoms.
  • a silicon precursor such as dichlorosilane which can be reduced to elemental silicon by a flux of hydrogen atoms.
  • elemental silicon from other precursors (e.g., silane, tetramethylsilane) and atomic hydrogen.
  • the resulting silicon can then be converted to silicon dioxide by exposure to oxygen.
  • a silicon dioxide film can be grown monolayer by monolayer.
  • Another way to grow this film would be to use a silicon precursor that already contains oxygen. For example, one could use tetraethoxysilane and reduce it with oxygen atoms.
  • Deposition of thin titanium plus titanium nitride compound films could be derived from titanium tetrachloride and hydrogen atoms to yield the pure titanium, followed by exposure to nitrogen atoms to form the nitride. Alternately, we could expose titanium tetrachloride to NH radicals to produce titanium nitride films directly. Again, if we use a precursor that contains both titanium and nitrogen atoms, e.g., tetrakis(diethylamino)titanium or tetrakis(dimethylamino)titanium, we could reduce a monolayer of either of these species with hydrogen atoms or HN radicals to form titanium nitride.
  • Joining two pieces of ceramic at low temperature with a pure ceramic material is a process that has some unique advantages. For example, the temperature tolerance of the joined parts will be as high as the original ceramic parts. Also, no new material is added to the structure, so the resulting joined part is of high purity, and just as chemically inert as the original ceramics. Such a process does not exist today. For example, two pieces of aluminum oxide could be joined by growing aluminum oxide, as described in Example 6 , on the two adjacent parts.
  • the capacitance of a capacitor is directly proportional to the dielectric constant of the dielectric material between the capacitor plates. It is also inversely proportional to the dielectric thickness.
  • it is traditional to reduce the thickness of the preferred dielectric thermal SiO 2 .
  • the practical limit to SiO 2 thickness has been reached ( ⁇ 30 ⁇ ). Attempts to grow uniform pinhole free SiO 2 films thinner than this has proven difficult.
  • An alternative would be to deposit a dielectric with a higher dielectric constant, and this would allow a more practical dielectric thickness.
  • the 30 ⁇ film could be 180 ⁇ thick. Not only is this a film thickness that can be reliably deposited, further improvements in capacitance can be achieved by reducing the thickness of the Ta 2 O 5 further.
  • a monolayer of some element or compound into a very high aspect ratio blind hole e.g. 10:1
  • the precursor molecules occupying the interior hole volume are removed by pumping with a vacuum pump.
  • the next step in the process is to expose the adsorbed monolayer to a radical flux which then converts it into the desired monolayer of solid molecular species.
  • the solution to this practical difficulty is to expose the very long blind holes to the radical flux in a cyclical fashion, as illustrated in FIG. 4 .
  • evacuate the chamber with a vacuum pump This will have the effect of removing any gaseous reaction products that might tend to prevent radical diffusion into the hole.
  • a second exposure to the radical flux is performed. If preferred, this process can be repeated many times to achieve the preferred reactions at the end of the very long and narrow blind hole.
  • a suitable precursor e.g. tantalum from TaCl 5 and H.

Abstract

The present invention provides for sequential chemical vapor deposition by employing a reactor operated at low pressure, a pump to remove excess reactants, and a line to introduce gas into the reactor through a valve. A first reactant forms a monolayer on the part to be coated, while the second reactant passes through a radical generator which partially decomposes or activates the second reactant into a gaseous radical before it impinges on the monolayer. This second reactant does not necessarily form a monolayer but is available to react with the monolayer. A pump removes the excess second reactant and reaction products completing the process cycle. The process cycle can be repeated to grow the desired thickness of film.

Description

  • This application is a continuation-in-part of U.S. application Ser. No. 08/699,002, filed on Aug. 16, 1996, which is incorporated herein by reference. The present invention relates to methods and apparatuses suited to the low temperature deposition of solid thin films of one or more elements by the technique of sequentially exposing the object being coated with chemically reactive gaseous species. It also describes a number of applications of films produced by such processes.
  • BACKGROUND OF THE INVENTION
  • CVD Reactor Technology
  • Chemical vapor deposition (CVD) reactors have been used for decades to deposit solid thin films and typical applications are coating tools, manufacture of integrated circuits, and coating jewelry. A. Sherman, Chemical Vapor Deposition for Microelectronics, Noyes Publications, New Jersey, 1987. Up to the 1960's many CVD reactors operated by exposing a heated object or substrate to the steady flow of a chemically reactive gas or gases at either atmospheric or reduced pressures. Since, in general, it has been desired to deposit films at as high a rate as possible as well as at as low a temperature as practical, the gases used to produce the film are extremely reactive (e.g., silane plus oxygen to deposit silicon dioxide). Then if the gases are allowed to mix for too long a time period before impinging the substrate, gas phase reactions can occur, and in extreme cases there can be gas phase nucleation and particles formed rather than deposition of continuous films. At the same time, the high rate of deposition and the reactive gases used makes it very difficult to coat large area substrates uniformly. This results in very complex and expensive commercial CVD reactors. A further complication with this method is that in some cases the films deposited do not conformally coat non-uniform surfaces. This can be particularly deleterious in the manufacture of integrated circuits.
  • In the 1960's it was realized that we could lower the temperature required for thin film deposition at acceptable rates by creating a low pressure glow discharge in the reactive gas mixture. The glow discharge produces many high energy electrons that partially decompose the reactive gases, and these gas fragments (radicals) are very reactive when they impinge on a surface even at moderate temperatures. Although using a glow discharge allows lower temperature operation, commercial reactors are very complex and expensive, since uniform deposition over large area substrates is even more difficult due to the inherent nonuniformity of glow discharges and due to the added expense of complex high frequency power supplies. Also, this technique can often lead to degradation of the film conformality, due to the highly reactive nature of the radicals.
  • In the 1970's atomic layer epitaxy (ALE) was developed in Finland by T. Suntola and J. Anston. U.S. Pat. No. 4,058,430 describes how they grew solid thin films on heated objects. This process involves exposing the heated surface to a first evaporated gaseous element, allowing a monolayer of the element to form on the surface, and then removing the excess by evacuating the chamber with a vacuum pump. When a layer of atoms or molecules one atom or molecule thick cover all or part of a surface; it is referred to as a monolayer. Next, a second evaporated gaseous element is introduced into the reactor chamber. The first and second elements combine to produce a solid thin compound monolayer film. Once the compound film has been formed, the excess of the second element is removed by again evacuating the chamber with the vacuum pump. The desired film thickness is built up by repeating the process cycle many (e.g., thousands) times.
  • An improvement to this technique was described in a later patent issuing in 1983 to T. Suntola, A. Paakala and S. Lindfors, U.S. Pat. No. 4,389,973. Their films were grown from gaseous compounds rather than evaporated elements so the process more closely resembles CVD. This was recognized to be especially advantageous when one component of the desired film is a metal with low vapor pressure, since evaporation of metals is a difficult process to control. With this approach, films were deposited by flow reactors similar to a conventional CVD reactor, where the excess of each gas is removed by flowing a purge gas through the reactor between each exposure cycle. This approach was limited to only a few films, depending on the available gaseous precursors, and all of these films were not as contamination free as desired. We will refer to this process as sequential chemical vapor deposition.
  • An alternative approach to operating a sequential chemical vapor deposition reactor would be to operate a non-flow vacuum system where the excess gaseous compound of each sequence is removed by vacuum pumps in a manner similar to the original Suntola 1977 process. H. Kumagai, K. Toyoda, M. Matsumoto and M. Obara, Comparative Study of Al 2 O 3 Optical Crystalline Thin Films Grown by Vapor Combinations of Al(CH3)3 /N 2 O and Al(CH 3)3 /H 2 O 2, Jpn. Appl. Phys. Vol. 32, 6137 (1993).
  • An early application of sequential chemical vapor deposition was for deposition of polycrystalline ZnS thin films for use in electrochromic flat panel displays. M. Leskela, Atomic Layer Epitaxy in the Growth of Polycrystalline and Amorphous Films, Acta Polytechnica Scandinvica, Chapter 195, 1990. Additional studies have shown that other commercially important solid films of different compounds, amorphous and polycrystalline, can be deposited by this technique on large area glass substrates. Among these other films are sulfides (strontium sulfide, calcium sulfide), transition metal nitrides (titanium nitride) and oxides (indium tin oxide, titanium dioxide). Elsewhere, this technique has been developed as a means of depositing epitaxial layers of group III-V (gallium indium phosphide) and group II-VI (zinc selenide) semiconductors, as an alternative to the much more expensive molecular beam epitaxy process.
  • To applicant's knowledge the only literature discussing sequential chemical vapor deposition of elemental films are those that deposit elemental semiconductors in group IVB such as silicon and germanium. One such study, S. M. Bedair, Atomic Layer Epitaxy Deposition Process, J. Vac. Sci. Technol. B 12(1), 179 (1994) describes the deposition of silicon from dichlorosilane and atomic hydrogen produced by a hot tungsten filament. By operating the process at 650° C. deposition of epitaxial films are described. Deposition of diamond, tin and lead films, in addition to silicon and germanium by an extraction/exchange method in conjunction with a sequential processing scheme similar to sequential chemical vapor deposition has also been reported M. Yoder, U.S. Pat. No. 5,225,366. Also although some of the studies reported have explored processes that may be useful at moderate temperatures, most require undesirably high substrate temperatures (300-600° C.) to achieve the desired sequential chemical vapor deposition growth of high quality films.
  • Conformal Films Deposited at Low Temperatures for Integrated Circuit Manufacture
  • A continuing problem in the commercial manufacture of integrated circuits is the achievement of conformal deposition of dielectric (e.g., silicon dioxide, silicon nitride) or conducting (e.g., aluminum, titanium nitride) thin solid films over large area wafers (e.g., 12 inches in diameter). A film is conformal when it exactly replicates the shape of the surface it is being deposited on.
  • In one paper by D. J. Ehrlich and J. Melngailis, Fast Room-Temperature Growth of SiO 2 Films by Molecular-layer Dosing, Appl. Phys. Lett. 58, 2675(1991) an attempt was reported of layer by layer deposition of silicon dioxide from silicon tetrachloride and water. Although the films appear to be very conformal, there is no discussion of film quality or density, and it is likely that these films are porous making them unsuitable for thin film applications. In support of this conclusion, we can refer to a study by J. F. Fan, K. Sugioka and K. Toyoda, Low-Temperature Growth of Thin Films of Al 2 O 3 with Trimethylaluminum and Hydrogen Peroxide, Mat. Res. Soc. Symp. Proc. 222, 327 (1991). Here, aluminum oxide deposited at 150° C. was compared to deposition at room temperature. In this case, the room temperature films thickness reduced from 2270 Å to 1200 Å upon annealing at 150° C. for 15 minutes confirming the high porosity of the film deposited at room temperature. Another attempt to deposit silicon dioxide by sequential chemical vapor deposition used silane and oxygen by M. Nakano, H. Sakaue, H. Kawamoto, A. Nagata and M. Hirose, Digital Chemical Vapor Deposition of SiO 2, Appl. Phys. Lett. 57, 1096 (1990). Although these films, deposited at 300° C., appeared to be of better quality, they were not perfectly conformal, and could only fill holes of an aspect ratio up to 3:1. Modem integrated circuit technology requires the ability to coat holes and trenches with aspect ratios well in excess of 3:1.
  • Another technologically important thin solid film that needs to be deposited with high purity and at low temperature, conformally over large area wafers, is the multilayer film of titanium and/or titanium silicide plus titanium nitride. Here, the need is for a thin titanium and/or titanium silicide layer to be deposited on a silicon contact (100 Å) followed by a layer of titanium nitride (3-400 Å). In a recent paper by K. Hiramatsu, H. Ohnishi, T. Takahama and K. Yamanishi, Formation of TiN Films with Low Cl Concentration by Pulsed Plasma Chemical Vapor Deposition, J. Vac. Sci. Techn. A14(3), 1037 (1996), the authors show that an alternating sequence process can deposit titanium nitride films at 200° C. from titanium tetrachloride and hydrogen and nitrogen. However, the chlorine content of the films was 1%, and no attempt was made to deposit pure titanium metal or titanium silicide. Also, the reactor used was very similar to the conventional expensive plasma enhanced CVD reactor.
  • Finally, sputtered aluminum films have been widely used to fabricate integrated circuits for many years. Unfortunately, sputtering is a line of sight deposition technique, so the films tend to be non-conformal. This has become more of a problem, in recent years, as denser circuit designs have resulted in holes of high aspect ratio that need to be filled. For this reason, many attempts have been made to find a suitable chemical vapor deposition process that would be highly conformal, and several processes have been successfully demonstrated by R. A. Levy and M. L. Green, Low Pressure Chemical Vapor Deposition of Tungsten and Aluminum for VLSI Applications, J. Electrochem. Soc. Vol. 134, 37C (1987). Although conformal thin films of aluminum can be deposited by CVD, these films are still not acceptable for use in circuits, because aluminum is susceptible to electromigration and it is preferred to add several percent of copper to these films to avoid this problem. All but one attempt to carry out the CVD process with copper precursors added to the aluminum precursors have been unsuccessful. See E. Kondoh, Y. Kawano, N. Takeyasu and T. Ohta, Interconnection Formation by Doping Chemical-Vapor-Deposition Aluminum with Copper Simultaneously: Al—Cu CVD, J. Electrochem. Soc. Vol. 141, 3494 (1994). The problem is that although there are CVD processes for the deposition of copper, the precursors used interact with the aluminum precursors in the gas phase preventing the simultaneous deposition of aluminum and copper.
  • Composite Fabrication
  • Many schemes have been developed to fabricate composite materials, because of the unusual strength of such materials. One approach to the fabrication of such materials is to prepare a cloth preform (e.g. from threads prepared from carbon fibers), and then expose this preform to a hydrocarbon gas at high temperatures. The hydrocarbon then pyrolyses with carbon depositing on the carbon preform. Unfortunately, this process is not very conformal, so that the outer pores of the preform are sealed before the interior can be coated, and the process has to be stopped prematurely. The preform then has to be machined to remove the outer layer, and further exposure is needed. This is a slow and very expensive process which is referred to in the literature as Chemical Vapor Infiltration (CVI); see e.g., Proceedings of the Twelfth International Symposium on Chemical Vapor Deposition 1993, eds. K. F. Jensen and G. W. Cullen, Proceedings Vol. 93-2, The Electrochemical Society, Pennington, N.J.
  • Coating Aluminum with Aluminum Oxide
  • As is well known, coating aluminum with a thin layer of oxide is an excellent way to protect this material from corrosion by the elements. The traditional way of doing this is to anodize the aluminum with a wet electrochemical process (Corrosion of Aluminum and Aluminum Alloys, Vol. 13 of Metals Handbook, ASM, Metals Park, Ohio, 1989). Pinholes and other defects in the anodized layer are the source of local failure of the corrosion protection of the anodized layer. Such pinholes occur because the wet anodization process relies on the underlying aluminum as the source of the aluminum in the aluminum oxide coating, and the underlying aluminum can have many impurities and defects. A preferred approach would be to deposit the desired aluminum oxide from an external source. Although using a CVD process to carry this out is a possible choice, this has not been explored because the traditional CVD process operates at 1000° C., and this far exceeds the melting point of the underlying aluminum.
  • Low Temperature Brazing
  • In the manufacture of high temperature, high density ceramics, there is great difficulty in fabricating unusual shapes to high accuracy. Most often the ceramic is formed in the “green” state, machined while still soft, and then fired at high temperature. After firing, the resulting high density ceramic part may require additional machining, for example, with diamond grinding wheels, to achieve the desired dimensional accuracy. In some cases, the part shape makes this additional machining difficult and expensive, and in some instances there may be no known way to reach the surface that needs to be ground. High temperature brazing of ceramic parts is an alternate technology for joining odd shapes of accurately finished ceramics. In some instances the braze metal may not be compatible with the desired application. Also the high temperature preferred for metal brazing makes it difficult to join parts of different thermal expansion coefficients. For example, it is not possible to braze aluminum to alumina ceramic, because the traditional brazing temperature would be far higher than the melting point of the aluminum.
  • SUMMARY OF THE INVENTION
  • In one embodiment, the present invention provides a reactor operated at low pressure, a pump to remove excess reactants, and a line to introduce gas into the reactor through a valve. In this embodiment, a first reactant forms a monolayer on the part to be coated, while the second reactant passes through a radical generator which partially decomposes or activates the second reactant into a gaseous radical before it impinges on the monolayer. This second reactant does not necessarily form a monolayer but is available to react with the monolayer. A pump removes the excess second reactant and reaction products completing the process cycle. The process cycle can be repeated to grow the desired thickness of film.
  • Because the film can be deposited one monolayer at a time, the film forming on the part tends to be conformal and have uniform thickness. The present invention may use inexpensive reactors that can coat many parts simultaneously reducing costs. For the formation of a three-element film, an additional step introduces a third reactant in the process cycle. A stable compound film of any number of elements can be formed by growing the monolayers of the elements with gaseous precursors that contain the elements. Such precursors can be halides or organometallic compounds.
  • It is an object of the invention to facilitate the growth of thin films of any element by using a radical generator to make available highly reactive gases (radicals).
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a schematic drawing of a sequential CVD reactor, suitable for the deposition of films that are not electrically conducting, constructed in accordance with one embodiment of the present invention.
  • FIG. 2 illustrates a process cycle for the sequential CVD process.
  • FIG. 3 is a schematic drawing of a sequential CVD reactor, suitable for the deposition of any film, conducting or non-conducting, constructed in accordance with an embodiment of the present invention.
  • FIG. 4 illustrates an alternative process cycle for the sequential CVD process.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • FIG. 1 is a cross-section view of a reactor vessel 2 made of a non-conducting dielectric ceramic (e.g. a quartz cylinder) which is suitable for the deposition of a non-electrically conducting film on a non-electrically conducting part. The reactor vessel 2 forms a chamber closed at one end by a flange 8, through which gases are introduced, and closed at the other end by a flange 4 which connects to a vacuum pump 38 through a pneumatically operated solenoid gate valve 36. Each flange has an O-ring seal 6 to allow vacuum operation. The part 12 is placed in the reactor vessel 2 on a nonelectrically conducting part holder 10. A vacuum gage 26 monitors the chamber pressure during operation. A first reactant 28 is introduced as a gas into the chamber by evaporating a liquid or solid contained in bottle 30 by temperature controller 32 to provide adequate vapor pressure for delivery into the chamber. In many situations, the temperature controller 32 will provide heat to the first reactant in the bottle 30. However, in others the controller may cool the first reactant 28 in the bottle 30.
  • The first reactant 28 will be a compound having the elements of the monolayer to be formed on the part 12 such as the first reactants listed below in Examples 1-7. The first reactant 28 is introduced into the reactor vessel 2 through solenoid operated pneumatic valve 20 by a manifold 18. FIG. 1 illustrates a system with two bottles 30 and 31, each containing a first reactant 28 and 29, however, the type of film to be formed will determine the number of reactants and bottles. For example, if a ternary film is desired, the system will include three bottles and three valves. A conventional digital microcontroller 40 sequences the opening and closing of the valves 20 and 22 to deliver the first reactants to the chamber at the appropriate times as illustrated in FIG. 2.
  • Referring to FIG. 1, during a typical operation, a monolayer of the first reactant is deposited on the part 12 to be coated by exposure to the first reactant 28 in vapor phase from the bottle 30. This monolayer is reacted by exposing it to a flux of radicals generated by the action of a solenoidal coil 14, excited by a RF power supply 16, on molecules introduced from a gas bottle 34. The RF power supply 16 can be controlled by the microcontroller circuit 40.
  • FIG. 2 illustrates a process cycle for forming thin films with reactor vessel shown in FIG. 1. Initially, the vacuum pump 38 evacuates the chamber of the reactor vessel 2. The exhaust gate valve 36 then closes and a valve 20 opens for a short period of time to deliver the first reactant 28 to the reactor vessel 2 in a sufficient amount to form a monolayer of molecules on the part 12 to be coated. After the monolayer is formed, the reactor vessel 2 is again evacuated by the vacuum pump 38 to remove excess first reactant. Next, a second reactant from bottle 34 is delivered into the reactor vessel 2 for a short period of time while a solenoidal coil 14 is excited by the RF power supply 16 generating radicals. This step is carried out for a sufficient period of time to fully react the radicals with the first reactant monolayer. Finally, the reactor vessel 2 is evacuated again by the vacuum pump 38 ending the first cycle. The process cycle can then repeat to form the desired thickness of the film.
  • If the film to be deposited is electrically conducting, reactor vessel 2 will be coated by a conducting film which eventually shields out the exciting electric field provided by the solenoidal coil 14. To avoid unnecessary reactor vessel cleaning, in another embodiment, the present invention provides the reactor vessel 3 as shown in FIG. 3. The exhaust flange 4 provides access to the interior of the reactor vessel 3. The flow of second reactant 42 is generated in a radical generator 44 which is attached to the wall of the reactor vessel 3. As before the first reactant 28 is provided from the bottle 30 and introduced to the reactor vessel 3 through the valve 20 and the manifold 18. In this embodiment, the part holder 10 can be either a metal or a ceramic. Again the microcontroller 40 controls all valves and the radical generator 44.
  • The radical generator 44, suitable for use with the reactor vessel 3, shown in FIG. 3, can take on many well known arrangements. One arrangement is to use a miniaturized version of the quartz tube 2 and RF coil 14 described in FIG. 1. In this arrangement, the only modification is to provide an end plate with a small hole in it, so that the radicals can flow rapidly into the reactor vessel 3 through such a nozzle. One illustration of a suitable end plate with a hole in it serving as a nozzle is shown in FIG. 1, as a stainless steel anode, in a paper by A. Sherman, In situ Removal of Native Oxide from Silicon Wafers, J. Vac. Sci. Technol. Vol. B8(4), 656 (July/August 1990) which paper is incorporated by reference here in its entirety. This paper also describes generating hydrogen radicals using a hollow cathode DC discharge chamber. Other alternatives are reviewed for hydrogen radical generation in a recent paper by V. M. Bermudez, Simple, Efficient Technique for Exposing Surfaces to Hydrogen Atoms, J. Vac. Sci. Technol. Vol. A14, 2671 (1996). Similar techniques can be also used to generate any of the radicals that might be needed to form the elemental films described herein.
  • Concerns about the uniformity of distribution of radicals should not control the type of radical generator 44 to be employed. As long as sufficient radicals are generated to react the first reactant, any excess radicals play no role in the film formation. More important considerations relate to avoiding the introduction of contaminants, the cost of the radical generator, and simplicity of its operation. Also, the reaction between any one of the first reactants adsorbed on the part surface and the radical flux to the part, should be rapid and independent of surface temperature. Therefore, it should be possible to carry out these thin film depositions at lower temperatures than in conventional sequential chemical vapor deposition processes which are typically carried out at 300-600° C.
  • One of the difficulties in the commercial application of traditional sequential chemical vapor deposition processes, is that they deposit films slowly. For very thin films (e.g. 100 Å) this is of little concern. However, if thicker films are required (e.g., 1 μm or 10,000 Å), then the commercial viability of some applications may be in question.
  • In the present process, by virtue of the use of remotely generated, very reactive, radicals (e.g. oxygen atoms, hydrogen atoms, nitrogen atoms, etc.) we are able to operate the process at room temperature. This fact gives rise to two features of this process that can lead to higher throughput from the reactor used.
  • When the first reactant is exposed to the substrate at room temperature, it is possible for more than one monolayer to remain behind after the reactor is evacuated with a vacuum pump. In fact, if the substrate temperature is lowered enough we would find the precursor condensing to a liquid film on the substrate surface—obviously not the way to operate the present process. Then when the substrate, with multiple monolayers remaining on its surface, is exposed to the second reactant (radical ) more than one monolayer of product film can be grown in each cycle. Our experimental data has verified that 3 Å of Al2O3 grows per cycle from TMA and oxygen atoms at room temperature. All other studies of Al2O3 formed in thermal (e.g. high temperature ) sequential CVD shows deposition rates of less than 1 Å/cycle.
  • Second, if we do not have to fully evacuate the reactor chamber after each precursor exposure in our process, we could shorten the time for each cycle. In the flow type reactor described by Suntola in U.S. Pat. No. 4,389,973, he used an inert gas to purge each reactant after each exposure of the substrate. Typically nitrogen gas was used as the purge gas. In our case, the second reactant is created by striking a glow discharge in an otherwise inert gas (e.g. O2→O). Therefore, there is no need to use a separate inert gas to purge the first reactant. We can simply use the second gas with the discharge turned off. Again, it is not necessary to purge the second reactant, because it goes away when we extinguish the glow discharge. By eliminating the separate purge gas, we can shorten and simplify the deposition cycle. This will enable a shortening of the cycle time.
  • It should be recognized, however, that there are some instances where using a purge gas to separate the two reactants in a sequential CVD reactor may not be the most desirable way to operate the system. When substrates are being coated that have features with high aspect ratio holes or trenches it will, in general, be more effective to use the vacuum pump out style described earlier. This will be the case, because it would be harder for a given reactant to diffuse through an inert gas down to the bottom of a hole when the hole is filled with inert gas. For those applications where high aspect ratio holes do not have to be coated (e.g., large area flat panel displays), then the inert gas purge would be suitable. In that case, using the gas in which a glow discharge is created as the inert gas (with glow discharge off) for a purge operation should enhance throughput.
  • Finally, when very thin films of dielectric materials (e.g., Al2O3, TiO2, Si3N4) are deposited by a sequential CVD process, the surface may have a substantial degree of roughness in spite of the layer by layer method of deposition. Apparently, this phenomenon is caused by some poorly understood agglomeration process as the film is growing. One technique that can be used to avoid this surface roughening would be to grow many thin layers where two similar materials alternate. For example, if we want a 100 Å film we could grow, alternately, 10 Å layers of Al2O3 and 10 Å layers of Si3N4 and do it 5 times. This should produce a dielectric layer with a dielectric constant of about 7-8, which is a good diffusion barrier and has good electrical breakdown strength, and which is also very flat. By using the new method described earlier, we can deposit such a flat multi-layer film at lower temperatures than were possible before.
  • EXAMPLE 1
  • Deposition of thin films of silicon dioxide can be carried out with a silicon precursor, such as dichlorosilane which can be reduced to elemental silicon by a flux of hydrogen atoms. S. M. Bedair, Atomic Layer Epitaxy Deposition Process, J. Vac. Sci. Technol. B 12(1), 179 (1994). It should also be possible to deposit elemental silicon from other precursors (e.g., silane, tetramethylsilane) and atomic hydrogen. The resulting silicon can then be converted to silicon dioxide by exposure to oxygen. In this way a silicon dioxide film can be grown monolayer by monolayer. Another way to grow this film would be to use a silicon precursor that already contains oxygen. For example, one could use tetraethoxysilane and reduce it with oxygen atoms.
  • EXAMPLE 2
  • In one embodiment, the present invention provides a process for coating a part with an elemental metal film. For brevity sake, we will limit the discussion to a titanium metal film. In this example, the first reactant could be titanium tetrachloride which could be introduced into the reactor at a low pressure so that a monolayer adsorbs to the surface of the part. Next, any excess titanium tetrachloride in the reactor chamber is pumped out. In order to form pure titanium films, we could then expose the surface to low pressure hydrogen in atomic form. The hydrogen atoms will react with the chlorine in the titanium tetrachloride monolayer to form HCl. The HCl vapor can then be exhausted by a vacuum pump, and a monolayer of titanium will be left behind. The thickness of the titanium metal film is determined simply by the number of process cycles carried out. By this process it is possible to grow a film of any element that is solid at room temperature.
  • Deposition of thin titanium plus titanium nitride compound films could be derived from titanium tetrachloride and hydrogen atoms to yield the pure titanium, followed by exposure to nitrogen atoms to form the nitride. Alternately, we could expose titanium tetrachloride to NH radicals to produce titanium nitride films directly. Again, if we use a precursor that contains both titanium and nitrogen atoms, e.g., tetrakis(diethylamino)titanium or tetrakis(dimethylamino)titanium, we could reduce a monolayer of either of these species with hydrogen atoms or HN radicals to form titanium nitride.
  • EXAMPLE 3
  • The present invention provides for growing a film with three or more elements such as an oxynitrides by sequentially growing an oxide and then growing a nitride. In fact, there would be no difficulty in growing ternary compounds such as tantalum/silicon/nitrogen which is a good diffusion barrier film for advanced integrated circuits.
  • Various binary and ternary silicides can be formed by depositing one, or more, metallic or semiconductor elements and nitriding the layer with nitrogen atoms. For example, we could deposit a monolayer of pure silicon, and then a monolayer of pure titanium. If the resulting monolayer of titanium silicide were then nitrided with a flux of nitrogen atoms, we could have a titanium/silicon/nitrogen ternary compound. Also, the stoichiometry of the compound film could be changed simply by changing the number of cycles used for any of the elements. For example, titanium disilicide (TiSi2) could be formed from two silicon cycles for each titanium cycle.
  • EXAMPLE 4
  • Deposition of aluminum films doped with copper and silicon could be formed from triisobutylaluminum, copper(II)acetylacetonate[Cu(acac)2], and tetramethylsilane each reduced in turn by hydrogen atoms. The percentages of copper and/or silicon dopants could be adjusted by controlling how many layers of each element are deposited. For example, a two percent doping level of copper is achieved by depositing one layer of copper for every 50 layers of aluminum.
  • EXAMPLE 5
  • If we take full advantage of the ability of the sequential CVD process to conformally coat parts that are very porous, we could fabricate a number of important composite materials. For example, we could grow a carbon layer from methane and hydrogen atoms. This layer could then be converted to a silicon carbide by growing a silicon layer as described in Example 1. This silicon carbide coating could be used to coat a carbon fiber preform until a solid silicon carbide body is formed reinforced with carbon fibers. The carbon fibers would give the part great strength, and the silicon carbide would allow it to be used at high temperatures in air. Ceramic composites using alumina whiskers could be formed by growing aluminum oxide on a preform made from such fibers. Metallic composites could be also prepared using metallic fiber preforms and a sequential CVD to grow metal on the preform.
  • EXAMPLE 6
  • We now know that good quality aluminum oxide thin films can be grown at moderate temperatures by H. Kumagai, K. Toyoda, M. Matsumoto and M. Obara, Comparative Study of Al 2 O 3 Optical Crystalline Thin Films Grown by Vapor Combinations of Al(CH 3)3 /N 2 O and Al(CH 3)3 /H 2 O 2, Jpn. J. Appl. Phys. 32 6137 (1993) by sequential CVD. It is, therefore, possible to coat anodized aluminum parts with this highly conformal layer. The earlier CVD processes could not be used because they had to be operated at temperatures higher than the melting point of aluminum. One approach would be to use known methods of sequential CVD to coat aluminum. An alternative approach would be to take advantage of the process described in the present invention, where we can form monolayers of pure aluminum and then oxidize these layers with oxygen atoms. For example, we could reduce trimethylaluminum with hydrogen atoms to form the aluminum layer. This layer will readily oxidize when exposed to oxygen. If the aluminum were initially anodized, the sequential chemical vapor deposition film will fill in any defects or pinholes.
  • EXAMPLE 7
  • Joining two pieces of ceramic at low temperature with a pure ceramic material, is a process that has some unique advantages. For example, the temperature tolerance of the joined parts will be as high as the original ceramic parts. Also, no new material is added to the structure, so the resulting joined part is of high purity, and just as chemically inert as the original ceramics. Such a process does not exist today. For example, two pieces of aluminum oxide could be joined by growing aluminum oxide, as described in Example 6, on the two adjacent parts.
  • EXAMPLE 8
  • The capacitance of a capacitor is directly proportional to the dielectric constant of the dielectric material between the capacitor plates. It is also inversely proportional to the dielectric thickness. When it is desired to increase the capacitance in an integrated circuit, it is traditional to reduce the thickness of the preferred dielectric thermal SiO2. In modern advanced circuits, the practical limit to SiO2 thickness has been reached (˜30 Å). Attempts to grow uniform pinhole free SiO2 films thinner than this has proven difficult. An alternative would be to deposit a dielectric with a higher dielectric constant, and this would allow a more practical dielectric thickness. For example, if we deposit a thin film of Ta2O5, with a dielectric constant of 25 (6× that of silicon dioxide ), then the 30 Å film could be 180 Å thick. Not only is this a film thickness that can be reliably deposited, further improvements in capacitance can be achieved by reducing the thickness of the Ta2O5 further.
  • Unfortunately, very thin layers of Ta2O5 deposited on silicon by traditional CVD high temperature techniques, result in dielectrics with a dielectric constant of much less than 25. This is because as the process is begun, the first thing that happens is that the silicon oxidizes and we end up with a composite layer of Ta2O5 and SiO2. The much lower dielectric constant of the SiO2 lowers the overall value of the dielectric constant of the composite film.
  • In the current process, we can deposit Ta2O5 at low temperatures, if desired, and thereby minimize any oxidation of the underlying silicon. If, regardless of the low temperatures used, we find that there is still some silicon oxidation, we can deposit one or several monolayers of some oxygen barrier material (e.g. TiN, TaN, etc.) or sacrificial material (e.g. Ta) on the silicon before proceeding to the Ta2O5 deposition using oxygen atom radicals.
  • EXAMPLE 9
  • In recent years there has been a tendency to replace the aluminum conductors, in an integrated circuit, with copper conductors. Since it is very difficult to plasma etch copper in the same way that aluminum is etched, most manufacturers have gone to a “Damascene” or inlaid approach. The traditional technique would be to deposit a layer of copper, etch holes in this copper layer, and then fill these holes with a suitable dielectric. Instead, we deposit a layer of dielectric material, etch holes in it, and then coat the entire surface with a layer of copper. This copper fills all the holes previously etched. Then the excess copper on the wafer surface is removed with a chemical mechanical polishing step. Of the several ways that copper can be deposited, the preferred appears to be electroless plating. Unfortunately, copper cannot be electroplated onto insulator surfaces, so a copper “seed” layer is deposited by CVD. If the conformal coverage of this “seed” layer is good, then the full copper layer can be reliably coated.
  • Recent efforts to deposit pure copper thin films by CVD have required the use of complex and expensive copper organometallic compounds. This approach has been found to be preferred because all available copper halogen compounds, which are inexpensive, are high temperature melting point solids, and they are difficult to vaporize in a controlled fashion for introduction into the CVD reactor chamber.
  • With the flexibility of the present process described earlier, we can use an inexpensive copper-oxygen organometallic compound (e.g., Copper II 2,4-pentanedionate C10H14O4Cu which is stable, has a vapor pressure of 10 mtorr at 100° C., and is inexpensive) and reduce it to CuO2 with exposure to oxygen atoms. Then, in a second step the monolayer of CuO2 could be reduced to elemental copper by exposure to hydrogen atoms. Repeating this process for many cycles could produce pure copper thin films of any desired thickness. At the same time, if a diffusion barrier layer is needed between the copper and the underlying Si and SiO2, such as TiN, then both layers could be deposited in the same system sequentially. This could simplify the manufacturing process considerably.
  • EXAMPLE 10
  • When depositing a monolayer of some element or compound into a very high aspect ratio blind hole (e.g., 10:1), we first evacuate all gaseous species from the hole. Next we expose the hole to precursor molecules that adsorb onto the hole surface as well as fill the hole volume. Then the precursor molecules occupying the interior hole volume are removed by pumping with a vacuum pump. The next step in the process is to expose the adsorbed monolayer to a radical flux which then converts it into the desired monolayer of solid molecular species.
  • In those cases of extremely high aspect ratio blind holes, another phenomena has to be recognized. When the radical flux diffuses into the evacuated volume of the hole, surface reactions release reaction products. For example, when adsorbed TMA molecules are attacked by oxygen atoms a monolayer of Al2O3 is formed and reaction products such as H2O, CO2, and CO are formed. If the hole is very long and narrow, then it is possible that these reaction product molecules could impede the diffusion of radicals into the bottom of the blind hole, unless the exposure to radicals was maintained for an impracticably long time.
  • The solution to this practical difficulty is to expose the very long blind holes to the radical flux in a cyclical fashion, as illustrated in FIG. 4. In other words, after a short exposure of the precursor monolayer to the radicals, evacuate the chamber with a vacuum pump. This will have the effect of removing any gaseous reaction products that might tend to prevent radical diffusion into the hole. Then a second exposure to the radical flux is performed. If preferred, this process can be repeated many times to achieve the preferred reactions at the end of the very long and narrow blind hole.
  • EXAMPLE 11
  • When depositing metallic films, by sequential CVD, onto surfaces that may be partially nonmetallic initially, it is possible to have the deposition be selective. For example, we have found that when attempting to coat a sapphire sample placed on a stainless steel holder with tantalum from TaCl5 vapor and hydrogen atoms, that the tantalum only formed on the stainless steel and not on the sapphire. This appears to occur because the H radicals are more likely to react with the Al2O3 surface rather than the adsorbed monolayer of TaCl5.
  • A similar phenomena was observed in a recent paper (P. Martensson and J-O. Carlsson, J. Electrochem. Soc. 145, 2926 (1998)) describing a thermal sequential CVD deposition of thin copper films onto platinum, but not onto glass.
  • A way to prevent this selectivity, when it is not desired, would be to deposit the metal oxide over the entire wafer surface. This initial monolayer of oxide could then be reduced to the pure metal with hydrogen atoms (see Example 9 above). Subsequent layers could be deposited by the direct reduction of a suitable precursor (e.g. tantalum from TaCl5 and H).
  • The commercial applications of the films deposited by the technique of this invention should not be limited to this method of creating these films. In some instances, films grown by known sequential CVD techniques, without resort to radicals may be adequate depending on the application.
  • While the invention has been illustrated in particular with respect to specific methods of carrying out the same, it is apparent that variations and modifications can be made. It will be apparent from the preceding that the present invention significantly advances the state of the art in the technology of sequential chemical vapor deposition of thin films, and describes several commercially significant applications for films deposited by the method of this invention. The process of this invention is unique in that it allows, for the first time, the deposition of perfectly conformal and very pure films of any composition at low temperatures.

Claims (50)

1. An apparatus for growing a thin film comprising:
a reaction chamber configured to contain at least one substrate;
a first reactant vapor source in selective communication with the reaction chamber;
a second reactant vapor source in selective communication with the reaction chamber;
an excess vapor removal system in communication with the reaction chamber;
a device configured to cause at least a portion of the reactant vapors within the reaction chamber to form vapor fragments selectively in time; and
a controller configured to introduce vapor reactants from the first reactant vapor source and second reactant vapor source to the reaction chamber in alternate and sequential pulses to deposit a desired film by atomic layer deposition on a substrate when the substrate is present in the reaction chamber.
2. The apparatus of claim 1, wherein the reaction chamber is configure to support a single semiconductor wafer.
3. The apparatus of claim 1, wherein the first reactant vapor source, the second reactant vapor source, and the excess vapor removal system each further comprise a valve, wherein the controller is configured to control said valves.
4. The apparatus of claim 1, wherein the controller is further configured to cause the device to form vapor fragments from the second reactant vapor when pulsed within the reaction chamber.
5. The apparatus of claim 4, wherein the second reactant vapor source comprises diatomic oxygen.
6. The apparatus of claim 4, wherein the second reactant vapor source comprises diatomic hydrogen.
7. The apparatus of claim 1, further comprising a carrier gas source in communication with the reaction chamber, wherein the controller is further configured to introduce the alternate and sequential pulses with carrier gas from the carrier gas source.
8. The apparatus of claim 1, wherein the first reactant vapor source comprises a metal source compound.
9. The apparatus of claim 1, wherein the first reactant vapor source comprises a non-semiconductor precursor.
10. The apparatus of claim 1, wherein the controller is configured to deposit a non-semiconductor film.
11. The apparatus of claim 1, further comprising at least one additional reactant vapor source in selective communication with the reaction chamber, wherein the controller is configured to introduce to the reaction chamber pulses from the additional reactant vapor source alternately and sequentially with pulses of vapor from the first and second reactant vapor sources.
12. The apparatus of claim 11, wherein the controller is configured such that a ratio of pulses from the reactant vapor sources is not one-to-one to correspond with a desired stoichiometry of the desired film.
13. The apparatus of claim 1, wherein the vapor exhaust system is further configured to remove from the reaction chamber substantially all excess species of vapor from one of the alternate and sequential pulses before introduction of vapor from a subsequent pulse.
14. The apparatus of claim 1, further comprising a purge gas source in selective communication with the reaction chamber, wherein the controller is further configured to introduce a quantity of purge gas from the purge gas source to the reaction chamber between the alternate and sequential pulses.
15. The apparatus of claim 14, wherein the quantity of purge gas replaces substantially all reactant vapor from the first and second reactant vapor sources from the reaction chamber between the alternate and sequential pulses.
16. The apparatus of claim 14, wherein the purge gas source comprises a same gas as contained in the second reactant vapor source, wherein the controller is configured to continuously supply the purge gas and periodically employ the device to cause the purge gas to form vapor fragments, wherein the vapor fragments comprise one of the pulses of the reactant vapors.
17. The apparatus of claim 1, wherein the controller is further configured to maintain a temperature of the substrate during deposition above the condensation limit of the first reactant vapor.
18. The apparatus of claim 17, wherein the controller is further configured to maintain a temperature of the substrate during deposition below 300 degrees Celsius.
19. The apparatus of claim 17, wherein the controller is further configured to maintain a temperature of the substrate during deposition of about room temperature.
20. The apparatus of claim 1, wherein the device comprises a solenoidal coil surrounding the reaction chamber.
21. The apparatus of claim 1, wherein the device is configured to generate vapor fragments within the reaction chamber in pulses from the second reactant vapor source for reaction with a monolayer formed by a prior pulse from the first reactant vapor source on the substrate.
22. The apparatus of claim 1, wherein the device comprises at least two electrodes configured to generate an electric field.
23. The apparatus of claim 1, wherein the device comprises an RF power source.
24. The apparatus of claim 1, wherein the first reactant vapor source communicates with the reaction chamber at an inlet at a first end of the reaction chamber, the excess vapor removal system communicates with the reaction chamber at an outlet at a second end of the reaction chamber, wherein the inlet and outlet define a substantially lateral flow path across the substrate when supported in the reaction chamber.
25. The apparatus of claim 1, further comprising a non-electrically conducting substrate holder within the reaction chamber.
26. The apparatus of claim 1, wherein the reaction chamber is formed by a dielectric ceramic material.
27. The apparatus of claim 1, wherein the first reactant vapor source comprises a vaporizer for a solid or liquid reactant.
28. An apparatus for growing a thin film comprising:
a reaction chamber configured to contain at least one target substrate;
a first reactant vapor source connected to the reaction chamber;
a second reactant vapor source connected to the reaction chamber;
an excess vapor removal system connected to the reaction chamber;
a device configured to cause at least a portion of the reactant vapors outside the reaction chamber to form vapor fragments selectively in time; and
a controller configured to alternately and sequentially introduce pulses of reactant vapor from the first reactant vapor source and second reactant vapor source to the reaction chamber, such that a desired film is deposited by atomic layer deposition on the target substrate when the target substrate is present in the reaction chamber;
wherein the first reactant vapor source is a metal precursor.
29. The apparatus of claim 28, wherein the sources and controller are configured to deposit a non-semiconductor film.
30. The apparatus of claim 28, wherein the excess vapor removal system is further configured to remove from the vicinity of the target substrate substantially all excess species of vapor from one of the pulses before introduction of vapor from a subsequent pulse.
31. The apparatus of claim 28, further comprising a purge gas source in selective communication with the reaction chamber, wherein the controller is further configured to introduce a quantity of purge gas from the purge gas source to the reaction chamber between the pulses.
32. The apparatus of claim 31, wherein the quantity of purge gas replaces substantially all vapor from the first and second reactant sources from the vicinity of the target substrate.
33. The apparatus of claim 31, wherein the purge gas source is the second reactant vapor source, wherein the controller is configured to continuously supply the purge gas from the second reactant vapor source and periodically cause the remote vapor fragment generator to form vapor fragments from the purge gas, wherein the vapor fragments form one of the reactant pulses.
34. The apparatus of claim 28, wherein the controller is further configured to maintain a temperature of the target substrate during deposition below 300 degrees Celsius.
35. The apparatus of claim 28, wherein the controller is further configured to maintain a temperature of the target substrate during deposition of about room temperature.
36. The apparatus of claim 28, wherein the device and the first reactant vapor source have separate inlets to the reaction chamber.
37. The apparatus of claim 28, wherein the device comprises at least two electrodes configured to generate an electric field outside of the reaction chamber.
38. The apparatus of claim 28, wherein said device comprises an RF power source.
39. An apparatus for growing a thin film comprising:
a reaction chamber comprising a wafer holder;
a first reactant vapor source connected to the reaction chamber;
a remote vapor fragment generator connected to the reaction chamber;
a second reactant vapor source connected to the reaction chamber through the remote vapor fragment generator;
an excess vapor removal system connected to the reaction chamber; and
a controller configured to cause pulses of reactant vapor from the first reactant vapor source and second reactant vapor source to be introduced alternately and sequentially to the reaction chamber to deposit a desired film by atomic layer deposition on a wafer supported by the wafer holder;
wherein the first reactant vapor source and the excess vapor removal system define a vapor flow path through the reaction chamber substantially parallel to a major surface of the wafer holder.
40. The apparatus of claim 39, wherein the first reactant vapor source and the excess vapor removal system define a substantially horizontal vapor flow path.
41. The apparatus of claim 39, wherein the sources and controller are configured to deposit a non-semiconductor film.
42. The apparatus of claim 39, wherein the excess vapor removal system is further configured to remove from the vicinity of the target substrate substantially all excess species of vapor from one of the pulses before introduction of vapor from a subsequent pulse.
43. The apparatus of claim 39, further comprising a purge gas source in selective communication with the reaction chamber, wherein the controller is further configured to introduce a quantity of purge gas from the purge gas source to the reactor chamber between said pulses.
44. The apparatus of claim 43, wherein the quantity of purge gas replaces substantially all vapor from the first and second reactant sources from the vicinity of the target substrate.
45. The apparatus of claim 43, wherein the purge gas source comprises the second reactant vapor source, wherein the controller is configured to continuously supply the purge gas to the reaction chamber through the remote vapor fragment generator and to periodically cause the remote vapor fragment generator to form vapor fragments from the reactant vapors, wherein the vapor fragments form one of the reactant pulses.
46. The apparatus of claim 39, wherein the controller is further configured to maintain a temperature of the target substrate during deposition below 300 degrees Celsius.
47. The apparatus of claim 39, wherein the controller is further configured to maintain a temperature of the target substrate during deposition of about room temperature.
48. The apparatus of claim 39, wherein the remote vapor fragment generator and the first reactant vapor source have separate inlets to the reaction chamber.
49. The apparatus of claim 39, wherein the remote vapor fragment generator comprises at least two electrodes configured to generate an electric field for exciting reactant source vapor from the second reactant vapor source.
50. The apparatus of claim 39, wherein the remote vapor fragment generator comprises a RF power source.
US11/948,794 1996-06-21 2007-11-30 Sequential chemical vapor deposition Abandoned US20080066680A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/948,794 US20080066680A1 (en) 1996-06-21 2007-11-30 Sequential chemical vapor deposition

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US08/669,002 US5835352A (en) 1995-06-22 1996-06-21 Power amplifying module
US09/291,807 US6342277B1 (en) 1996-08-16 1999-04-14 Sequential chemical vapor deposition
US09/866,156 US6652924B2 (en) 1996-08-16 2001-05-24 Sequential chemical vapor deposition
US10/692,243 US7410671B2 (en) 1996-08-16 2003-10-22 Sequential chemical vapor deposition
US11/948,794 US20080066680A1 (en) 1996-06-21 2007-11-30 Sequential chemical vapor deposition

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/692,243 Continuation US7410671B2 (en) 1996-06-21 2003-10-22 Sequential chemical vapor deposition

Publications (1)

Publication Number Publication Date
US20080066680A1 true US20080066680A1 (en) 2008-03-20

Family

ID=23121926

Family Applications (7)

Application Number Title Priority Date Filing Date
US09/291,807 Expired - Lifetime US6342277B1 (en) 1996-06-21 1999-04-14 Sequential chemical vapor deposition
US09/866,156 Expired - Lifetime US6652924B2 (en) 1996-06-21 2001-05-24 Sequential chemical vapor deposition
US09/974,162 Expired - Lifetime US6616986B2 (en) 1996-08-16 2001-10-09 Sequential chemical vapor deposition
US10/683,727 Expired - Fee Related US7682657B2 (en) 1996-08-16 2003-10-10 Sequential chemical vapor deposition
US10/692,243 Expired - Fee Related US7410671B2 (en) 1996-06-21 2003-10-22 Sequential chemical vapor deposition
US11/948,794 Abandoned US20080066680A1 (en) 1996-06-21 2007-11-30 Sequential chemical vapor deposition
US12/177,730 Expired - Fee Related US8323737B2 (en) 1996-08-16 2008-07-22 Sequential chemical vapor deposition

Family Applications Before (5)

Application Number Title Priority Date Filing Date
US09/291,807 Expired - Lifetime US6342277B1 (en) 1996-06-21 1999-04-14 Sequential chemical vapor deposition
US09/866,156 Expired - Lifetime US6652924B2 (en) 1996-06-21 2001-05-24 Sequential chemical vapor deposition
US09/974,162 Expired - Lifetime US6616986B2 (en) 1996-08-16 2001-10-09 Sequential chemical vapor deposition
US10/683,727 Expired - Fee Related US7682657B2 (en) 1996-08-16 2003-10-10 Sequential chemical vapor deposition
US10/692,243 Expired - Fee Related US7410671B2 (en) 1996-06-21 2003-10-22 Sequential chemical vapor deposition

Family Applications After (1)

Application Number Title Priority Date Filing Date
US12/177,730 Expired - Fee Related US8323737B2 (en) 1996-08-16 2008-07-22 Sequential chemical vapor deposition

Country Status (6)

Country Link
US (7) US6342277B1 (en)
EP (2) EP1183406B1 (en)
JP (2) JP4804628B2 (en)
KR (5) KR100966088B1 (en)
DE (1) DE60012733T2 (en)
WO (1) WO2000061833A1 (en)

Cited By (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080038486A1 (en) * 2006-08-03 2008-02-14 Helmuth Treichel Radical Assisted Batch Film Deposition
US20090239085A1 (en) * 2008-03-24 2009-09-24 Toshihiro Ehara SiC SEMICONDUCTOR ELEMENT, METHOD OF MANUFACTURING THE SAME, AND MANUFACTURING APPARATUS THEREOF
WO2017184358A1 (en) * 2016-04-18 2017-10-26 Asm Ip Holding B.V. Combined anneal and selective deposition process
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US10041166B2 (en) 2016-06-08 2018-08-07 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10049924B2 (en) 2010-06-10 2018-08-14 Asm International N.V. Selective formation of metallic films on metallic surfaces
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10157786B2 (en) 2011-12-09 2018-12-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US10214817B2 (en) 2013-10-16 2019-02-26 The Board Of Trustees Of The University Of Illinois Multi-metal films, alternating film multilayers, formation methods and deposition system
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10741411B2 (en) 2015-02-23 2020-08-11 Asm Ip Holding B.V. Removal of surface passivation
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10872765B2 (en) 2018-05-02 2020-12-22 Asm Ip Holding B.V. Selective layer formation using deposition and removing
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
US11145506B2 (en) 2018-10-02 2021-10-12 Asm Ip Holding B.V. Selective passivation and selective deposition
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US11608557B2 (en) 2020-03-30 2023-03-21 Asm Ip Holding B.V. Simultaneous selective deposition of two different materials on two different surfaces
US11643720B2 (en) 2020-03-30 2023-05-09 Asm Ip Holding B.V. Selective deposition of silicon oxide on metal surfaces
US11898240B2 (en) 2020-03-30 2024-02-13 Asm Ip Holding B.V. Selective deposition of silicon oxide on dielectric surfaces relative to metal surfaces

Families Citing this family (828)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (en) * 1999-10-15 2009-05-15 Asm Int A process for preparing nanolaminates
FI117944B (en) * 1999-10-15 2007-04-30 Asm Int A method for growing transition metal nitride thin films
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6531193B2 (en) 1997-07-07 2003-03-11 The Penn State Research Foundation Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (TMS) for stress control and coverage applications
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US6841203B2 (en) * 1997-12-24 2005-01-11 Tokyo Electron Limited Method of forming titanium film by CVD
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR100273473B1 (en) * 1999-04-06 2000-11-15 이경수 Method for forming a thin film
US7554829B2 (en) 1999-07-30 2009-06-30 Micron Technology, Inc. Transmission lines for CMOS integrated circuits
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
AU1208201A (en) 1999-10-15 2001-04-30 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
FI118804B (en) * 1999-12-03 2008-03-31 Asm Int Process for making oxide films
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
AU2001245388A1 (en) 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
FI117979B (en) * 2000-04-14 2007-05-15 Asm Int Process for making oxide thin films
EP1282911B1 (en) * 2000-05-15 2018-09-05 Asm International N.V. Process for producing integrated circuits
US7494927B2 (en) * 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
WO2001099166A1 (en) * 2000-06-08 2001-12-27 Genitech Inc. Thin film forming method
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
FI118014B (en) * 2000-10-23 2007-05-31 Asm Int Process for making alumina thin films at low temperatures
US7476420B2 (en) * 2000-10-23 2009-01-13 Asm International N.V. Process for producing metal oxide films at low temperatures
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US6689220B1 (en) * 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
EP1340269B1 (en) 2000-11-30 2009-02-25 Asm International N.V. Thin films for magnetic devices
WO2002045871A1 (en) * 2000-12-06 2002-06-13 Angstron Systems, Inc. System and method for modulated ion-induced atomic layer deposition (mii-ald)
US6800173B2 (en) * 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US7563715B2 (en) 2005-12-05 2009-07-21 Asm International N.V. Method of producing thin films
US9139906B2 (en) * 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US7491634B2 (en) * 2006-04-28 2009-02-17 Asm International N.V. Methods for forming roughened surfaces and applications thereof
FI109770B (en) 2001-03-16 2002-10-15 Asm Microchemistry Oy Growing transition metal nitride thin films by using compound having hydrocarbon, amino or silyl group bound to nitrogen as nitrogen source material
DE10296448T5 (en) * 2001-03-20 2004-04-15 Mattson Technology Inc., Fremont A method of depositing a layer having a relatively high dielectric constant on a substrate
US20020144786A1 (en) * 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US6759081B2 (en) 2001-05-11 2004-07-06 Asm International, N.V. Method of depositing thin films for magnetic heads
US20040195966A1 (en) 2001-05-14 2004-10-07 Conway Natasha M J Method of providing a layer including a metal or silicon or germanium and oxygen on a surface
US7037574B2 (en) * 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
KR100414156B1 (en) * 2001-05-29 2004-01-07 삼성전자주식회사 Method for manufacturing capacitor in integrated circuits device
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
WO2003029515A2 (en) * 2001-07-16 2003-04-10 Applied Materials, Inc. Formation of composite tungsten films
US20030198754A1 (en) * 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
EP1421606A4 (en) * 2001-08-06 2008-03-05 Genitech Co Ltd Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US20030050000A1 (en) * 2001-09-03 2003-03-13 Noritake Co., Limited Super-abrasive grinding wheel
US9708707B2 (en) * 2001-09-10 2017-07-18 Asm International N.V. Nanolayer deposition using bias power treatment
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
KR100760291B1 (en) * 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 Method for forming thin film
KR100782529B1 (en) * 2001-11-08 2007-12-06 에이에스엠지니텍코리아 주식회사 Apparatus for depositing
WO2003044242A2 (en) * 2001-11-16 2003-05-30 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6900122B2 (en) 2001-12-20 2005-05-31 Micron Technology, Inc. Low-temperature grown high-quality ultra-thin praseodymium gate dielectrics
US6939801B2 (en) * 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
CN100349314C (en) * 2002-01-03 2007-11-14 尼电源系统公司 Porous fuel cell electrode structures having conformal electrically conductive layers thereon
US6670071B2 (en) * 2002-01-15 2003-12-30 Quallion Llc Electric storage battery construction and method of manufacture
US20040210289A1 (en) * 2002-03-04 2004-10-21 Xingwu Wang Novel nanomagnetic particles
US7091412B2 (en) * 2002-03-04 2006-08-15 Nanoset, Llc Magnetically shielded assembly
US7162302B2 (en) * 2002-03-04 2007-01-09 Nanoset Llc Magnetically shielded assembly
AU2003238853A1 (en) * 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6824816B2 (en) * 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
US6827978B2 (en) * 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
JP4168676B2 (en) * 2002-02-15 2008-10-22 コニカミノルタホールディングス株式会社 Film forming method
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7220312B2 (en) * 2002-03-13 2007-05-22 Micron Technology, Inc. Methods for treating semiconductor substrates
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US6800134B2 (en) * 2002-03-26 2004-10-05 Micron Technology, Inc. Chemical vapor deposition methods and atomic layer deposition methods
EP1490529A1 (en) * 2002-03-28 2004-12-29 President And Fellows Of Harvard College Vapor deposition of silicon dioxide nanolaminates
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
KR100479639B1 (en) * 2002-04-06 2005-03-30 재단법인서울대학교산학협력재단 Chemical Vapor Deposition System for Depositing Multilayer Film And Method for Depositing Multilayer Film Using The Same
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6875271B2 (en) * 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
DE10392519T5 (en) * 2002-04-19 2005-08-04 Mattson Technology Inc., Fremont A system for depositing a film on a substrate using a low vapor pressure gas precursor
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US6979489B2 (en) * 2002-05-15 2005-12-27 Rutgers, The State University Of New Jersey Zinc oxide nanotip and fabricating method thereof
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US20040086434A1 (en) * 2002-11-04 2004-05-06 Gadgil Pradad N. Apparatus and method for treating objects with radicals generated from plasma
US20040129212A1 (en) * 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
US6838114B2 (en) * 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US20040009665A1 (en) * 2002-06-04 2004-01-15 Applied Materials, Inc. Deposition of copper films
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7135421B2 (en) 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
US7205218B2 (en) 2002-06-05 2007-04-17 Micron Technology, Inc. Method including forming gate dielectrics having multiple lanthanide oxide layers
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
TWI278532B (en) * 2002-06-23 2007-04-11 Asml Us Inc Method for energy-assisted atomic layer deposition and removal
US7118783B2 (en) * 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US7221586B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040009336A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
KR100464855B1 (en) * 2002-07-26 2005-01-06 삼성전자주식회사 method for forming a thin film, and method for forming a capacitor and a transistor of a semiconductor device using the same
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US20050084610A1 (en) * 2002-08-13 2005-04-21 Selitser Simon I. Atmospheric pressure molecular layer CVD
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6790791B2 (en) 2002-08-15 2004-09-14 Micron Technology, Inc. Lanthanide doped TiOx dielectric films
US6927140B2 (en) 2002-08-21 2005-08-09 Intel Corporation Method for fabricating a bipolar transistor base
US20040036129A1 (en) * 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US6967154B2 (en) * 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US7253122B2 (en) * 2002-08-28 2007-08-07 Micron Technology, Inc. Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
US6984592B2 (en) * 2002-08-28 2006-01-10 Micron Technology, Inc. Systems and methods for forming metal-doped alumina
US7087481B2 (en) * 2002-08-28 2006-08-08 Micron Technology, Inc. Systems and methods for forming metal oxides using metal compounds containing aminosilane ligands
US7084078B2 (en) 2002-08-29 2006-08-01 Micron Technology, Inc. Atomic layer deposited lanthanide doped TiOx dielectric films
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6770536B2 (en) * 2002-10-03 2004-08-03 Agere Systems Inc. Process for semiconductor device fabrication in which a insulating layer is formed on a semiconductor substrate
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
WO2004035858A2 (en) * 2002-10-15 2004-04-29 Rensselaer Polytechnic Institute Atomic layer deposition of noble metals
US7540920B2 (en) * 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US7097886B2 (en) * 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
WO2004064147A2 (en) * 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
DE10303413B3 (en) * 2003-01-29 2004-08-05 Infineon Technologies Ag Production of structured ceramic layers on surfaces of relief arranged vertically to substrate surface comprises preparing semiconductor substrate with relief on its surface, filling the relief with lacquer and further processing
US9121098B2 (en) 2003-02-04 2015-09-01 Asm International N.V. NanoLayer Deposition process for composite films
US6863725B2 (en) * 2003-02-04 2005-03-08 Micron Technology, Inc. Method of forming a Ta2O5 comprising layer
US7713592B2 (en) * 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US7198820B2 (en) * 2003-02-06 2007-04-03 Planar Systems, Inc. Deposition of carbon- and transition metal-containing thin films
US7192892B2 (en) * 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
JP4361747B2 (en) * 2003-03-04 2009-11-11 東京エレクトロン株式会社 Thin film formation method
US20040178175A1 (en) * 2003-03-12 2004-09-16 Pellin Michael J. Atomic layer deposition for high temperature superconductor material synthesis
JP4009550B2 (en) * 2003-03-27 2007-11-14 エルピーダメモリ株式会社 Method for forming metal oxide film
US6955986B2 (en) 2003-03-27 2005-10-18 Asm International N.V. Atomic layer deposition methods for forming a multi-layer adhesion-barrier layer for integrated circuits
US7135369B2 (en) 2003-03-31 2006-11-14 Micron Technology, Inc. Atomic layer deposited ZrAlxOy dielectric layers including Zr4AlO9
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US20070010702A1 (en) * 2003-04-08 2007-01-11 Xingwu Wang Medical device with low magnetic susceptibility
US20050278020A1 (en) * 2003-04-08 2005-12-15 Xingwu Wang Medical device
US20050025797A1 (en) * 2003-04-08 2005-02-03 Xingwu Wang Medical device with low magnetic susceptibility
US20060102871A1 (en) * 2003-04-08 2006-05-18 Xingwu Wang Novel composition
US20050155779A1 (en) * 2003-04-08 2005-07-21 Xingwu Wang Coated substrate assembly
US20050079132A1 (en) * 2003-04-08 2005-04-14 Xingwu Wang Medical device with low magnetic susceptibility
US20050261763A1 (en) * 2003-04-08 2005-11-24 Xingwu Wang Medical device
US20040254419A1 (en) * 2003-04-08 2004-12-16 Xingwu Wang Therapeutic assembly
US20050244337A1 (en) * 2003-04-08 2005-11-03 Xingwu Wang Medical device with a marker
US20050149002A1 (en) * 2003-04-08 2005-07-07 Xingwu Wang Markers for visualizing interventional medical devices
US20050119725A1 (en) * 2003-04-08 2005-06-02 Xingwu Wang Energetically controlled delivery of biologically active material from an implanted medical device
US20050240100A1 (en) * 2003-04-08 2005-10-27 Xingwu Wang MRI imageable medical device
US20050149169A1 (en) * 2003-04-08 2005-07-07 Xingwu Wang Implantable medical device
US7183186B2 (en) 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
KR101191222B1 (en) * 2003-04-23 2012-10-16 아익스트론 인코포레이티드 Transient enhanced atomic layer deposition
US20040211357A1 (en) * 2003-04-24 2004-10-28 Gadgil Pradad N. Method of manufacturing a gap-filled structure of a semiconductor device
US7335396B2 (en) * 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US7399357B2 (en) * 2003-05-08 2008-07-15 Arthur Sherman Atomic layer deposition using multilayers
KR20060079144A (en) * 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 Atomic layer deposition of barrier materials
US6930060B2 (en) * 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7192824B2 (en) 2003-06-24 2007-03-20 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
US7638167B2 (en) * 2004-06-04 2009-12-29 Applied Microstructures, Inc. Controlled deposition of silicon-containing coatings adhered by an oxide layer
US20100129548A1 (en) * 2003-06-27 2010-05-27 Sundew Technologies, Llc Ald apparatus and method
US20050271893A1 (en) * 2004-06-04 2005-12-08 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US20040261703A1 (en) * 2003-06-27 2004-12-30 Jeffrey D. Chinn Apparatus and method for controlled application of reactive vapors to produce thin films and coatings
US7662233B2 (en) * 2003-06-27 2010-02-16 Ofer Sneh ALD apparatus and method
US9725805B2 (en) * 2003-06-27 2017-08-08 Spts Technologies Limited Apparatus and method for controlled application of reactive vapors to produce thin films and coatings
JP5082242B2 (en) * 2003-07-16 2012-11-28 コニカミノルタホールディングス株式会社 Thin film formation method
US7399388B2 (en) * 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7067407B2 (en) * 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7230292B2 (en) * 2003-08-05 2007-06-12 Micron Technology, Inc. Stud electrode and process for making same
KR100884852B1 (en) * 2003-08-11 2009-02-23 도쿄엘렉트론가부시키가이샤 Film forming method
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US8361548B2 (en) * 2003-09-05 2013-01-29 Yield Engineering Systems, Inc. Method for efficient coating of substrates including plasma cleaning and dehydration
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US20050069641A1 (en) * 2003-09-30 2005-03-31 Tokyo Electron Limited Method for depositing metal layers using sequential flow deposition
US6924223B2 (en) * 2003-09-30 2005-08-02 Tokyo Electron Limited Method of forming a metal layer using an intermittent precursor gas flow process
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7071118B2 (en) * 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7258892B2 (en) * 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US20070027532A1 (en) * 2003-12-22 2007-02-01 Xingwu Wang Medical device
JP2007516923A (en) * 2003-12-24 2007-06-28 ナノメトリックス インコーポレイテッド Continuous production of carbon nanotubes
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US20050181535A1 (en) * 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
US20060062910A1 (en) * 2004-03-01 2006-03-23 Meiere Scott H Low zirconium, hafnium-containing compositions, processes for the preparation thereof and methods of use thereof
US20050214458A1 (en) * 2004-03-01 2005-09-29 Meiere Scott H Low zirconium hafnium halide compositions
TWI383063B (en) * 2004-03-01 2013-01-21 Praxair Technology Inc Low zirconium hafnium halide compositions
US7087497B2 (en) * 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
US20050208239A1 (en) * 2004-03-18 2005-09-22 3M Innovative Properties Company Flexible article comprising pocket
US20050210455A1 (en) * 2004-03-18 2005-09-22 International Business Machines Corporation Method for generating an executable workflow code from an unstructured cyclic process model
US7405143B2 (en) * 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7879396B2 (en) * 2004-06-04 2011-02-01 Applied Microstructures, Inc. High aspect ratio performance coatings for biological microfluidics
EP1751325A4 (en) * 2004-06-04 2009-05-13 Applied Microstructures Inc Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US7695775B2 (en) * 2004-06-04 2010-04-13 Applied Microstructures, Inc. Controlled vapor deposition of biocompatible coatings over surface-treated substrates
US8202575B2 (en) * 2004-06-28 2012-06-19 Cambridge Nanotech, Inc. Vapor deposition systems and methods
US20070286965A1 (en) * 2006-06-08 2007-12-13 Martin Jay Seamons Methods for the reduction and elimination of particulate contamination with cvd of amorphous carbon
US20060019493A1 (en) * 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US8158488B2 (en) * 2004-08-31 2012-04-17 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7494939B2 (en) 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
US20060118758A1 (en) * 2004-09-15 2006-06-08 Xingwu Wang Material to enable magnetic resonance imaging of implantable medical devices
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7106096B2 (en) * 2004-11-11 2006-09-12 International Business Machines Corporation Circuit and method of controlling integrated circuit power consumption using phase change switches
US20060105114A1 (en) * 2004-11-16 2006-05-18 White John M Multi-layer high quality gate dielectric for low-temperature poly-silicon TFTs
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7508648B2 (en) 2005-02-08 2009-03-24 Micron Technology, Inc. Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
US20060177601A1 (en) * 2005-02-10 2006-08-10 Hyung-Sang Park Method of forming a ruthenium thin film using a plasma enhanced atomic layer deposition apparatus and the method thereof
TW200633947A (en) * 2005-02-16 2006-10-01 Ngk Insulators Ltd Joined body and manufacturing method for the same
US7498247B2 (en) 2005-02-23 2009-03-03 Micron Technology, Inc. Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US20060216548A1 (en) * 2005-03-22 2006-09-28 Ming Mao Nanolaminate thin films and method for forming the same using atomic layer deposition
US20090029047A1 (en) * 2005-03-23 2009-01-29 Tokyo Electron Limited Film-forming apparatus and film-forming method
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
JP3984639B2 (en) * 2005-03-30 2007-10-03 松下電器産業株式会社 Transmission line
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7390756B2 (en) * 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
KR20060124879A (en) * 2005-05-26 2006-12-06 주성엔지니어링(주) Method of depositing thin film
US7396415B2 (en) * 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
US20060272577A1 (en) * 2005-06-03 2006-12-07 Ming Mao Method and apparatus for decreasing deposition time of a thin film
JP4456533B2 (en) * 2005-06-14 2010-04-28 東京エレクトロン株式会社 Silicon oxide film forming method, silicon oxide film forming apparatus, and program
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) * 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP5040119B2 (en) * 2006-02-22 2012-10-03 東京エレクトロン株式会社 Environmentally resistant member, semiconductor manufacturing apparatus, and environmentally resistant member manufacturing method
JP5028755B2 (en) * 2005-06-23 2012-09-19 東京エレクトロン株式会社 Surface treatment method for semiconductor processing equipment
US20070014919A1 (en) * 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7473637B2 (en) 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
JP4911345B2 (en) * 2005-07-25 2012-04-04 セイコーエプソン株式会社 PATTERNING METHOD AND ELECTRONIC DEVICE MANUFACTURING METHOD USING THE SAME
EP1917842B1 (en) * 2005-08-26 2015-03-11 FUJIFILM Manufacturing Europe B.V. Method and arrangement for generating and controlling a discharge plasma
US8110469B2 (en) 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7547796B2 (en) * 2005-09-29 2009-06-16 Praxair Technology, Inc. Organometallic compounds, processes for the preparation thereof and methods of use thereof
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
US7850779B2 (en) * 2005-11-04 2010-12-14 Applied Materisals, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
KR100660890B1 (en) * 2005-11-16 2006-12-26 삼성전자주식회사 Method for forming silicon dioxide film using atomic layer deposition
US8357434B1 (en) 2005-12-13 2013-01-22 Lam Research Corporation Apparatus for the deposition of a conformal film on a substrate and methods therefor
US20070264427A1 (en) * 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
KR101379015B1 (en) 2006-02-15 2014-03-28 한국에이에스엠지니텍 주식회사 METHOD OF DEPOSITING Ru FILM USING PEALD AND DENSE Ru FILM
US7709402B2 (en) * 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
KR101388817B1 (en) 2006-03-14 2014-04-23 프랙스에어 테크놀로지, 인코포레이티드 Temperature controlled cold trap for a vapour deposition process and uses thereof
US20070215036A1 (en) * 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US7959985B2 (en) * 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
WO2007112058A2 (en) * 2006-03-24 2007-10-04 Applied Materials, Inc. Carbon precursors for use during silicon epitaxial firm formation
KR100708881B1 (en) * 2006-04-06 2007-04-18 서울시립대학교 산학협력단 A manufacturing apparatus and method for silicon nano dot array and a multi level silicon non-volitile memory manufacturing method using it
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US7582161B2 (en) 2006-04-07 2009-09-01 Micron Technology, Inc. Atomic layer deposited titanium-doped indium oxide films
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
WO2007139379A1 (en) * 2006-05-30 2007-12-06 Fujifilm Manufacturing Europe B.V. Method and apparatus for deposition using pulsed atmospheric pressure glow discharge
JP5543203B2 (en) * 2006-06-16 2014-07-09 フジフィルム マニュファクチャリング ユーロプ ビー.ブイ. Method and apparatus for atomic layer deposition using atmospheric pressure glow discharge plasma
US8318966B2 (en) 2006-06-23 2012-11-27 Praxair Technology, Inc. Organometallic compounds
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
WO2008005892A2 (en) * 2006-06-30 2008-01-10 Applied Materials, Inc. Nanocrystal formation
US7956168B2 (en) * 2006-07-06 2011-06-07 Praxair Technology, Inc. Organometallic compounds having sterically hindered amides
KR100791334B1 (en) * 2006-07-26 2008-01-07 삼성전자주식회사 Method of forming a metal oxide by atomic layer deposition
US8187679B2 (en) * 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
KR101369355B1 (en) * 2006-07-31 2014-03-04 어플라이드 머티어리얼스, 인코포레이티드 Methods of controlling morphology during epitaxial layer formation
JP5090451B2 (en) * 2006-07-31 2012-12-05 アプライド マテリアルズ インコーポレイテッド Method for forming carbon-containing silicon epitaxial layer
US7959986B2 (en) * 2006-08-09 2011-06-14 Praxair Technology, Inc. Organometallic compounds, processes for the preparation thereof and methods of use thereof
US7759747B2 (en) * 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US7435484B2 (en) * 2006-09-01 2008-10-14 Asm Japan K.K. Ruthenium thin film-formed structure
KR20080027009A (en) * 2006-09-22 2008-03-26 에이에스엠지니텍코리아 주식회사 Atomic layer deposition apparatus and method for depositing laminated films using the same
WO2008039845A2 (en) 2006-09-26 2008-04-03 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
US7956207B2 (en) * 2006-09-28 2011-06-07 Praxair Technology, Inc. Heteroleptic organometallic compounds
KR101427142B1 (en) * 2006-10-05 2014-08-07 에이에스엠 아메리카, 인코포레이티드 ALD of metal silicate films
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
WO2008045423A1 (en) * 2006-10-10 2008-04-17 Structured Materials Inc. Self assembled controlled luminescent transparent conductive photonic crystals for light emitting devices
US8268409B2 (en) * 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US8092695B2 (en) * 2006-10-30 2012-01-10 Applied Materials, Inc. Endpoint detection for photomask etching
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US7775508B2 (en) * 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US20080124484A1 (en) * 2006-11-08 2008-05-29 Asm Japan K.K. Method of forming ru film and metal wiring structure
FR2909799A1 (en) * 2006-12-12 2008-06-13 Commissariat Energie Atomique METHOD AND MANUFACTURE OF NUCLEAR FUEL ELEMENTS AND CONTAINER FOR IMPLEMENTING SUCH A METHOD
US7897495B2 (en) * 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US7595270B2 (en) * 2007-01-26 2009-09-29 Asm America, Inc. Passivated stoichiometric metal nitride films
US7598170B2 (en) * 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US9064960B2 (en) * 2007-01-31 2015-06-23 Applied Materials, Inc. Selective epitaxy process control
US8043432B2 (en) * 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
WO2008100139A1 (en) * 2007-02-13 2008-08-21 Fujifilm Manufacturing Europe B.V. Substrate plasma treatment using magnetic mask device
US8025932B2 (en) * 2007-02-21 2011-09-27 Colorado School Of Mines Self-limiting thin film synthesis achieved by pulsed plasma-enhanced chemical vapor deposition
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US20080241384A1 (en) * 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
US20080248648A1 (en) * 2007-04-06 2008-10-09 Thompson David M Deposition precursors for semiconductor applications
US7713874B2 (en) * 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
JP5364293B2 (en) * 2007-06-01 2013-12-11 株式会社半導体エネルギー研究所 Display device manufacturing method and plasma CVD apparatus
US7939932B2 (en) * 2007-06-20 2011-05-10 Analog Devices, Inc. Packaged chip devices with atomic layer deposition protective films
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US8017182B2 (en) * 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US20090035946A1 (en) * 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
KR20090018290A (en) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 Deposition apparatus
EP2101973B1 (en) 2007-08-27 2016-01-06 Borealis Technology OY Equipment and process for producing polymer pellets
US20100255625A1 (en) * 2007-09-07 2010-10-07 Fujifilm Manufacturing Europe B.V. Method and apparatus for atomic layer deposition using an atmospheric pressure glow discharge plasma
US7678298B2 (en) * 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7585762B2 (en) * 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US20090087550A1 (en) * 2007-09-27 2009-04-02 Tokyo Electron Limited Sequential flow deposition of a tungsten silicide gate electrode film
US20090087339A1 (en) * 2007-09-28 2009-04-02 Asm Japan K.K. METHOD FOR FORMING RUTHENIUM COMPLEX FILM USING Beta-DIKETONE-COORDINATED RUTHENIUM PRECURSOR
US7824743B2 (en) * 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US8080280B1 (en) 2007-10-16 2011-12-20 Sandia Corporation Nanostructure templating using low temperature atomic layer deposition
KR101544198B1 (en) 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 Method of depositing ruthenium film
US8282735B2 (en) * 2007-11-27 2012-10-09 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
US7655564B2 (en) * 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
KR20090067505A (en) * 2007-12-21 2009-06-25 에이에스엠지니텍코리아 주식회사 Method of depositing ruthenium film
US7678715B2 (en) 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
JP4935687B2 (en) * 2008-01-19 2012-05-23 東京エレクトロン株式会社 Film forming method and film forming apparatus
US20090203917A1 (en) * 2008-01-24 2009-08-13 Thompson David M Organometallic compounds, processes for the preparation thereof and methods of use thereof
US20090203928A1 (en) * 2008-01-24 2009-08-13 Thompson David M Organometallic compounds, processes for the preparation thereof and methods of use thereof
US20090199739A1 (en) * 2008-01-24 2009-08-13 Thompson David M Organometallic compounds, processes for the preparation thereof and methods of use thereof
US20090205538A1 (en) * 2008-01-24 2009-08-20 Thompson David M Organometallic compounds, processes for the preparation thereof and methods of use thereof
US8702999B2 (en) * 2008-02-01 2014-04-22 Fujifilm Manufacturing Europe B.V. Method and apparatus for plasma surface treatment of a moving substrate
EP2241165B1 (en) * 2008-02-08 2011-08-31 Fujifilm Manufacturing Europe B.V. Method for manufacturing a multi_layer stack structure with improved wvtr barrier property
US7799674B2 (en) * 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US20090214777A1 (en) * 2008-02-22 2009-08-27 Demetrius Sarigiannis Multiple ampoule delivery systems
US8273178B2 (en) * 2008-02-28 2012-09-25 Asm Genitech Korea Ltd. Thin film deposition apparatus and method of maintaining the same
FR2928662B1 (en) * 2008-03-11 2011-08-26 Arkema France METHOD AND SYSTEM FOR DEPOSITION OF A METAL OR METALLOID ON CARBON NANOTUBES
US8545936B2 (en) 2008-03-28 2013-10-01 Asm International N.V. Methods for forming carbon nanotubes
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US20090258143A1 (en) 2008-04-11 2009-10-15 Peck John D Reagent dispensing apparatus and delivery method
US20090255466A1 (en) 2008-04-11 2009-10-15 Peck John D Reagent dispensing apparatus and delivery method
JP5551681B2 (en) * 2008-04-16 2014-07-16 エーエスエム アメリカ インコーポレイテッド Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US8383525B2 (en) * 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US7666474B2 (en) 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
KR101436564B1 (en) * 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 Forming method of amorphous silicone thin film
US8084104B2 (en) * 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US8146896B2 (en) * 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
US9328417B2 (en) * 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
US8235364B2 (en) * 2008-11-11 2012-08-07 Praxair Technology, Inc. Reagent dispensing apparatuses and delivery methods
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
KR20110100618A (en) * 2008-12-05 2011-09-14 로터스 어플라이드 테크놀로지, 엘엘씨 High rate deposition of thin films with improved barrier layer properties
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US8557702B2 (en) 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
CA2653581A1 (en) 2009-02-11 2010-08-11 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapour deposition
GB2467928A (en) * 2009-02-19 2010-08-25 Amit Kumar Roy Inorganic Fibre Coating by Atomic Layer Deposition
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20100266765A1 (en) * 2009-04-21 2010-10-21 White Carl L Method and apparatus for growing a thin film onto a substrate
US20110020546A1 (en) * 2009-05-15 2011-01-27 Asm International N.V. Low Temperature ALD of Noble Metals
US8329569B2 (en) * 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8026157B2 (en) * 2009-09-02 2011-09-27 Applied Materials, Inc. Gas mixing method realized by back diffusion in a PECVD system with showerhead
US8637123B2 (en) * 2009-12-29 2014-01-28 Lotus Applied Technology, Llc Oxygen radical generation for radical-enhanced thin film deposition
US8241991B2 (en) * 2010-03-05 2012-08-14 Asm Japan K.K. Method for forming interconnect structure having airgap
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
JP5541223B2 (en) * 2010-07-29 2014-07-09 東京エレクトロン株式会社 Film forming method and film forming apparatus
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9040127B2 (en) * 2010-09-24 2015-05-26 Applied Materials, Inc. Low temperature silicon carbide deposition process
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
US8143147B1 (en) 2011-02-10 2012-03-27 Intermolecular, Inc. Methods and systems for forming thin films
JP2012186375A (en) * 2011-03-07 2012-09-27 Tokyo Electron Ltd Plasma processing method, film formation method, manufacturing method of semiconductor device, plasma processing device
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10707082B2 (en) 2011-07-06 2020-07-07 Asm International N.V. Methods for depositing thin films comprising indium nitride by atomic layer deposition
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130022658A1 (en) * 2011-07-23 2013-01-24 Synos Technology, Inc. Depositing material with antimicrobial properties on permeable substrate using atomic layer deposition
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
KR101881894B1 (en) * 2012-04-06 2018-07-26 삼성디스플레이 주식회사 Thin film depositing apparatus and the thin film depositing method using the same
US10279365B2 (en) 2012-04-27 2019-05-07 Progressive Surface, Inc. Thermal spray method integrating selected removal of particulates
US20130284203A1 (en) * 2012-04-27 2013-10-31 Progressive Surface, Inc. Plasma spray apparatus integrating water cleaning
KR20140008751A (en) * 2012-07-11 2014-01-22 김원구 Method of depositing al-si compound and apparatus for depositing the same
US8784950B2 (en) 2012-07-16 2014-07-22 Asm Ip Holding B.V. Method for forming aluminum oxide film using Al compound containing alkyl group and alkoxy or alkylamine group
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US8911826B2 (en) * 2012-08-02 2014-12-16 Asm Ip Holding B.V. Method of parallel shift operation of multiple reactors
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
US20140116336A1 (en) * 2012-10-26 2014-05-01 Applied Materials, Inc. Substrate process chamber exhaust
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20140183051A1 (en) * 2013-01-02 2014-07-03 International Business Machines Corporation Deposition of pure metals in 3d structures
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
WO2014204078A1 (en) * 2013-06-17 2014-12-24 주식회사 우리정도 Chemical vapor deposition apparatus for high-speed deposition at ambient temperature with tube-type filter trap, system for remotely controlling same, and deposition method using same
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US20150125628A1 (en) * 2013-11-06 2015-05-07 Asm Ip Holding B.V. Method of depositing thin film
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR20150109984A (en) * 2014-03-21 2015-10-02 삼성전자주식회사 Gas barrier film, refrigerator having the same and method of manufacturing the gas barrier film
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9190266B1 (en) 2014-08-27 2015-11-17 The Regents Of The University Of California High capacitance density gate dielectrics for III-V semiconductor channels using a pre-disposition surface treatment involving plasma and TI precursor exposure
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102216575B1 (en) 2014-10-23 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Titanium aluminum and tantalum aluminum thin films
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9646876B2 (en) * 2015-02-27 2017-05-09 Applied Materials, Inc. Aluminum nitride barrier layer
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9941157B2 (en) * 2015-06-26 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Porogen bonded gap filling material in semiconductor manufacturing
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (en) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. Formation of SiOC thin films
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US20180144973A1 (en) * 2016-11-01 2018-05-24 Applied Materials, Inc. Electromigration Improvement Using Tungsten For Selective Cobalt Deposition On Copper Surfaces
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
FR3058162B1 (en) * 2016-11-02 2021-01-01 Commissariat Energie Atomique DEPOSIT PROCESS FOR THIN CHALCOGENURE FILMS
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (en) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 Including clean mini-environment device
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
KR20190065962A (en) 2017-12-04 2019-06-12 에이에스엠 아이피 홀딩 비.브이. UNIFORM DEPOSITION OF SiOC ON DIELECTRIC AND METAL SURFACES
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
JP2021529254A (en) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
CN112292477A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
JP7165529B2 (en) * 2018-07-27 2022-11-04 大陽日酸株式会社 Flange fastening structure and vapor phase growth apparatus using the same
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11769692B2 (en) * 2018-10-31 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. High breakdown voltage inter-metal dielectric layer
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) * 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
JP2021172884A (en) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride-containing layer and structure comprising vanadium nitride-containing layer
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2023075832A (en) * 2021-11-19 2023-05-31 東京エレクトロン株式会社 Nitride film forming method and plasma processing apparatus
DE102022108150B3 (en) 2022-04-05 2023-04-27 Technische Universität Ilmenau Process and reactor configuration for the production of oxide or oxynitride layers

Citations (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3660179A (en) * 1970-08-17 1972-05-02 Westinghouse Electric Corp Gaseous diffusion technique
US4058430A (en) * 1974-11-29 1977-11-15 Tuomo Suntola Method for producing compound thin films
US4767494A (en) * 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
US4935661A (en) * 1985-06-29 1990-06-19 Stc Plc Pulsed plasma apparatus and process
US5166092A (en) * 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US5270247A (en) * 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US5281274A (en) * 1990-06-22 1994-01-25 The United States Of America As Represented By The Secretary Of The Navy Atomic layer epitaxy (ALE) apparatus for growing thin films of elemental semiconductors
US5291066A (en) * 1991-11-14 1994-03-01 General Electric Company Moisture-proof electrical circuit high density interconnect module and method for making same
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5458733A (en) * 1991-12-20 1995-10-17 Kobe Steel Usa, Inc. Method for etching a diamond film
US5483919A (en) * 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5503105A (en) * 1992-10-20 1996-04-02 Fujitsu, Ltd. Deposition method for compound semiconductor forming semiconductor device
US5567956A (en) * 1991-03-27 1996-10-22 Canon Kabushiki Kaisha Information processing apparatus including a photoelectric conversion element having a semiconductor layer with a varying energy band gap width
US5573981A (en) * 1993-09-21 1996-11-12 Sony Corporation Method of removing residual charges of an electrostatic chuck used in a layer deposition process
US6127275A (en) * 1995-12-04 2000-10-03 Daniel L. Flamm Process depending on plasma discharges sustained by inductive coupling
US6426273B1 (en) * 1995-01-31 2002-07-30 Sony Corporation Preprocessing method of metal film forming process
US6464779B1 (en) * 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
US6464782B1 (en) * 1994-07-13 2002-10-15 Applied Materials, Inc. Apparatus for vaporization sequence for multiple liquid precursors used in semiconductor thin film applications
US6616986B2 (en) * 1996-08-16 2003-09-09 Asm America Inc. Sequential chemical vapor deposition
US6756318B2 (en) * 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method

Family Cites Families (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US526779A (en) * 1894-10-02 gould
US499357A (en) * 1893-06-13 Elevator-gate-operating device
US612275A (en) * 1898-10-11 Brick-machine
US3422321A (en) * 1966-06-20 1969-01-14 Sperry Rand Corp Oxygenated silicon nitride semiconductor devices and silane method for making same
US4282267A (en) 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4985313A (en) * 1985-01-14 1991-01-15 Raychem Limited Wire and cable
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
GB2162207B (en) 1984-07-26 1989-05-10 Japan Res Dev Corp Semiconductor crystal growth apparatus
US4604304A (en) * 1985-07-03 1986-08-05 Rca Corporation Process of producing thick layers of silicon dioxide
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4949671A (en) * 1985-10-24 1990-08-21 Texas Instruments Incorporated Processing apparatus and method
US4675089A (en) * 1985-11-25 1987-06-23 At&T Technologies, Inc. Low temperature deposition method for high quality aluminum oxide films
JPS62179717A (en) * 1986-02-03 1987-08-06 Nippon Telegr & Teleph Corp <Ntt> Thin film forming method
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
JPH0812844B2 (en) 1987-03-27 1996-02-07 日本電気株式会社 (III) -Group V compound semiconductor and method for forming the same
JPH0666274B2 (en) 1987-07-01 1994-08-24 日本電気株式会社 (III) -Method for forming group V compound semiconductor
FI81926C (en) 1987-09-29 1990-12-10 Nokia Oy Ab FOERFARANDE FOER UPPBYGGNING AV GAAS-FILMER PAO SI- OCH GAAS-SUBSTRATER.
DE3743938C2 (en) 1987-12-23 1995-08-31 Cs Halbleiter Solartech Process for atomic layer epitaxy growth of a III / V compound semiconductor thin film
JP2789587B2 (en) * 1988-01-08 1998-08-20 日本電気株式会社 Manufacturing method of insulating thin film
US4900591A (en) * 1988-01-20 1990-02-13 The United States Of America As Represented By The Secretary Of The Air Force Method for the deposition of high quality silicon dioxide at low temperature
US4851095A (en) * 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
JPH01204434A (en) * 1988-02-09 1989-08-17 Nec Corp Manufacture of insulating thin film
US5060595A (en) 1988-04-12 1991-10-29 Ziv Alan R Via filling by selective laser chemical vapor deposition
US5130269A (en) 1988-04-27 1992-07-14 Fujitsu Limited Hetero-epitaxially grown compound semiconductor substrate and a method of growing the same
US4882008A (en) * 1988-07-08 1989-11-21 Texas Instruments Incorporated Dry development of photoresist
JPH0824191B2 (en) 1989-03-17 1996-03-06 富士通株式会社 Thin film transistor
JP2726118B2 (en) * 1989-09-26 1998-03-11 キヤノン株式会社 Deposition film formation method
JPH03119721A (en) * 1989-09-30 1991-05-22 Toshiba Corp Crystal growth
US5549937A (en) 1989-10-11 1996-08-27 U.S. Philips Corporation Method of plasma-activated reactive deposition of electrically conducting multicomponent material from a gas phase
JP2822536B2 (en) 1990-02-14 1998-11-11 住友電気工業株式会社 Method for forming cubic boron nitride thin film
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5146465A (en) 1991-02-01 1992-09-08 Apa Optics, Inc. Aluminum gallium nitride laser
US5356673A (en) * 1991-03-18 1994-10-18 Jet Process Corporation Evaporation system and method for gas jet deposition of thin film materials
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
JP2828152B2 (en) 1991-08-13 1998-11-25 富士通 株式会社 Method of forming thin film, multilayer structure film, and method of forming silicon thin film transistor
US6064077A (en) * 1991-08-30 2000-05-16 Stmicroelectronics, Inc. Integrated circuit transistor
JPH0562913A (en) * 1991-09-04 1993-03-12 Canon Inc Deposition film forming method
JPH0574713A (en) * 1991-09-17 1993-03-26 Nippondenso Co Ltd Manufacture of amorphous semiconductor thin film
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5256244A (en) 1992-02-10 1993-10-26 General Electric Company Production of diffuse reflective coatings by atomic layer epitaxy
US5458084A (en) 1992-04-16 1995-10-17 Moxtek, Inc. X-ray wave diffraction optics constructed by atomic layer epitaxy
JPH05299412A (en) 1992-04-23 1993-11-12 Kojundo Chem Lab Co Ltd Manufacture of silicon oxide film in semiconductor device
RU94046132A (en) 1992-05-22 1996-09-27 Миннесота Майнинг энд Мануфакчуринг Компани (US) Method for controlling molecular beam epitaxial system in manufacturing electric fluorescent devices around semiconductor compound ii-vi and laser diodes ii-vi
MX9303141A (en) * 1992-05-28 1994-04-29 Polar Materials Inc METHODS AND DEVICES FOR DEPOSITING BARRIER COATINGS.
US5278435A (en) 1992-06-08 1994-01-11 Apa Optics, Inc. High responsivity ultraviolet gallium nitride detector
JPH0750690B2 (en) 1992-08-21 1995-05-31 日本電気株式会社 Method and apparatus for epitaxial growth of semiconductor crystal using halide
US5459108A (en) 1992-10-06 1995-10-17 Sharp Kabushiki Kaisha Normal pressure CVD process for manufacture of a semiconductor device through reaction of a nitrogen containing organic source with ozone
JP3080809B2 (en) 1993-04-30 2000-08-28 シャープ株式会社 Method for manufacturing semiconductor device
JPH06272047A (en) * 1993-03-16 1994-09-27 Mitsubishi Cable Ind Ltd Method for producing coated powder and device therefor
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
JPH06333853A (en) * 1993-05-19 1994-12-02 Nissin Electric Co Ltd Thin film formation
US5330610A (en) 1993-05-28 1994-07-19 Martin Marietta Energy Systems, Inc. Method of digital epilaxy by externally controlled closed-loop feedback
US5443847A (en) * 1993-07-15 1995-08-22 West; Philip W. Specific detoxification of urushiol with manganese salts
FI92897C (en) * 1993-07-20 1995-01-10 Planar International Oy Ltd Process for producing a layer structure for electroluminescence components
JPH0758100A (en) 1993-08-10 1995-03-03 Kawasaki Steel Corp Manufacture of semiconductor device
JP3618110B2 (en) 1993-08-30 2005-02-09 株式会社デンソー Manufacturing method of electroluminescence element
JPH07252657A (en) * 1994-03-16 1995-10-03 Mitsubishi Heavy Ind Ltd Formation of film
JP3181171B2 (en) 1994-05-20 2001-07-03 シャープ株式会社 Vapor phase growth apparatus and vapor phase growth method
US6200389B1 (en) 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
US5641984A (en) 1994-08-19 1997-06-24 General Electric Company Hermetically sealed radiation imager
FI97731C (en) 1994-11-28 1997-02-10 Mikrokemia Oy Method and apparatus for making thin films
FI97730C (en) 1994-11-28 1997-02-10 Mikrokemia Oy Equipment for the production of thin films
FI100409B (en) 1994-11-28 1997-11-28 Asm Int Method and apparatus for making thin films
JP3428767B2 (en) * 1995-03-10 2003-07-22 キヤノン株式会社 Deposition method of polycrystalline Si thin film
US20010028922A1 (en) * 1995-06-07 2001-10-11 Sandhu Gurtej S. High throughput ILD fill process for high aspect ratio gap fill
JPH0978244A (en) * 1995-09-07 1997-03-25 Canon Inc Plasma cvd method
JPH09260373A (en) * 1996-03-26 1997-10-03 Nippon Steel Corp Manufacture of semiconductor device
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JP3529989B2 (en) * 1997-09-12 2004-05-24 株式会社東芝 Film forming method and semiconductor device manufacturing method
KR100274603B1 (en) 1997-10-01 2001-01-15 윤종용 Method and apparatus for fabricating semiconductor device
US6133977A (en) 1997-10-21 2000-10-17 Samsung Electronics Co., Ltd. Liquid crystal displays having common electrode overlap with one or more data lines
KR100252049B1 (en) * 1997-11-18 2000-04-15 윤종용 The atomic layer deposition method for fabricating aluminum layer
US6104074A (en) 1997-12-11 2000-08-15 Apa Optics, Inc. Schottky barrier detectors for visible-blind ultraviolet detection
KR100275738B1 (en) 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
KR100297719B1 (en) * 1998-10-16 2001-08-07 윤종용 Method for manufacturing thin film
JP4149051B2 (en) * 1998-11-09 2008-09-10 東京エレクトロン株式会社 Deposition equipment
JP2000228400A (en) * 1999-02-08 2000-08-15 Telecommunication Advancement Organization Of Japan Manufacture of semiconductor device and processor
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR100273473B1 (en) 1999-04-06 2000-11-15 이경수 Method for forming a thin film
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
FI118804B (en) 1999-12-03 2008-03-31 Asm Int Process for making oxide films
JP4684461B2 (en) 2000-04-28 2011-05-18 パナソニック株式会社 Method for manufacturing magnetic element
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
SG90269A1 (en) * 2000-11-13 2002-07-23 Applied Materials Inc Atomic layer deposition of ta2o5 and high-k dielectrics
JP2002343790A (en) * 2001-05-21 2002-11-29 Nec Corp Vapor-phase deposition method of metallic compound thin film and method for manufacturing semiconductor device

Patent Citations (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3660179A (en) * 1970-08-17 1972-05-02 Westinghouse Electric Corp Gaseous diffusion technique
US4058430A (en) * 1974-11-29 1977-11-15 Tuomo Suntola Method for producing compound thin films
US4935661A (en) * 1985-06-29 1990-06-19 Stc Plc Pulsed plasma apparatus and process
US4767494A (en) * 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
US5166092A (en) * 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US5281274A (en) * 1990-06-22 1994-01-25 The United States Of America As Represented By The Secretary Of The Navy Atomic layer epitaxy (ALE) apparatus for growing thin films of elemental semiconductors
US5483919A (en) * 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5567956A (en) * 1991-03-27 1996-10-22 Canon Kabushiki Kaisha Information processing apparatus including a photoelectric conversion element having a semiconductor layer with a varying energy band gap width
US5270247A (en) * 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US5291066A (en) * 1991-11-14 1994-03-01 General Electric Company Moisture-proof electrical circuit high density interconnect module and method for making same
US5458733A (en) * 1991-12-20 1995-10-17 Kobe Steel Usa, Inc. Method for etching a diamond film
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5503105A (en) * 1992-10-20 1996-04-02 Fujitsu, Ltd. Deposition method for compound semiconductor forming semiconductor device
US5573981A (en) * 1993-09-21 1996-11-12 Sony Corporation Method of removing residual charges of an electrostatic chuck used in a layer deposition process
US6464782B1 (en) * 1994-07-13 2002-10-15 Applied Materials, Inc. Apparatus for vaporization sequence for multiple liquid precursors used in semiconductor thin film applications
US6426273B1 (en) * 1995-01-31 2002-07-30 Sony Corporation Preprocessing method of metal film forming process
US6127275A (en) * 1995-12-04 2000-10-03 Daniel L. Flamm Process depending on plasma discharges sustained by inductive coupling
US7410671B2 (en) * 1996-08-16 2008-08-12 Asm International N.V. Sequential chemical vapor deposition
US6616986B2 (en) * 1996-08-16 2003-09-09 Asm America Inc. Sequential chemical vapor deposition
US6652924B2 (en) * 1996-08-16 2003-11-25 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US7682657B2 (en) * 1996-08-16 2010-03-23 Asm International N.V. Sequential chemical vapor deposition
US6464779B1 (en) * 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
US7235484B2 (en) * 2001-09-10 2007-06-26 Tegal Corporation Nanolayer thick film processing system and method
US6756318B2 (en) * 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method

Cited By (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080038486A1 (en) * 2006-08-03 2008-02-14 Helmuth Treichel Radical Assisted Batch Film Deposition
US20090239085A1 (en) * 2008-03-24 2009-09-24 Toshihiro Ehara SiC SEMICONDUCTOR ELEMENT, METHOD OF MANUFACTURING THE SAME, AND MANUFACTURING APPARATUS THEREOF
US10049924B2 (en) 2010-06-10 2018-08-14 Asm International N.V. Selective formation of metallic films on metallic surfaces
US11056385B2 (en) 2011-12-09 2021-07-06 Asm International N.V. Selective formation of metallic films on metallic surfaces
US10157786B2 (en) 2011-12-09 2018-12-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US10214817B2 (en) 2013-10-16 2019-02-26 The Board Of Trustees Of The University Of Illinois Multi-metal films, alternating film multilayers, formation methods and deposition system
US11213853B2 (en) 2014-02-04 2022-01-04 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US10456808B2 (en) 2014-02-04 2019-10-29 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US10443123B2 (en) 2014-04-16 2019-10-15 Asm Ip Holding B.V. Dual selective deposition
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US11525184B2 (en) 2014-04-16 2022-12-13 Asm Ip Holding B.V. Dual selective deposition
US11047040B2 (en) 2014-04-16 2021-06-29 Asm Ip Holding B.V. Dual selective deposition
US10741411B2 (en) 2015-02-23 2020-08-11 Asm Ip Holding B.V. Removal of surface passivation
US11062914B2 (en) 2015-02-23 2021-07-13 Asm Ip Holding B.V. Removal of surface passivation
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US11174550B2 (en) 2015-08-03 2021-11-16 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10903113B2 (en) 2015-08-05 2021-01-26 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10847361B2 (en) 2015-08-05 2020-11-24 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10553482B2 (en) 2015-08-05 2020-02-04 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US11389824B2 (en) 2015-10-09 2022-07-19 Asm Ip Holding B.V. Vapor phase deposition of organic films
US11654454B2 (en) 2015-10-09 2023-05-23 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US11446699B2 (en) 2015-10-09 2022-09-20 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10741394B2 (en) 2016-04-18 2020-08-11 Asm Ip Holding B.V. Combined anneal and selective deposition process
WO2017184358A1 (en) * 2016-04-18 2017-10-26 Asm Ip Holding B.V. Combined anneal and selective deposition process
CN109311052A (en) * 2016-04-18 2019-02-05 Asm Ip控股有限公司 Compound annealing and selective depositing method
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US11387107B2 (en) 2016-06-01 2022-07-12 Asm Ip Holding B.V. Deposition of organic films
US10923361B2 (en) 2016-06-01 2021-02-16 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10854460B2 (en) 2016-06-01 2020-12-01 Asm Ip Holding B.V. Deposition of organic films
US11728175B2 (en) 2016-06-01 2023-08-15 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US10041166B2 (en) 2016-06-08 2018-08-07 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10480064B2 (en) 2016-06-08 2019-11-19 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10793946B1 (en) 2016-06-08 2020-10-06 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US11728164B2 (en) 2017-05-16 2023-08-15 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US11396701B2 (en) 2017-07-14 2022-07-26 Asm Ip Holding B.V. Passivation against vapor deposition
US11739422B2 (en) 2017-07-14 2023-08-29 Asm Ip Holding B.V. Passivation against vapor deposition
US11501966B2 (en) 2018-05-02 2022-11-15 Asm Ip Holding B.V. Selective layer formation using deposition and removing
US10872765B2 (en) 2018-05-02 2020-12-22 Asm Ip Holding B.V. Selective layer formation using deposition and removing
US11804373B2 (en) 2018-05-02 2023-10-31 ASM IP Holding, B.V. Selective layer formation using deposition and removing
US11145506B2 (en) 2018-10-02 2021-10-12 Asm Ip Holding B.V. Selective passivation and selective deposition
US11830732B2 (en) 2018-10-02 2023-11-28 Asm Ip Holding B.V. Selective passivation and selective deposition
US11664219B2 (en) 2019-10-31 2023-05-30 Asm Ip Holding B.V. Selective deposition of SiOC thin films
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
US11608557B2 (en) 2020-03-30 2023-03-21 Asm Ip Holding B.V. Simultaneous selective deposition of two different materials on two different surfaces
US11643720B2 (en) 2020-03-30 2023-05-09 Asm Ip Holding B.V. Selective deposition of silicon oxide on metal surfaces
US11898240B2 (en) 2020-03-30 2024-02-13 Asm Ip Holding B.V. Selective deposition of silicon oxide on dielectric surfaces relative to metal surfaces

Also Published As

Publication number Publication date
EP1183406A1 (en) 2002-03-06
KR100966088B1 (en) 2010-07-01
KR20070110451A (en) 2007-11-16
US20040076751A1 (en) 2004-04-22
US20040083949A1 (en) 2004-05-06
US7410671B2 (en) 2008-08-12
KR100818792B1 (en) 2008-04-02
JP2002541332A (en) 2002-12-03
KR20070086779A (en) 2007-08-27
JP5554742B2 (en) 2014-07-23
DE60012733D1 (en) 2004-09-09
DE60012733T2 (en) 2005-08-04
KR20010110746A (en) 2001-12-13
KR20090043593A (en) 2009-05-06
US20080280039A1 (en) 2008-11-13
US8323737B2 (en) 2012-12-04
US20020031618A1 (en) 2002-03-14
US7682657B2 (en) 2010-03-23
US6342277B1 (en) 2002-01-29
EP1183406B1 (en) 2004-08-04
JP4804628B2 (en) 2011-11-02
WO2000061833A1 (en) 2000-10-19
US6652924B2 (en) 2003-11-25
US6616986B2 (en) 2003-09-09
US20010028924A1 (en) 2001-10-11
KR100771257B1 (en) 2007-10-29
KR20080025768A (en) 2008-03-21
JP2011184799A (en) 2011-09-22
KR100853008B1 (en) 2008-08-19
EP1462542A1 (en) 2004-09-29

Similar Documents

Publication Publication Date Title
US8323737B2 (en) Sequential chemical vapor deposition
US5916365A (en) Sequential chemical vapor deposition
US7235484B2 (en) Nanolayer thick film processing system and method
US7141499B2 (en) Apparatus and method for growth of a thin film
TWI394858B (en) Method of depositing tungsten film with reduced resistivity and improved surface morphology
US20120202353A1 (en) Nanolayer deposition using plasma treatment
US20040026371A1 (en) Two-compartment chamber for sequential processing method
JP2007520052A (en) A method of depositing a metal layer from a metal-carbonyl precursor.
TW562882B (en) Method to manufacture a coated substrate
WO2004007795A1 (en) Film formation method for semiconductor processing
JP4965260B2 (en) A method of depositing a metal layer using sequential flow deposition.
US7399357B2 (en) Atomic layer deposition using multilayers
WO2002045147A2 (en) Method for pretreating dielectric layers to enhance the adhesion of cvd metal layers thereto

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION