US20080042202A1 - QUASI SELF-ALIGNED SOURCE/DRAIN FinFET PROCESS - Google Patents

QUASI SELF-ALIGNED SOURCE/DRAIN FinFET PROCESS Download PDF

Info

Publication number
US20080042202A1
US20080042202A1 US11/874,753 US87475307A US2008042202A1 US 20080042202 A1 US20080042202 A1 US 20080042202A1 US 87475307 A US87475307 A US 87475307A US 2008042202 A1 US2008042202 A1 US 2008042202A1
Authority
US
United States
Prior art keywords
layer
semiconductor structure
semiconductor
elevated
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/874,753
Inventor
Meikei Ieong
Thomas Ludwig
Edward Nowak
Qiqing Ouyang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US11/874,753 priority Critical patent/US20080042202A1/en
Publication of US20080042202A1 publication Critical patent/US20080042202A1/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Definitions

  • the present invention relates to semiconductor device processing, and more particularly to a method of forming a semiconductor structure that includes at least multiple FinFET devices in which a single mask is used in defining the Fins, which avoids rounding of the corners where the Fins join the source/drain regions.
  • Fin is used throughout this application to denote an elevated portion of a semiconducting layer of a semiconductor substrate that includes at least the device channel in which the width thereof is less than its height.
  • the present invention is also related to the semiconductor structure including the multiple FinFET devices that is fabricated using the inventive method.
  • Planar FET devices have a conducting gate electrode positioned above a semiconducting channel, and electrically isolated from the channel by a thin layer of gate oxide. Current through the channel is controlled by applying voltage to the conducting gate.
  • the amount of current drive for an FET is defined by the device width (w).
  • Current drive scales proportionally to device width, with wider devices carrying more current than narrower devices.
  • Different parts of integrated circuits (ICs) require the FETs to drive different amounts of current, i.e., with different device widths, which is particularly easy to accommodate in planar FET devices by merely changing the device gate width (via lithography).
  • a FinFET is a double gate FET in which the device channel is within a semiconducting “Fin” having a width w and height h, where typically w ⁇ h.
  • the gate dielectric and gate are positioned around the Fin such that charge flows down the channel on the two sides of the Fin and optionally along the top surface.
  • FinFET devices typically include a fully depleted body in the Fin that provides several advantages over a conventional FET. These advantages include, for example, nearly ideal turn off in the sub-threshold regime, giving lower off-currents and/or allowing lower threshold voltages, no loss to drain currents from body effects, no ‘floating’ body effects (often associated with some silicon-on-insulator (SOI) FETs), higher current density, lower voltage operation, and reduced short channel degradation of threshold voltage and off current. Furthermore, FinFETs are more easily scaled to smaller physical dimensions and lower operating voltages than conventional FETs and SOI FETs.
  • a mask to separately pattern source and drain regions of silicon to link the Fins provides a solution for the rounding problem, but adds an extra overlay for added mask to the Fins, leaving little room for extension implants between the source and drain linking regions and the gate electrode, unless the registration of the various masks is nearly perfect.
  • the present invention provides a method that overcomes the above mentioned problems using simple rectangular shapes to define the Fins which avoid rounding and yet joins the Fins by a deposition of a selective silicon-containing material post gate etch. More specifically, the present invention provides a method of forming a semiconductor structure including a plurality of FinFET devices in which crossing masks are employed in providing linear patterns to define relatively thin Fins along with a chemical oxide removal (COR) process. The present method further includes a step of merging adjacent Fins by the use of a selective silicon-containing material.
  • COR chemical oxide removal
  • the present invention provides a method that includes the steps of:
  • a structure including a plurality of patterned material stacks comprising a nitride layer on top of an oxide hardmask on a surface of semiconductor substrate and a plurality of patterned photomasks which cross over said plurality of patterned material stacks;
  • the laterally etched oxide hardmask is removed by exposing upper portions of the semiconducting material of the semiconductor substrate previously protected by said laterally etched oxide hardmask, wherein portions of said exposed upper portions of the semiconducting material of the semiconductor substrate previously protected by said laterally etched oxide hardmask define the Fins.
  • Each of the Fins produced by the inventive method are then merged by forming a Si-containing material between each of the Fins.
  • the Si-containing material prevents rounding of corners of each Fin with their corresponding source/drain region.
  • the source/drain regions are located within wider end portions of each Fin that where previously protected by said plurality of patterned masks that cross over said plurality of patterned stacks.
  • the wider end portions of each Fin are substantially square; i.e., little or no rounding of the corners of the wider end portions occurs in the present invention.
  • the present invention also relates to the semiconductor structure that is fabricated using the above processing steps.
  • the semiconductor structure of the present invention comprises.
  • each of said FinFET devices including an elevated semiconducting layer that has wider end portions relative to its middle portion, a gate region that crosses said middle portion, and source/drain regions within said wider end portions;
  • FIG. 1A is a pictorial representation (through a top-down view) and FIG. 1B is a pictorial representation (through a cross-sectional view) showing a plurality of first patterned photomasks located over a structure including (from bottom to top) a semiconductor substrate, an oxide hardmask and a nitride layer.
  • FIG. 2 is a pictorial representation (through a top-down view) showing the structure of FIG. 1 after etching exposed regions of the nitride layer and the oxide layer stopping on an upper surface of the semiconductor substrate, removing the plurality of first patterned photomasks and forming a plurality of second patterned photomasks that lay across stripes of stacked oxide/nitride layers.
  • FIG. 3 is a pictorial representation (through a top-down view) showing the structure of FIG. 2 after performing a chemical oxide removal (COR) process which etches exposed sidewalls of the oxide hardmask to a desired distance undercutting both the nitride layer and the second patterned photoresist masks by the distance.
  • COR chemical oxide removal
  • FIG. 4 is a pictorial representation (through a top-down view) showing the structure of FIG. 3 after removing the second patterned photoresist masks; an undercut oxide layer pattern is illustrated, although cover by the nitride layer.
  • FIG. 5 is a pictorial representation (through a top-down view) showing the structure of FIG. 4 after performing an anisotropic etch that is selective to the oxide layer, stopping within the semiconductor substrate, e.g., on a buried insulator layer within the substrate.
  • FIG. 6 is a pictorial representation (through a top-down view) showing the structure of FIG. 5 after forming a gate region including a gate dielectric and a gate electrode.
  • FIG. 7 is a pictorial representation (through a top-down view) showing the structure of FIG. 6 after spacer formation.
  • FIG. 8 is a pictorial representation (through a top-down view) showing the structure of FIG. 7 after selectively forming a Si-containing layer on exposed sidewalls of the substrate.
  • the present invention which provides a method of fabricating a semiconductor structure that includes at least multiple FinFET devices in which a single mask is used in defining the Fins as well as the resultant semiconductor structure, will now be described in greater detail by referring to the following discussion and drawings that accompany the present application. It is noted that the drawings of the present application are provided for illustrative purposes and, as such, they are not drawn to scale.
  • FIGS. 1-8 illustrate an embodiment in which a semiconductor-on-insulator (SOI) substrate is used.
  • SOI semiconductor-on-insulator
  • the present invention also contemplates utilizing a bulk semiconductor substrate.
  • the bulk semiconductor substrate comprises one of Si, Ge alloys, SiGe, GaAs, InAs, InP, SiCGe, SiC as well as other III/V or II/VI compound semiconductors.
  • the substrate includes a Si-containing semiconducting material, with Si being highly preferred.
  • An SOI substrate includes a bottom semiconductor layer and a top semiconductor layer (i.e., active semiconductor layer) that are electrically isolated from each other by a buried insulating layer.
  • the top and bottom semiconductor layers may comprise one of the above mentioned bulk semiconductor materials, with Si-containing semiconductors, preferably, Si being highly preferred.
  • the buried insulating material separating the two semiconducting layers may be a crystalline or non-crystalline oxide or nitride, with crystalline oxides being highly preferred. It is noted that SOI substrates are preferred over bulk substrates since they permit formation of devices having higher operating speeds. In particular, devices formed using SOI technology provide higher performance, absence of latch-up, higher packaging density and low voltage applications as compared with their bulk semiconductor counterparts.
  • the SOI substrate employed in the present invention may be formed utilizing conventional processing techniques well known in the art. For example, a layer transfer process including a bonding step can be used in providing the SOI substrate. Alternatively, an implantation process such as SIMOX (Separation by IMplantation of OXygen) can be used in forming the SOI substrate.
  • a layer transfer process including a bonding step can be used in providing the SOI substrate.
  • an implantation process such as SIMOX (Separation by IMplantation of OXygen) can be used in forming the SOI substrate.
  • the thickness of the various layers of the SOI substrate may vary depending on the technique used in forming the same. Typically, however, the top semiconductor layer has a thickness from about 3 to about 10 nm, the buried insulating layer has a thickness from about 10 to about 150 nm, and the thickness of the bottom semiconductor layer of the SOI substrate is inconsequential to the present invention.
  • FIG. 1B is a pictorial representation (through a cross-sectional view) showing a plurality of first patterned photomasks 22 located over a structure 100 including (from bottom to top) an SOI semiconductor substrate 10 , an oxide hardmask 18 and a nitride layer 20 .
  • the SOI substrate 10 includes a bottom semiconductor layer 12 , a buried insulating layer 14 and a top semiconductor layer 16 .
  • a top-down view of structure 100 is shown in FIG. 1A . In the top-down views provided in the present application, only the patterned regions are highlighted.
  • the structure 100 is formed by first providing an SOI substrate (or bulk semiconductor substrate) by conventional techniques.
  • the oxide hardmask 18 is formed on the upper surface of the substrate, e.g., the upper surface of the top semiconductor layer 16 , utilizing a conventional deposition process such as, for example, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), atomic layer deposition (ALD), physical vapor deposition (PVD), evaporation, chemical solution deposition and other like deposition processes.
  • CVD chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • ALD atomic layer deposition
  • PVD physical vapor deposition
  • evaporation chemical solution deposition and other like deposition processes.
  • the oxide hardmask 18 is formed utilizing a conventional oxidation process.
  • the thickness of the oxide hardmask 18 formed at this point of the present invention may vary depending on the technique that was used in forming the same. Typically, the thickness of the oxide hardmask 18 employed in the present invention
  • a nitride layer 20 such as Si 3 N 4 is formed atop the oxide hardmask 18 .
  • the nitride layer 20 can be formed utilizing a conventional deposition process such as CVD, PECVD, ALD, PVD, evaporation, chemical solution deposition or and other like deposition processes. Alternatively, the nitride layer 20 is formed utilizing a conventional nitridation process.
  • the thickness of the nitride layer 20 formed at this point of the present invention may vary depending on the technique that was used in forming the same. Typically, the thickness of the nitride layer 20 employed in the present invention is from about 1 to about 20 nm, with a thickness from about 1.5 to about 4 nm being even more typical.
  • a blanket layer of photoresist material is deposited on the surface of the nitride layer 20 utilizing a conventional deposition process such as, for example, CVD, PECVD, evaporation or spin-on coating.
  • the blanket layer of photoresist material is patterned into a plurality of first patterned photomasks 22 as shown in FIGS. 1A and 1B . Patterning of the photoresist material is achieved by utilizing a conventional lithographic process which includes exposing the photoresist material to a pattern of radiation and developing the exposed photoresist material utilizing a conventional resist developer.
  • the fabrication process will make reference to top-down views from now on.
  • the exposed nitride layer 20 and the underlying oxide hardmask 18 are removed from the structure 100 utilizing one or more etching processes.
  • the one or more etching processes remove the unprotected portions of layers 20 and 18 , stopping on an upper surface of the SOI substrate 10 , i.e., atop the top semiconductor layer 16 .
  • the one or more etching processes may include dry etching or wet etching.
  • dry etching such as reactive-ion etching (RIE) is used.
  • RIE reactive-ion etching
  • Other examples of dry etching that can be used at this point of the present invention include ion beam etching, plasma etching or laser ablation.
  • the plurality of first patterned photomasks 22 are removed utilizing a conventional resist stripping process.
  • the structure at this point of the present invention includes a plurality of material stacks 24 containing remaining portions of nitride layer 20 and oxide hardmask 18 on the SOI substrate 10 .
  • a plurality of second photomasks 26 is then formed so as to cross stripes of material stacks 24 . That is, the plurality of second photomasks 26 is formed such that each of the second photomasks 26 lay across the material stacks 24 .
  • the plurality of second photomasks 26 are formed by first applying a second blanket photoresist material to the structure shown in FIGS. 1A and 1B and then subjecting that blanket photoresist layer to lithography.
  • the area between the second photomasks, particularly the underlying top semiconductor layer 16 of the SOI substrate 10 represents the location wherein the Fins of each FinFET device will be formed.
  • the structure including the plurality of second photomasks 26 and the material stacks 24 is shown in FIG. 2 . Note, that the plurality of second photomasks 26 protect some portions of the material stacks 24 as well as the adjacent SOI substrate 10 , e.g., the top semiconductor layer 18 .
  • FIG. 2 The resultant structure shown in FIG. 2 is then subjected to a chemical oxide removal (COR) process.
  • the COR process selectively etches (in a lateral direction) exposed vertical surfaces of the oxide hardmask 18 of each material stack 24 undercutting both the overlying nitride layer 20 and each of the second photomasks 26 .
  • the lateral etch is performed to a predetermined distance of from about 5 to about 40 nm, which distance is substantially the same for the undercuts as well.
  • FIG. 3 shows the structure after the COR process. Note that in FIG. 3 and FIG. 4 the pattern formed in the underlying oxide hardmask 18 is shown to emphasize the COR processing step of the present invention. Although the patterned oxide hardmask 18 is shown in these drawings of the present invention, nitride layer 20 remains atop the patterned hardmask 18 at these two stages of the present invention.
  • the COR process used in providing the structure shown in FIG. 3 comprises exposing the structure of FIG. 2 to a gaseous or vaporous mixture of HF and ammonia at a pressure of about 30 mTorr or below, preferably at a pressure from about 1 mTorr to about 30 mTorr.
  • the COR process is typically performed at a temperature that is about nominal room temperature (20° C. to about 40° C.), with a temperature of about 25° C. being even more typical.
  • the ratio of HF to ammonia employed in the COR process is typically from about 1:10 to about 10:1, with a ratio of about 2:1 being even more typical.
  • FIG. 4 shows the resultant structure that is formed after the plurality of second photomasks 26 are removed from the structure.
  • the undercut oxide layer 18 is again illustrated, although covered by nitride layer 20 .
  • An anisotropic Si etch selective to the remaining oxide hardmask 18 , is used to remove the remaining nitride layer 20 as well as exposed top semiconductor layer 16 of SOI substrate 10 , stopping on buried insulating layer 14 . When a bulk substrate is used, this etch thins the substrate to a predetermined value.
  • An example of an anisotropic Si etch that can be used at this point of the present invention includes reactive-ion etching with a fluorocarbon chemistry, such as CF 4 . The resultant structure is shown, for example, in FIG. 5 . In FIG. 5 , oxide hardmask 18 remains, and buried insulating layer 14 is exposed. It is emphasized that the top semiconductor layer 16 underlying the patterned oxide hardmask 18 will now have the same pattern as layer 18 .
  • the remaining oxide hardmask 18 can be removed in one embodiments in which case the top surface of the Fin will become part of the FinFET channel once the structure is complete. Specifically, an etching process selective to the semiconducting material can be used to optionally remove the remaining oxide hardmask 18 . In the drawings, the remaining oxide hardmask 18 is shown as being removed from the structure. Although this is illustrated, the present invention also contemplates embodiments where the remaining oxide hardmask 18 remains in the structure during the following processing steps.
  • the patterned semiconductor layer 16 may need to be ion implanted at this point of the present invention.
  • a conventional ion implantation process can be used to implant dopant ions (p- or n-type) into the patterned top semiconducting layer 16 .
  • FIG. 6 shows the structure of FIG. 5 forming a gate region 28 that includes a gate dielectric (not shown in this drawing of the present invention) and an overlying gate electrode 30 .
  • the gate dielectric is formed first, followed by the gate electrode. Specifically, the gate dielectric is formed by first providing a sacrificial oxide (not shown) on the structure and then stripping the sacrificial oxide to remove imperfections in the structure. The gate dielectric is then formed by a thermal growth process such as, for example, oxidation, nitridation or oxynitridation.
  • the gate dielectric can be formed by a deposition process such as, for example, chemical vapor deposition (CVD), plasma-assisted CVD, metalorganic chemical vapor deposition (MOCVD), atomic layer deposition (ALD), evaporation, reactive sputtering, chemical solution deposition and other like deposition processes.
  • CVD chemical vapor deposition
  • MOCVD metalorganic chemical vapor deposition
  • ALD atomic layer deposition
  • evaporation reactive sputtering
  • chemical solution deposition chemical solution deposition and other like deposition processes.
  • the gate dielectric may also be formed utilizing any combination of the above processes.
  • the gate dielectric is comprised of an insulating material having a dielectric constant of about 4.0 or greater, preferably greater than 7.0.
  • the dielectric constants mentioned herein are relative to a vacuum.
  • SiO 2 typically has a dielectric constant that is about 4.0.
  • the gate dielectric employed in the present invention includes, but is not limited to: an oxide, nitride, oxynitride and/or silicates including metal silicates, aluminates, titanates and nitrides.
  • the gate dielectric is comprised of an oxide such as, for example, SiO 2 , HfO 2 , ZrO 2 , Al 2 O 3 , TiO 2 , La 2 O 3 , SrTiO 3 , LaAlO 3 , Y 2 O 3 and mixtures thereof.
  • the physical thickness of the gate dielectric may vary, but typically, the gate dielectric has a thickness from about 1 to about 10 nm, with a thickness from about 1 to about 3 nm being more typical.
  • a blanket layer of a conductive material which forms the gate electrode 30 of gate region 28 is formed on the gate dielectric utilizing a known deposition process such as physical vapor deposition (PVD), CVD or evaporation.
  • the conductive material may comprise polysilicon, SiGe, a silicide, a metal or a metal-silicon-nitride such as Ta—Si—N. Examples of metals that can be used as the conductive material include, but are not limited to: Al, W, Cu, Ti or other like conductive metals.
  • the blanket layer of conductive material may be doped or undoped. If doped, an in-situ doping deposition process may be employed. Alternatively, a doped conductive material can be formed by deposition, ion implantation and annealing.
  • the doping of the conductive material will shift the workfunction of the gate formed.
  • doping ions include As, P, B, Sb, Bi, In, Al, Tl, Ga or mixtures thereof.
  • the thickness, i.e., height, of the conductive material deposited at this point of the present invention may vary depending on the deposition process employed. Typically, the conductive material has a vertical thickness from about 20 to about 180 nm, with a thickness from about 40 to about 150 nm being more typical.
  • an optional hardmask may be formed atop the conductive material utilizing a conventional deposition process.
  • the optional hardmask can be comprised of a dielectric such as an oxide or nitride.
  • gate regions 28 including gate electrode 30 are formed.
  • the gate regions 28 are formed by first providing a patterned mask atop the conductive material by deposition and lithography and then transferring the pattern to the conductive material and optional the gate dielectric.
  • the etching steps comprise one or more etching processes including dry etching such as RIE.
  • the region of patterned semiconductor 16 in which the gates cross over is the channel region of the Fin.
  • the Fin is an elevated semiconductor layer 16 that includes wider end portions connected by a thinner middle portion as is shown in FIGS. 6-8 . It is observed that the patterned semiconductor layer 16 has a dumb bell or dog bone shape in which the outer, wider end portions are substantially square due to the processing steps of the present invention.
  • source/drain extension regions (not shown) and/or halo regions (not shown) are formed into the semiconductor substrate utilizing conventional implantation processes well known to those skilled in the art.
  • a gate spacer 32 comprising an oxide, nitride, oxynitride or combination thereof is formed around the perimeter of the gate region 28 as is shown, for example, in FIG. 7 .
  • the gate spacer 32 is formed by a conventional deposition process such as, for example, CVD or PECVD, followed by a directional etching process. It is noted that the gate dielectric is shown in this drawing and FIG. 8 to illustrate its position relative to the channel portion of the Fin; reference numeral 29 denotes the gate dielectric surrounding the channel position of the Fin.
  • a single crystalline Si-containing material 34 such as Si, SiGe or SiGeC is selectively grown from the exposed sidewalls of the top semiconductor layer 16 of the SOI substrate 10 .
  • the single crystalline Si-containing material 34 is formed by CVD, PECVD or an UHVCV process.
  • Source/drain regions (the term ‘S/D’ is used in FIG. 8 to represent the location of the source/drain regions) are then implanted into wider portion of the semiconductor material 16 adjoining each Fin utilizing conventional ion implantation techniques well known in the art.
  • the above processing steps provide a semiconductor structure such as shown in FIG. 8 that includes at least multiple FinFET devices 102 in which a single mask is used in defining the Fins 104 which avoids rounding of the corners where the Fins 104 join the source/drain regions.

Abstract

A method of forming a semiconductor structure including a plurality of finFFET devices in which crossing masks are employed in providing a rectangular patterns to define relatively thin Fins along with a chemical oxide removal (COR) process is provided. The present method further includes a step of merging adjacent Fins by the use of a selective silicon-containing material. The present invention also relates to the resultant semiconductor structure that is formed utilizing the method of the present invention.

Description

    RELATED APPLICATION
  • This application is a divisional application of U.S. patent application Ser. No. 11/164,215, filed Nov. 15, 2005.
  • DESCRIPTION
  • 1. Field of the Invention
  • The present invention relates to semiconductor device processing, and more particularly to a method of forming a semiconductor structure that includes at least multiple FinFET devices in which a single mask is used in defining the Fins, which avoids rounding of the corners where the Fins join the source/drain regions. The term “Fin” is used throughout this application to denote an elevated portion of a semiconducting layer of a semiconductor substrate that includes at least the device channel in which the width thereof is less than its height. The present invention is also related to the semiconductor structure including the multiple FinFET devices that is fabricated using the inventive method.
  • 2. Background of the Invention
  • The dimensions of semiconductor field effect transistors (FETs) have been steadily shrinking over the last thirty 30 years or so, as scaling to smaller dimensions leads to continuing device performance improvements. Planar FET devices have a conducting gate electrode positioned above a semiconducting channel, and electrically isolated from the channel by a thin layer of gate oxide. Current through the channel is controlled by applying voltage to the conducting gate.
  • For a given device length, the amount of current drive for an FET is defined by the device width (w). Current drive scales proportionally to device width, with wider devices carrying more current than narrower devices. Different parts of integrated circuits (ICs) require the FETs to drive different amounts of current, i.e., with different device widths, which is particularly easy to accommodate in planar FET devices by merely changing the device gate width (via lithography).
  • With conventional planar FET scaling reaching fundamental limits, the semiconductor industry is looking at more unconventional geometries that will facilitate continued device performance improvements. One such class of devices is a FinFET.
  • A FinFET is a double gate FET in which the device channel is within a semiconducting “Fin” having a width w and height h, where typically w<h. The gate dielectric and gate are positioned around the Fin such that charge flows down the channel on the two sides of the Fin and optionally along the top surface.
  • FinFET devices typically include a fully depleted body in the Fin that provides several advantages over a conventional FET. These advantages include, for example, nearly ideal turn off in the sub-threshold regime, giving lower off-currents and/or allowing lower threshold voltages, no loss to drain currents from body effects, no ‘floating’ body effects (often associated with some silicon-on-insulator (SOI) FETs), higher current density, lower voltage operation, and reduced short channel degradation of threshold voltage and off current. Furthermore, FinFETs are more easily scaled to smaller physical dimensions and lower operating voltages than conventional FETs and SOI FETs.
  • Definition of both the semiconducting Fins and the source/drain regions by a single mask has been extremely difficult in the prior art due to rounding of the corners where the Fins join the wide source/drain areas. As a result, there is neither room for alignment of the gate to the active semiconducting material, nor room for extension implants into the sidewalls of the Fins.
  • A mask to separately pattern source and drain regions of silicon to link the Fins provides a solution for the rounding problem, but adds an extra overlay for added mask to the Fins, leaving little room for extension implants between the source and drain linking regions and the gate electrode, unless the registration of the various masks is nearly perfect.
  • In view of the above, there is a need for providing a method that can define both the Fins and the source/drain regions by a single mask that avoids the rounding problem mentioned above as well as the need for using additional overlays.
  • SUMMARY OF THE INVENTION
  • The present invention provides a method that overcomes the above mentioned problems using simple rectangular shapes to define the Fins which avoid rounding and yet joins the Fins by a deposition of a selective silicon-containing material post gate etch. More specifically, the present invention provides a method of forming a semiconductor structure including a plurality of FinFET devices in which crossing masks are employed in providing linear patterns to define relatively thin Fins along with a chemical oxide removal (COR) process. The present method further includes a step of merging adjacent Fins by the use of a selective silicon-containing material.
  • In general terms, the present invention provides a method that includes the steps of:
  • providing a structure including a plurality of patterned material stacks comprising a nitride layer on top of an oxide hardmask on a surface of semiconductor substrate and a plurality of patterned photomasks which cross over said plurality of patterned material stacks;
  • performing a chemical oxide removal step that laterally etches at least exposes sidewalls of said oxide hardmask of each material stack not protected by one of said patterned photomasks;
  • removing the plurality of patterned photomasks to expose patterned material stacks including a laterally etched oxide hardmask beneath said nitride layer;
  • performing an anisotropic etching process selective to the laterally etched oxide hardmask to remove said nitride layer and at least an upper portion of any semiconducting material of said semiconductor substrate not protected by said laterally etched oxide hardmask to form Fins; and
  • forming a plurality of gate regions that cross over said Fins.
  • Optionally, the laterally etched oxide hardmask is removed by exposing upper portions of the semiconducting material of the semiconductor substrate previously protected by said laterally etched oxide hardmask, wherein portions of said exposed upper portions of the semiconducting material of the semiconductor substrate previously protected by said laterally etched oxide hardmask define the Fins.
  • Each of the Fins produced by the inventive method are then merged by forming a Si-containing material between each of the Fins. The Si-containing material prevents rounding of corners of each Fin with their corresponding source/drain region. The source/drain regions are located within wider end portions of each Fin that where previously protected by said plurality of patterned masks that cross over said plurality of patterned stacks. The wider end portions of each Fin are substantially square; i.e., little or no rounding of the corners of the wider end portions occurs in the present invention.
  • The present invention also relates to the semiconductor structure that is fabricated using the above processing steps. In general terms, the semiconductor structure of the present invention comprises.
  • a plurality of FinFET devices located on a surface of a semiconductor substrate, each of said FinFET devices including an elevated semiconducting layer that has wider end portions relative to its middle portion, a gate region that crosses said middle portion, and source/drain regions within said wider end portions; and
  • a Si-containing material located between said elevated semiconducting layer that joins each elevated semiconducting layer.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1A is a pictorial representation (through a top-down view) and FIG. 1B is a pictorial representation (through a cross-sectional view) showing a plurality of first patterned photomasks located over a structure including (from bottom to top) a semiconductor substrate, an oxide hardmask and a nitride layer.
  • FIG. 2 is a pictorial representation (through a top-down view) showing the structure of FIG. 1 after etching exposed regions of the nitride layer and the oxide layer stopping on an upper surface of the semiconductor substrate, removing the plurality of first patterned photomasks and forming a plurality of second patterned photomasks that lay across stripes of stacked oxide/nitride layers.
  • FIG. 3 is a pictorial representation (through a top-down view) showing the structure of FIG. 2 after performing a chemical oxide removal (COR) process which etches exposed sidewalls of the oxide hardmask to a desired distance undercutting both the nitride layer and the second patterned photoresist masks by the distance.
  • FIG. 4 is a pictorial representation (through a top-down view) showing the structure of FIG. 3 after removing the second patterned photoresist masks; an undercut oxide layer pattern is illustrated, although cover by the nitride layer.
  • FIG. 5 is a pictorial representation (through a top-down view) showing the structure of FIG. 4 after performing an anisotropic etch that is selective to the oxide layer, stopping within the semiconductor substrate, e.g., on a buried insulator layer within the substrate.
  • FIG. 6 is a pictorial representation (through a top-down view) showing the structure of FIG. 5 after forming a gate region including a gate dielectric and a gate electrode.
  • FIG. 7 is a pictorial representation (through a top-down view) showing the structure of FIG. 6 after spacer formation.
  • FIG. 8 is a pictorial representation (through a top-down view) showing the structure of FIG. 7 after selectively forming a Si-containing layer on exposed sidewalls of the substrate.
  • DETAILED DESCRIPTION OF THE INVENTION
  • The present invention, which provides a method of fabricating a semiconductor structure that includes at least multiple FinFET devices in which a single mask is used in defining the Fins as well as the resultant semiconductor structure, will now be described in greater detail by referring to the following discussion and drawings that accompany the present application. It is noted that the drawings of the present application are provided for illustrative purposes and, as such, they are not drawn to scale.
  • Reference will be made to FIGS. 1-8 which illustrate an embodiment in which a semiconductor-on-insulator (SOI) substrate is used. Although an SOI substrate is depicted and described in the following discussion, the present invention also contemplates utilizing a bulk semiconductor substrate. When a bulk semiconductor substrate is used, the bulk semiconductor substrate comprises one of Si, Ge alloys, SiGe, GaAs, InAs, InP, SiCGe, SiC as well as other III/V or II/VI compound semiconductors. Preferably, and when a bulk semiconductor is employed, the substrate includes a Si-containing semiconducting material, with Si being highly preferred.
  • As indicated above, the processing description provided herein utilizes an SOI substrate. An SOI substrate includes a bottom semiconductor layer and a top semiconductor layer (i.e., active semiconductor layer) that are electrically isolated from each other by a buried insulating layer. The top and bottom semiconductor layers may comprise one of the above mentioned bulk semiconductor materials, with Si-containing semiconductors, preferably, Si being highly preferred. The buried insulating material separating the two semiconducting layers may be a crystalline or non-crystalline oxide or nitride, with crystalline oxides being highly preferred. It is noted that SOI substrates are preferred over bulk substrates since they permit formation of devices having higher operating speeds. In particular, devices formed using SOI technology provide higher performance, absence of latch-up, higher packaging density and low voltage applications as compared with their bulk semiconductor counterparts.
  • The SOI substrate employed in the present invention may be formed utilizing conventional processing techniques well known in the art. For example, a layer transfer process including a bonding step can be used in providing the SOI substrate. Alternatively, an implantation process such as SIMOX (Separation by IMplantation of OXygen) can be used in forming the SOI substrate.
  • The thickness of the various layers of the SOI substrate may vary depending on the technique used in forming the same. Typically, however, the top semiconductor layer has a thickness from about 3 to about 10 nm, the buried insulating layer has a thickness from about 10 to about 150 nm, and the thickness of the bottom semiconductor layer of the SOI substrate is inconsequential to the present invention.
  • Reference is now made to FIG. 1B which is a pictorial representation (through a cross-sectional view) showing a plurality of first patterned photomasks 22 located over a structure 100 including (from bottom to top) an SOI semiconductor substrate 10, an oxide hardmask 18 and a nitride layer 20. As stated above, the SOI substrate 10 includes a bottom semiconductor layer 12, a buried insulating layer 14 and a top semiconductor layer 16. A top-down view of structure 100 is shown in FIG. 1A. In the top-down views provided in the present application, only the patterned regions are highlighted.
  • The structure 100 is formed by first providing an SOI substrate (or bulk semiconductor substrate) by conventional techniques. Next, the oxide hardmask 18 is formed on the upper surface of the substrate, e.g., the upper surface of the top semiconductor layer 16, utilizing a conventional deposition process such as, for example, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), atomic layer deposition (ALD), physical vapor deposition (PVD), evaporation, chemical solution deposition and other like deposition processes. Alternatively, the oxide hardmask 18 is formed utilizing a conventional oxidation process. The thickness of the oxide hardmask 18 formed at this point of the present invention may vary depending on the technique that was used in forming the same. Typically, the thickness of the oxide hardmask 18 employed in the present invention is from about 1 to about 50 nm, with a thickness from about 2 to about 30 nm being even more typical.
  • Following the formation of the oxide hardmask 18, a nitride layer 20 such as Si3N4 is formed atop the oxide hardmask 18. The nitride layer 20 can be formed utilizing a conventional deposition process such as CVD, PECVD, ALD, PVD, evaporation, chemical solution deposition or and other like deposition processes. Alternatively, the nitride layer 20 is formed utilizing a conventional nitridation process. The thickness of the nitride layer 20 formed at this point of the present invention may vary depending on the technique that was used in forming the same. Typically, the thickness of the nitride layer 20 employed in the present invention is from about 1 to about 20 nm, with a thickness from about 1.5 to about 4 nm being even more typical.
  • After nitride layer 20 formation, a blanket layer of photoresist material is deposited on the surface of the nitride layer 20 utilizing a conventional deposition process such as, for example, CVD, PECVD, evaporation or spin-on coating. The blanket layer of photoresist material is patterned into a plurality of first patterned photomasks 22 as shown in FIGS. 1A and 1B. Patterning of the photoresist material is achieved by utilizing a conventional lithographic process which includes exposing the photoresist material to a pattern of radiation and developing the exposed photoresist material utilizing a conventional resist developer.
  • To better highlight the inventive method, the fabrication process will make reference to top-down views from now on. With the plurality of first patterned photomasks 22 in place, the exposed nitride layer 20 and the underlying oxide hardmask 18 are removed from the structure 100 utilizing one or more etching processes. The one or more etching processes remove the unprotected portions of layers 20 and 18, stopping on an upper surface of the SOI substrate 10, i.e., atop the top semiconductor layer 16. The one or more etching processes may include dry etching or wet etching. Preferably, dry etching such as reactive-ion etching (RIE) is used. Other examples of dry etching that can be used at this point of the present invention include ion beam etching, plasma etching or laser ablation.
  • After the one or more etching processes have been performed, the plurality of first patterned photomasks 22 are removed utilizing a conventional resist stripping process. The structure at this point of the present invention includes a plurality of material stacks 24 containing remaining portions of nitride layer 20 and oxide hardmask 18 on the SOI substrate 10.
  • A plurality of second photomasks 26 is then formed so as to cross stripes of material stacks 24. That is, the plurality of second photomasks 26 is formed such that each of the second photomasks 26 lay across the material stacks 24. The plurality of second photomasks 26 are formed by first applying a second blanket photoresist material to the structure shown in FIGS. 1A and 1B and then subjecting that blanket photoresist layer to lithography. The area between the second photomasks, particularly the underlying top semiconductor layer 16 of the SOI substrate 10, represents the location wherein the Fins of each FinFET device will be formed.
  • The structure including the plurality of second photomasks 26 and the material stacks 24 is shown in FIG. 2. Note, that the plurality of second photomasks 26 protect some portions of the material stacks 24 as well as the adjacent SOI substrate 10, e.g., the top semiconductor layer 18.
  • The resultant structure shown in FIG. 2 is then subjected to a chemical oxide removal (COR) process. The COR process selectively etches (in a lateral direction) exposed vertical surfaces of the oxide hardmask 18 of each material stack 24 undercutting both the overlying nitride layer 20 and each of the second photomasks 26. The lateral etch is performed to a predetermined distance of from about 5 to about 40 nm, which distance is substantially the same for the undercuts as well. FIG. 3 shows the structure after the COR process. Note that in FIG. 3 and FIG. 4 the pattern formed in the underlying oxide hardmask 18 is shown to emphasize the COR processing step of the present invention. Although the patterned oxide hardmask 18 is shown in these drawings of the present invention, nitride layer 20 remains atop the patterned hardmask 18 at these two stages of the present invention.
  • The COR process used in providing the structure shown in FIG. 3 comprises exposing the structure of FIG. 2 to a gaseous or vaporous mixture of HF and ammonia at a pressure of about 30 mTorr or below, preferably at a pressure from about 1 mTorr to about 30 mTorr. The COR process is typically performed at a temperature that is about nominal room temperature (20° C. to about 40° C.), with a temperature of about 25° C. being even more typical. The ratio of HF to ammonia employed in the COR process is typically from about 1:10 to about 10:1, with a ratio of about 2:1 being even more typical.
  • After performing the COR process, the plurality of second photomasks 26 are removed from the structure utilizing a conventional resist stripping processing step. FIG. 4 shows the resultant structure that is formed after the plurality of second photomasks 26 are removed from the structure. In this drawing, the undercut oxide layer 18 is again illustrated, although covered by nitride layer 20.
  • An anisotropic Si etch, selective to the remaining oxide hardmask 18, is used to remove the remaining nitride layer 20 as well as exposed top semiconductor layer 16 of SOI substrate 10, stopping on buried insulating layer 14. When a bulk substrate is used, this etch thins the substrate to a predetermined value. An example of an anisotropic Si etch that can be used at this point of the present invention includes reactive-ion etching with a fluorocarbon chemistry, such as CF4. The resultant structure is shown, for example, in FIG. 5. In FIG. 5, oxide hardmask 18 remains, and buried insulating layer 14 is exposed. It is emphasized that the top semiconductor layer 16 underlying the patterned oxide hardmask 18 will now have the same pattern as layer 18.
  • The remaining oxide hardmask 18 can be removed in one embodiments in which case the top surface of the Fin will become part of the FinFET channel once the structure is complete. Specifically, an etching process selective to the semiconducting material can be used to optionally remove the remaining oxide hardmask 18. In the drawings, the remaining oxide hardmask 18 is shown as being removed from the structure. Although this is illustrated, the present invention also contemplates embodiments where the remaining oxide hardmask 18 remains in the structure during the following processing steps.
  • In some embodiments of the present invention, the patterned semiconductor layer 16 may need to be ion implanted at this point of the present invention. When ion implantation is needed, a conventional ion implantation process can be used to implant dopant ions (p- or n-type) into the patterned top semiconducting layer 16.
  • FIG. 6 shows the structure of FIG. 5 forming a gate region 28 that includes a gate dielectric (not shown in this drawing of the present invention) and an overlying gate electrode 30. The gate dielectric is formed first, followed by the gate electrode. Specifically, the gate dielectric is formed by first providing a sacrificial oxide (not shown) on the structure and then stripping the sacrificial oxide to remove imperfections in the structure. The gate dielectric is then formed by a thermal growth process such as, for example, oxidation, nitridation or oxynitridation. Alternatively, the gate dielectric can be formed by a deposition process such as, for example, chemical vapor deposition (CVD), plasma-assisted CVD, metalorganic chemical vapor deposition (MOCVD), atomic layer deposition (ALD), evaporation, reactive sputtering, chemical solution deposition and other like deposition processes. The gate dielectric may also be formed utilizing any combination of the above processes.
  • The gate dielectric is comprised of an insulating material having a dielectric constant of about 4.0 or greater, preferably greater than 7.0. The dielectric constants mentioned herein are relative to a vacuum. Note that SiO2 typically has a dielectric constant that is about 4.0. Specifically, the gate dielectric employed in the present invention includes, but is not limited to: an oxide, nitride, oxynitride and/or silicates including metal silicates, aluminates, titanates and nitrides. In one embodiment, it is preferred that the gate dielectric is comprised of an oxide such as, for example, SiO2, HfO2, ZrO2, Al2O3, TiO2, La2O3, SrTiO3, LaAlO3, Y2O3 and mixtures thereof.
  • The physical thickness of the gate dielectric may vary, but typically, the gate dielectric has a thickness from about 1 to about 10 nm, with a thickness from about 1 to about 3 nm being more typical.
  • After forming the gate dielectric, a blanket layer of a conductive material which forms the gate electrode 30 of gate region 28 is formed on the gate dielectric utilizing a known deposition process such as physical vapor deposition (PVD), CVD or evaporation. The conductive material may comprise polysilicon, SiGe, a silicide, a metal or a metal-silicon-nitride such as Ta—Si—N. Examples of metals that can be used as the conductive material include, but are not limited to: Al, W, Cu, Ti or other like conductive metals. The blanket layer of conductive material may be doped or undoped. If doped, an in-situ doping deposition process may be employed. Alternatively, a doped conductive material can be formed by deposition, ion implantation and annealing.
  • The doping of the conductive material will shift the workfunction of the gate formed. Illustrative examples of doping ions include As, P, B, Sb, Bi, In, Al, Tl, Ga or mixtures thereof. The thickness, i.e., height, of the conductive material deposited at this point of the present invention may vary depending on the deposition process employed. Typically, the conductive material has a vertical thickness from about 20 to about 180 nm, with a thickness from about 40 to about 150 nm being more typical.
  • In some embodiments, an optional hardmask (not shown) may be formed atop the conductive material utilizing a conventional deposition process. The optional hardmask can be comprised of a dielectric such as an oxide or nitride.
  • After deposition of at least the gate dielectric and the conductive material, gate regions 28 including gate electrode 30 are formed. Specifically, the gate regions 28 are formed by first providing a patterned mask atop the conductive material by deposition and lithography and then transferring the pattern to the conductive material and optional the gate dielectric. The etching steps comprise one or more etching processes including dry etching such as RIE. It is noted that the region of patterned semiconductor 16 in which the gates cross over is the channel region of the Fin. The Fin is an elevated semiconductor layer 16 that includes wider end portions connected by a thinner middle portion as is shown in FIGS. 6-8. It is observed that the patterned semiconductor layer 16 has a dumb bell or dog bone shape in which the outer, wider end portions are substantially square due to the processing steps of the present invention.
  • Next, source/drain extension regions (not shown) and/or halo regions (not shown) are formed into the semiconductor substrate utilizing conventional implantation processes well known to those skilled in the art.
  • Next, a gate spacer 32 comprising an oxide, nitride, oxynitride or combination thereof is formed around the perimeter of the gate region 28 as is shown, for example, in FIG. 7. The gate spacer 32 is formed by a conventional deposition process such as, for example, CVD or PECVD, followed by a directional etching process. It is noted that the gate dielectric is shown in this drawing and FIG. 8 to illustrate its position relative to the channel portion of the Fin; reference numeral 29 denotes the gate dielectric surrounding the channel position of the Fin.
  • Next, and as shown in FIG. 8, a single crystalline Si-containing material 34 such as Si, SiGe or SiGeC is selectively grown from the exposed sidewalls of the top semiconductor layer 16 of the SOI substrate 10. The single crystalline Si-containing material 34 is formed by CVD, PECVD or an UHVCV process. Source/drain regions (the term ‘S/D’ is used in FIG. 8 to represent the location of the source/drain regions) are then implanted into wider portion of the semiconductor material 16 adjoining each Fin utilizing conventional ion implantation techniques well known in the art.
  • The above processing steps provide a semiconductor structure such as shown in FIG. 8 that includes at least multiple FinFET devices 102 in which a single mask is used in defining the Fins 104 which avoids rounding of the corners where the Fins 104 join the source/drain regions.
  • While the present invention has been particularly shown and described with respect to preferred embodiments thereof, it will be understood by those skilled in the art that the foregoing and other changes in forms and details may be made without departing from the spirit and scope of the present invention. It is therefore intended that the present invention not be limited to the exact forms and details described and illustrated, but fall within the scope of the appended claims.

Claims (8)

1. A semiconductor structure comprising:
a plurality of FinFET devices located on a surface of a semiconductor substrate, each of said FinFET including an elevated semiconducting layer that has wider end portions relative to its middle portion, a gate region that crosses said middle portion, and source/drain regions within said wider end portions; and
a Si-containing material located between said elevated semiconducting layer which joins each elevated semiconducting layer.
2. The semiconductor structure of claim 1 wherein said elevated semiconducting layer is a top semiconducting layer of an SOI substrate, said SOI substrate including a buried insulating layer that is patterned or unpatterned and an unpatterned bottom semiconducting layer.
3. The semiconductor structure of claim 2 wherein said elevated semiconducting layer is a Si-containing semiconductor and said buried insulating layer is an oxide.
4. The semiconductor structure of claim 1 wherein each gate region comprises a gate dielectric and a gate electrode.
5. The semiconductor structure of claim 1 further comprising a gate spacer located around each gate region.
6. The semiconductor structure of claim 1 wherein said elevated semiconducting layer is an upper surface layer of a bulk semiconductor substrate.
7. The semiconductor structure of claim 1 wherein said Si-containing material comprises one of single crystalline Si, SiGe or SiGeC.
8. The semiconductor structure of claim 1 wherein said end portions of the elevated semiconducting layer are substantially square.
US11/874,753 2005-11-15 2007-10-18 QUASI SELF-ALIGNED SOURCE/DRAIN FinFET PROCESS Abandoned US20080042202A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/874,753 US20080042202A1 (en) 2005-11-15 2007-10-18 QUASI SELF-ALIGNED SOURCE/DRAIN FinFET PROCESS

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/164,215 US7309626B2 (en) 2005-11-15 2005-11-15 Quasi self-aligned source/drain FinFET process
US11/874,753 US20080042202A1 (en) 2005-11-15 2007-10-18 QUASI SELF-ALIGNED SOURCE/DRAIN FinFET PROCESS

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/164,215 Division US7309626B2 (en) 2005-11-15 2005-11-15 Quasi self-aligned source/drain FinFET process

Publications (1)

Publication Number Publication Date
US20080042202A1 true US20080042202A1 (en) 2008-02-21

Family

ID=38039867

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/164,215 Expired - Fee Related US7309626B2 (en) 2005-11-15 2005-11-15 Quasi self-aligned source/drain FinFET process
US11/874,753 Abandoned US20080042202A1 (en) 2005-11-15 2007-10-18 QUASI SELF-ALIGNED SOURCE/DRAIN FinFET PROCESS

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/164,215 Expired - Fee Related US7309626B2 (en) 2005-11-15 2005-11-15 Quasi self-aligned source/drain FinFET process

Country Status (3)

Country Link
US (2) US7309626B2 (en)
JP (1) JP5128110B2 (en)
CN (1) CN100485908C (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110027948A1 (en) * 2009-07-31 2011-02-03 International Business Machines Corporation Method for manufacturing a finfet device
US20170371002A1 (en) * 2016-06-23 2017-12-28 Globalfoundries Inc. Methods for crossed-fins finfet device for sensing and measuring magnetic fields
US9876013B1 (en) 2016-08-24 2018-01-23 Samsung Electronics Co., Ltd. Semiconductor devices and methods of manufacturing the same

Families Citing this family (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
KR100714288B1 (en) * 2005-12-29 2007-05-02 주식회사 하이닉스반도체 Method for forming fin fransistor device
JP4496179B2 (en) * 2006-03-13 2010-07-07 株式会社東芝 Semiconductor memory device and manufacturing method thereof
JP2008117838A (en) * 2006-11-01 2008-05-22 Elpida Memory Inc Semiconductor device, and method for manufacturing the same
US7692254B2 (en) * 2007-07-16 2010-04-06 International Business Machines Corporation Fin-type field effect transistor structure with merged source/drain silicide and method of forming the structure
US7851865B2 (en) * 2007-10-17 2010-12-14 International Business Machines Corporation Fin-type field effect transistor structure with merged source/drain silicide and method of forming the structure
US20090050975A1 (en) * 2007-08-21 2009-02-26 Andres Bryant Active Silicon Interconnect in Merged Finfet Process
JP2009094227A (en) * 2007-10-05 2009-04-30 Fujitsu Ltd N-channel mos transistor, its manufacturing method, and semiconductor device
JP5465958B2 (en) * 2009-09-01 2014-04-09 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
JP2011071235A (en) * 2009-09-24 2011-04-07 Toshiba Corp Semiconductor device and method of manufacturing the same
US8637135B2 (en) * 2009-11-18 2014-01-28 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform semiconductor device active area pattern formation
US8772860B2 (en) 2011-05-26 2014-07-08 United Microelectronics Corp. FINFET transistor structure and method for making the same
US9184100B2 (en) 2011-08-10 2015-11-10 United Microelectronics Corp. Semiconductor device having strained fin structure and method of making the same
US9105660B2 (en) 2011-08-17 2015-08-11 United Microelectronics Corp. Fin-FET and method of forming the same
US8853013B2 (en) 2011-08-19 2014-10-07 United Microelectronics Corp. Method for fabricating field effect transistor with fin structure
US8674433B2 (en) 2011-08-24 2014-03-18 United Microelectronics Corp. Semiconductor process
US8691651B2 (en) 2011-08-25 2014-04-08 United Microelectronics Corp. Method of forming non-planar FET
US8441072B2 (en) 2011-09-02 2013-05-14 United Microelectronics Corp. Non-planar semiconductor structure and fabrication method thereof
US8497198B2 (en) 2011-09-23 2013-07-30 United Microelectronics Corp. Semiconductor process
US8426277B2 (en) 2011-09-23 2013-04-23 United Microelectronics Corp. Semiconductor process
US8722501B2 (en) 2011-10-18 2014-05-13 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8575708B2 (en) 2011-10-26 2013-11-05 United Microelectronics Corp. Structure of field effect transistor with fin structure
US8871575B2 (en) 2011-10-31 2014-10-28 United Microelectronics Corp. Method of fabricating field effect transistor with fin structure
US8278184B1 (en) 2011-11-02 2012-10-02 United Microelectronics Corp. Fabrication method of a non-planar transistor
US8426283B1 (en) 2011-11-10 2013-04-23 United Microelectronics Corp. Method of fabricating a double-gate transistor and a tri-gate transistor on a common substrate
US8440511B1 (en) 2011-11-16 2013-05-14 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8604548B2 (en) 2011-11-23 2013-12-10 United Microelectronics Corp. Semiconductor device having ESD device
US8803247B2 (en) 2011-12-15 2014-08-12 United Microelectronics Corporation Fin-type field effect transistor
US8513078B2 (en) 2011-12-22 2013-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for fabricating fin devices
US8698199B2 (en) 2012-01-11 2014-04-15 United Microelectronics Corp. FinFET structure
US9698229B2 (en) 2012-01-17 2017-07-04 United Microelectronics Corp. Semiconductor structure and process thereof
US8946031B2 (en) 2012-01-18 2015-02-03 United Microelectronics Corp. Method for fabricating MOS device
US8664060B2 (en) 2012-02-07 2014-03-04 United Microelectronics Corp. Semiconductor structure and method of fabricating the same
US8822284B2 (en) 2012-02-09 2014-09-02 United Microelectronics Corp. Method for fabricating FinFETs and semiconductor structure fabricated using the method
US9159809B2 (en) 2012-02-29 2015-10-13 United Microelectronics Corp. Multi-gate transistor device
US9006107B2 (en) 2012-03-11 2015-04-14 United Microelectronics Corp. Patterned structure of semiconductor device and fabricating method thereof
US9159626B2 (en) 2012-03-13 2015-10-13 United Microelectronics Corp. FinFET and fabricating method thereof
US8946078B2 (en) 2012-03-22 2015-02-03 United Microelectronics Corp. Method of forming trench in semiconductor substrate
US9559189B2 (en) 2012-04-16 2017-01-31 United Microelectronics Corp. Non-planar FET
US9142649B2 (en) 2012-04-23 2015-09-22 United Microelectronics Corp. Semiconductor structure with metal gate and method of fabricating the same
US8766319B2 (en) 2012-04-26 2014-07-01 United Microelectronics Corp. Semiconductor device with ultra thin silicide layer
US8709910B2 (en) 2012-04-30 2014-04-29 United Microelectronics Corp. Semiconductor process
US8691652B2 (en) 2012-05-03 2014-04-08 United Microelectronics Corp. Semiconductor process
US8877623B2 (en) 2012-05-14 2014-11-04 United Microelectronics Corp. Method of forming semiconductor device
US8470714B1 (en) 2012-05-22 2013-06-25 United Microelectronics Corp. Method of forming fin structures in integrated circuits
US9012975B2 (en) 2012-06-14 2015-04-21 United Microelectronics Corp. Field effect transistor and manufacturing method thereof
US8796695B2 (en) 2012-06-22 2014-08-05 United Microelectronics Corp. Multi-gate field-effect transistor and process thereof
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
US8872280B2 (en) 2012-07-31 2014-10-28 United Microelectronics Corp. Non-planar FET and manufacturing method thereof
US9318567B2 (en) 2012-09-05 2016-04-19 United Microelectronics Corp. Fabrication method for semiconductor devices
US8723225B2 (en) 2012-10-04 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Guard rings on fin structures
US9159831B2 (en) 2012-10-29 2015-10-13 United Microelectronics Corp. Multigate field effect transistor and process thereof
US8802513B2 (en) * 2012-11-01 2014-08-12 International Business Machines Corporation Fin field effect transistors having a nitride containing spacer to reduce lateral growth of epitaxially deposited semiconductor materials
US9536792B2 (en) 2013-01-10 2017-01-03 United Microelectronics Corp. Complementary metal oxide semiconductor field effect transistor, metal oxide semiconductor field effect transistor and manufacturing method thereof
US9076870B2 (en) 2013-02-21 2015-07-07 United Microelectronics Corp. Method for forming fin-shaped structure
US8841197B1 (en) 2013-03-06 2014-09-23 United Microelectronics Corp. Method for forming fin-shaped structures
US9196500B2 (en) 2013-04-09 2015-11-24 United Microelectronics Corp. Method for manufacturing semiconductor structures
US9711368B2 (en) 2013-04-15 2017-07-18 United Microelectronics Corp. Sidewall image transfer process
US8853015B1 (en) 2013-04-16 2014-10-07 United Microelectronics Corp. Method of forming a FinFET structure
US8709901B1 (en) 2013-04-17 2014-04-29 United Microelectronics Corp. Method of forming an isolation structure
US9147747B2 (en) 2013-05-02 2015-09-29 United Microelectronics Corp. Semiconductor structure with hard mask disposed on the gate structure
US8912609B2 (en) 2013-05-08 2014-12-16 International Business Machines Corporation Low extension resistance III-V compound fin field effect transistor
US9000483B2 (en) 2013-05-16 2015-04-07 United Microelectronics Corp. Semiconductor device with fin structure and fabrication method thereof
US9263287B2 (en) 2013-05-27 2016-02-16 United Microelectronics Corp. Method of forming fin-shaped structure
US8802521B1 (en) 2013-06-04 2014-08-12 United Microelectronics Corp. Semiconductor fin-shaped structure and manufacturing process thereof
US9006804B2 (en) 2013-06-06 2015-04-14 United Microelectronics Corp. Semiconductor device and fabrication method thereof
US9070710B2 (en) 2013-06-07 2015-06-30 United Microelectronics Corp. Semiconductor process
US8993384B2 (en) 2013-06-09 2015-03-31 United Microelectronics Corp. Semiconductor device and fabrication method thereof
US9401429B2 (en) 2013-06-13 2016-07-26 United Microelectronics Corp. Semiconductor structure and process thereof
US9263282B2 (en) 2013-06-13 2016-02-16 United Microelectronics Corporation Method of fabricating semiconductor patterns
CN104241266B (en) * 2013-06-18 2020-12-01 联华电子股份有限公司 Semiconductor integrated device
US9048246B2 (en) 2013-06-18 2015-06-02 United Microelectronics Corp. Die seal ring and method of forming the same
US9123810B2 (en) 2013-06-18 2015-09-01 United Microelectronics Corp. Semiconductor integrated device including FinFET device and protecting structure
US9190291B2 (en) 2013-07-03 2015-11-17 United Microelectronics Corp. Fin-shaped structure forming process
US9105685B2 (en) 2013-07-12 2015-08-11 United Microelectronics Corp. Method of forming shallow trench isolation structure
US9093565B2 (en) 2013-07-15 2015-07-28 United Microelectronics Corp. Fin diode structure
US9019672B2 (en) 2013-07-17 2015-04-28 United Microelectronics Corporation Chip with electrostatic discharge protection function
US8981487B2 (en) 2013-07-31 2015-03-17 United Microelectronics Corp. Fin-shaped field-effect transistor (FinFET)
US9006805B2 (en) 2013-08-07 2015-04-14 United Microelectronics Corp. Semiconductor device
US9105582B2 (en) 2013-08-15 2015-08-11 United Microelectronics Corporation Spatial semiconductor structure and method of fabricating the same
US9385048B2 (en) 2013-09-05 2016-07-05 United Microelectronics Corp. Method of forming Fin-FET
US9373719B2 (en) 2013-09-16 2016-06-21 United Microelectronics Corp. Semiconductor device
US9166024B2 (en) 2013-09-30 2015-10-20 United Microelectronics Corp. FinFET structure with cavities and semiconductor compound portions extending laterally over sidewall spacers
US9018066B2 (en) 2013-09-30 2015-04-28 United Microelectronics Corp. Method of fabricating semiconductor device structure
US9306032B2 (en) 2013-10-25 2016-04-05 United Microelectronics Corp. Method of forming self-aligned metal gate structure in a replacement gate process using tapered interlayer dielectric
US8980701B1 (en) 2013-11-05 2015-03-17 United Microelectronics Corp. Method of forming semiconductor device
US9299843B2 (en) 2013-11-13 2016-03-29 United Microelectronics Corp. Semiconductor structure and manufacturing method thereof
US8951884B1 (en) 2013-11-14 2015-02-10 United Microelectronics Corp. Method for forming a FinFET structure
KR20150058597A (en) * 2013-11-18 2015-05-29 삼성전자주식회사 Semiconductor device and method for fabricating the same
US20150214331A1 (en) * 2014-01-30 2015-07-30 Globalfoundries Inc. Replacement metal gate including dielectric gate material
US9123826B1 (en) 2014-03-24 2015-09-01 International Business Machines Corporation Single crystal source-drain merged by polycrystalline material
CN105336772B (en) * 2014-05-26 2021-11-30 中芯国际集成电路制造(上海)有限公司 Fin type TFET (thin film transistor) and manufacturing method thereof
CN106252391B (en) * 2015-06-09 2021-02-19 联华电子股份有限公司 Semiconductor structure and manufacturing method thereof
CN106558490A (en) * 2015-09-25 2017-04-05 中芯国际集成电路制造(上海)有限公司 Semiconductor device and preparation method thereof
US9484306B1 (en) 2015-11-17 2016-11-01 International Business Machines Corporation MOSFET with asymmetric self-aligned contact
CN109417094B (en) * 2016-07-01 2022-10-21 英特尔公司 Self-aligned gate edge tri-gate and finFET device
US9905675B1 (en) 2016-12-22 2018-02-27 Infineon Technologies Americas Corp. Gate and field electrode trench formation process
WO2018125179A1 (en) * 2016-12-30 2018-07-05 Intel Corporation Semiconductor fin design to mitigate fin collapse
KR102446403B1 (en) 2018-06-22 2022-09-21 삼성전자주식회사 Semiconductor device, method for fabricating the same and layout design method for the same
CN111952181A (en) * 2020-08-21 2020-11-17 中国科学院上海微系统与信息技术研究所 Fin field effect transistor with isolation layer and preparation method thereof

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6420231B1 (en) * 1999-06-30 2002-07-16 Sandisk Corporation Processing techniques for making a dual floating gate EEPROM cell array
US6642115B1 (en) * 2000-05-15 2003-11-04 International Business Machines Corporation Double-gate FET with planarized surfaces and self-aligned silicides
US6689650B2 (en) * 2001-09-27 2004-02-10 International Business Machines Corporation Fin field effect transistor with self-aligned gate
US20040150029A1 (en) * 2003-02-04 2004-08-05 Lee Jong-Ho Double-gate FinFET device and fabricating method thereof
US6803631B2 (en) * 2003-01-23 2004-10-12 Advanced Micro Devices, Inc. Strained channel finfet
US20040203211A1 (en) * 2003-04-08 2004-10-14 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned contact for silicon-on-insulator devices
US6812075B2 (en) * 2002-01-28 2004-11-02 International Business Machines Corporation Self-aligned dog-bone structure for FinFET applications and methods to fabricate the same
US20040256647A1 (en) * 2003-06-23 2004-12-23 Sharp Laboratories Of America Inc. Strained silicon finFET device
US20050051825A1 (en) * 2003-09-09 2005-03-10 Makoto Fujiwara Semiconductor device and manufacturing method thereof
US20050139893A1 (en) * 2002-05-10 2005-06-30 Infineon Technologies Ag Non-volatile flash semiconductor memory and fabrication method
US20050202618A1 (en) * 2004-03-10 2005-09-15 Atsushi Yagishita Semiconductor device and manufacturing method of the same
US6951784B1 (en) * 2004-08-05 2005-10-04 International Business Machines Corporation Three-mask method of constructing the final hard mask used for etching the silicon fins for FinFETs
US20060022268A1 (en) * 2004-07-27 2006-02-02 Chang-Woo Oh Semiconductor devices including stress inducing layers
US7244640B2 (en) * 2004-10-19 2007-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a body contact in a Finfet structure and a device including the same

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7087471B2 (en) * 2004-03-15 2006-08-08 International Business Machines Corporation Locally thinned fins
US7056773B2 (en) * 2004-04-28 2006-06-06 International Business Machines Corporation Backgated FinFET having different oxide thicknesses

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6420231B1 (en) * 1999-06-30 2002-07-16 Sandisk Corporation Processing techniques for making a dual floating gate EEPROM cell array
US6642115B1 (en) * 2000-05-15 2003-11-04 International Business Machines Corporation Double-gate FET with planarized surfaces and self-aligned silicides
US6689650B2 (en) * 2001-09-27 2004-02-10 International Business Machines Corporation Fin field effect transistor with self-aligned gate
US20040092060A1 (en) * 2001-09-27 2004-05-13 Gambino Jeffrey P. FIN field effect transistor with self-aligned gate
US6812075B2 (en) * 2002-01-28 2004-11-02 International Business Machines Corporation Self-aligned dog-bone structure for FinFET applications and methods to fabricate the same
US20050139893A1 (en) * 2002-05-10 2005-06-30 Infineon Technologies Ag Non-volatile flash semiconductor memory and fabrication method
US6803631B2 (en) * 2003-01-23 2004-10-12 Advanced Micro Devices, Inc. Strained channel finfet
US20040150029A1 (en) * 2003-02-04 2004-08-05 Lee Jong-Ho Double-gate FinFET device and fabricating method thereof
US20040203211A1 (en) * 2003-04-08 2004-10-14 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned contact for silicon-on-insulator devices
US20040256647A1 (en) * 2003-06-23 2004-12-23 Sharp Laboratories Of America Inc. Strained silicon finFET device
US7115945B2 (en) * 2003-06-23 2006-10-03 Sharp Laboratories Of America, Inc. Strained silicon fin structure
US20050051825A1 (en) * 2003-09-09 2005-03-10 Makoto Fujiwara Semiconductor device and manufacturing method thereof
US20050202618A1 (en) * 2004-03-10 2005-09-15 Atsushi Yagishita Semiconductor device and manufacturing method of the same
US20060022268A1 (en) * 2004-07-27 2006-02-02 Chang-Woo Oh Semiconductor devices including stress inducing layers
US6951784B1 (en) * 2004-08-05 2005-10-04 International Business Machines Corporation Three-mask method of constructing the final hard mask used for etching the silicon fins for FinFETs
US7244640B2 (en) * 2004-10-19 2007-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a body contact in a Finfet structure and a device including the same

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110027948A1 (en) * 2009-07-31 2011-02-03 International Business Machines Corporation Method for manufacturing a finfet device
US8202780B2 (en) 2009-07-31 2012-06-19 International Business Machines Corporation Method for manufacturing a FinFET device comprising a mask to define a gate perimeter and another mask to define fin regions
US20170371002A1 (en) * 2016-06-23 2017-12-28 Globalfoundries Inc. Methods for crossed-fins finfet device for sensing and measuring magnetic fields
US9964605B2 (en) * 2016-06-23 2018-05-08 Globalfoundries Inc. Methods for crossed-fins FinFET device for sensing and measuring magnetic fields
US9876013B1 (en) 2016-08-24 2018-01-23 Samsung Electronics Co., Ltd. Semiconductor devices and methods of manufacturing the same

Also Published As

Publication number Publication date
JP2007142392A (en) 2007-06-07
CN100485908C (en) 2009-05-06
US20070108536A1 (en) 2007-05-17
CN1967812A (en) 2007-05-23
JP5128110B2 (en) 2013-01-23
US7309626B2 (en) 2007-12-18

Similar Documents

Publication Publication Date Title
US7309626B2 (en) Quasi self-aligned source/drain FinFET process
US8080838B2 (en) Contact scheme for FINFET structures with multiple FINs
US7101763B1 (en) Low capacitance junction-isolation for bulk FinFET technology
US8207027B2 (en) Triple gate and double gate finFETs with different vertical dimension fins
US6911383B2 (en) Hybrid planar and finFET CMOS devices
JP5404816B2 (en) Method for forming semiconductor device
US7838915B2 (en) Semiconductor device having multi-gate structure and method of manufacturing the same
US7902000B2 (en) MugFET with stub source and drain regions
US9780091B2 (en) Fin pitch scaling for high voltage devices and low voltage devices on the same wafer
US20160260741A1 (en) Semiconductor devices having fins, and methods of forming semiconductor devices having fins
US10211225B2 (en) FinFET devices wit multiple channel lengths
US10090165B2 (en) Method to improve finFET cut overlay
US9911601B2 (en) Epitaxial silicon germanium fin formation using sacrificial silicon fin templates
US8963254B2 (en) Simultaneous formation of FinFET and MUGFET
US20180138307A1 (en) Tunnel finfet with self-aligned gate

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910