US20070287261A1 - Trench isolation structures for integrated circuits - Google Patents

Trench isolation structures for integrated circuits Download PDF

Info

Publication number
US20070287261A1
US20070287261A1 US11/844,227 US84422707A US2007287261A1 US 20070287261 A1 US20070287261 A1 US 20070287261A1 US 84422707 A US84422707 A US 84422707A US 2007287261 A1 US2007287261 A1 US 2007287261A1
Authority
US
United States
Prior art keywords
trenches
silicon
source gas
substrate
trench
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/844,227
Inventor
Ivo Raaijmakers
Pekka Soininen
Ernst Granneman
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM International NV
Original Assignee
ASM International NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM International NV filed Critical ASM International NV
Priority to US11/844,227 priority Critical patent/US20070287261A1/en
Publication of US20070287261A1 publication Critical patent/US20070287261A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02145Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing aluminium, e.g. AlSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31616Deposition of Al2O3
    • H01L21/3162Deposition of Al2O3 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials

Definitions

  • isolation technologies Over the last few decades a variety of isolation technologies have been developed to address the requirements of various integrated circuit types. In general, the various isolation technologies are different with respect to characteristics such as minimum isolation spacing, surface planarity, process complexity and defect density generated during isolation processing. Moreover, it is common to make trade-offs among these characteristics when developing an isolation process for a particular integrated circuit application.
  • LOCOS isolation In MOS (metal-oxide-semiconductor) technology, LOCOS isolation (LOCal Oxidation of Silicon) has been the most widely used isolation technology. LOCOS isolation involves thermal oxidation of a silicon substrate through a mask. The resultant field oxide is generally grown thick enough to avoid cross-talk between adjacent devices, but not so thick as to cause step coverage problems. The great popularity of LOCOS isolation technology can be attributed also to its inherent simplicity in MOS process integration, its cost effectiveness and its adaptability.
  • LOCOS isolation is oxide undergrowth at the edge of the hard mask (typically made of silicon nitride) that protects the active regions of the substrate during oxidation. This so-called “bird's beak” poses a limitation to device density since it widens the isolation region, thereby reducing resolution, while causing stress within the substrate.
  • Another problem associated with the LOCOS process is its non-planarity. For submicron devices, non-planarity becomes an important issue, often posing problems for photolithography and subsequent layer conformality.
  • Refilled trench structures comprise a recess formed in the silicon substrate, which is filled with a dielectric material.
  • Such structures are fabricated by first forming submicron-sized trenches in the silicon substrate, usually by a dry anisotropic etching process through openings in a photoresist overlayer. Typically the resulting trenches display a steep sidewall profile.
  • the trenches are refilled with a dielectric material, such as silicon dioxide (SiO 2 ), usually deposited by a chemical vapor deposition (CVD) or spin-on glass (SOG) process.
  • SiO 2 silicon dioxide
  • CVD chemical vapor deposition
  • SOG spin-on glass
  • the surface is smoothed by an etchback or polishing process so that the trench is completely filled with the dielectric material, and its top surface is level with the silicon substrate. After a successful etchback, the top surface has good planarity and is at the same level as the surrounding substrate.
  • the resulting structure serves to electrically isolate adjacent devices.
  • Refilled trench isolation can take a variety of forms depending upon the specific application. It is generally categorized in terms of the trench dimensions: shallow trenches ( ⁇ 1 ⁇ m deep), moderate depth trenches (1-3 ⁇ m deep), and deep, narrow trenches (>3 ⁇ m deep, ⁇ 2 ⁇ m wide). Shallow Trench Isolation (STI), for example, is used primarily for isolating devices of the same type and is often considered an alternative to LOCOS isolation. Furthermore, shallow trench isolation has the advantages of eliminating the bird's beak of LOCOS and providing a high degree of surface planarity.
  • Shallow Trench Isolation STI
  • the basic trench isolation process is, however, subject to drawbacks, including void formation in the trench during dielectric refill. Voids result when the refilling dielectric material forms a constriction near the top of the trench before it is completely filled, as shown in FIG. 1A . Such voids compromise device isolation as well as the overall structural integrity of the integrated circuit. Unfortunately, preventing void formation during trench refill often places minimum size constraints on the trenches themselves, which can limit device packing density or the effectiveness of the device isolation.
  • a key parameter in measuring the effectiveness of device isolation is the field threshold voltage, that is, the voltage necessary to create a parasitic current linking adjacent isolated devices.
  • the field threshold voltage is influenced by a number of physical and material properties, such as trench width, dielectric constant of the trench filling material, substrate doping, field implant dose and substrate bias.
  • void formation has been mitigated by decreasing trench depth and/or tapering trench sidewalls so that the openings are wider at the top than at the bottom, as shown in FIG. 1B .
  • a principal trade off in decreasing the trench depth is reducing the effectiveness of the device isolation, while the larger top openings of trenches with tapering sidewalls use up additional and valuable integrated circuit real estate.
  • a method of fabricating trench isolation structures between integrated electrical devices in a semiconductor substrate includes filling the trenches with insulating material by atomic layer deposition, comprising a plurality of primary cycles.
  • Each primary cycle comprises, in sequence, introducing a first vapor-phase reactant to the substrate, thereby forming no more than about one monolayer of a first reactant species, conforming at least to surfaces of the trenches, removing excess first vapor-phase reactant and byproduct from the reaction chamber, introducing a second vapor-phase reactant to the substrate, thereby reacting with the first reactant species conforming at least to the surfaces of the trenches, and removing excess second vapor-phase reactant and byproduct from the reaction chamber.
  • a method for forming a trench isolation structure in an integrated circuit.
  • the method includes forming a trench in a semiconductor substrate and filling the trench with an insulating material comprising a mixture of silicon oxide and aluminum oxide.
  • a method for fabricating a trench-fill structure in an integrated circuit.
  • the method includes forming a trench within a structural material in a partially fabricated integrated circuit.
  • a first filler material is deposited by a plurality of primary atomic layer deposition cycles, each of which deposits no more than about one monolayer of the first filler material.
  • a second filler material is deposited by a plurality of secondary atomic layer deposition cycles, each of which deposits no more than about one monolayer of the second filler material.
  • the primary and secondary cycles are performed a number of times to fill the trench.
  • the ratio of primary cycles to secondary cycles is selected to form a composite filler material with a coefficient of thermal expansion (CTE) within about 20% of the structural material's CTE.
  • CTE coefficient of thermal expansion
  • an integrated circuit comprising a semiconductor substrate with a plurality of neighboring active device regions, openings embedded within the semiconductor substrate between the neighboring active device regions and an insulating material that fills the openings conformally, the insulating material comprising a mixture of silica and mullite.
  • the ratio of mullite to silica in the insulating material is preferably between about 25 wt % mullite/75 wt % silica to about 50 wt % mullite/50 wt % silica, resulting in a coefficient of thermal expansion between about 2.0 ⁇ 10 ⁇ 6 /K and 3.0 ⁇ 10 ⁇ 6 /K.
  • a trench isolation structure in an integrated circuit comprises an opening embedded within a semiconductor substrate between neighboring devices and an insulating material that fills the opening conformally and that has a linear coefficient of thermal expansion within about 20% of the linear coefficient of thermal expansion of the semiconductor substrate.
  • FIG. 1A is a schematic cross-section of a partially fabricated integrated circuit, illustrating a filled trench with a void embedded within a semiconductor substrate.
  • FIG. 1B is a schematic cross-section of a partially fabricated integrated circuit, illustrating a filled trench with tapered sidewalls.
  • FIG. 2 is a schematic, perspective view of a single-substrate reaction chamber configured for atomic layer deposition.
  • FIG. 3 is an exemplary atomic layer deposition gas flow diagram in accordance with a preferred embodiment, wherein silicon is deposited and then oxidized.
  • FIG. 4 is an exemplary atomic layer deposition gas flow diagram in accordance with a preferred embodiment, wherein silicon is deposited and oxidized and then aluminum is deposited and then oxidized.
  • FIG. 5 is a schematic cross-section of a partially fabricated integrated circuit, illustrating isolation structures formed between active devices.
  • FIG. 6 is a schematic cross-section of a partially fabricated integrated circuit, illustrating a partially filled trench.
  • FIG. 7 is a schematic cross-section of a partially fabricated integrated circuit, illustrating the partially filled trench of FIG. 6 at a later stage of deposition.
  • FIG. 8 is a schematic cross-section of a partially fabricated integrated circuit, illustrating a filled trench.
  • FIG. 9 is a schematic cross-section of a partially fabricated integrated circuit, illustrating the filled trench of FIG. 8 after planarization.
  • FIG. 10 is an equilibrium phase diagram for silicon oxide (silica) and aluminum oxide (alumina).
  • FIG. 11 is a plot of coefficient of thermal expansion as a function of weight percent Al 2 O 3 in an Al 2 O 3 /SiO 2 mixture.
  • FIG. 1A illustrates schematically a trench isolation structure comprising a narrow trench 120 in a semiconductor substrate 100 that has been filled with a dielectric filler layer 140 by conventional chemical vapor deposition (CVD).
  • CVD chemical vapor deposition
  • the deposited filler layer 140 pinches off at the opening of the trench 120 before the trench is filled completely.
  • the premature pinching off of the deposited layer 140 results in a void within the trench. Such voids are unacceptable for device isolation within the substrate.
  • FIG. 1B is a schematic illustration of an exemplary approach to prevent pinching off during conventional chemical vapor deposition (CVD).
  • the sidewalls of the trench are tapered so that the deposited film 150 adequately fills the bottom of the trench 170 before the top is sealed.
  • One disadvantage of the tapered trench is that the trench 170 takes up extra space at the surface of the substrate 100 because of the wide opening, which ultimately limits circuit density.
  • a thin film deposition technique that may meet these requirements is atomic layer deposition (ALD), known also as atomic layer epitaxy (ALE).
  • ALD is a chemical vapor phase, thin film deposition technique wherein film growth proceeds by exposing the wafer surface to pulses of precursor gases. Individual precursors are pulsed onto the surface of the wafer alternately and sequentially and removed between the pulses, such as by evacuation or by purging with an inert gas. In one pulse, no more than about one monolayer of the precursor is absorbed onto the surface through chemisorption in a self-limiting reaction that stops when the surface is saturated. The gas in the next pulse either reacts directly with the monolayer or at least removes the self-limiting surface termination, thus allowing further reaction with a subsequent pulse. Films are built up by growing no more than about one monolayer at a time in a cycle of short bursts of gases, and thickness is controlled by the number of reaction cycles.
  • ALD films can have perfect uniformity and conformality over a large area, regardless of non-uniformities in reactant concentrations and temperatures across the area. Accordingly, a layer can be deposited to conform to and fill very narrow and deep trenches, even with large substrates, such as 300-mm silicon wafers.
  • ALD also affords greater compositional flexibility. This realization has been applied to another source of stress and/or voids in semiconductor processing.
  • the substrate is subjected to multiple thermal cycles, in which various materials undergo different thermal expansions. Disparate materials can thereby withdraw from one another (causing voids) or compress one another (causing stress).
  • silicon oxide has a different coefficient of thermal expansion (CTE) than the silicon substrate that surrounds it.
  • CTE coefficient of thermal expansion
  • FIG. 2 is a schematic representation of an ALD reactor 180 including a reaction chamber 182 configured to optimize deposition by ALD.
  • This figure is a general illustration of a reaction chamber. It is not meant to be represent any specific reaction chamber, nor is it meant to include all necessary components of a reaction chamber.
  • the process recipes provided herewith can be usefully employed in a PulsarTM 2000 ALCVDTM reactor, commercially available from ASM Microchemistry Oy of Espoo, Finland. The skilled artisan can readily adjust process parameters for other reactors in view of the disclosure herein.
  • Vapor-phase reactants such as precursor gases and oxidizing gases, and carrier gases communicate with the inlets 184 .
  • the inlets 184 are arranged to keep reactant gases separate until opening into the chamber 182 , thereby avoiding undesirable CVD-type reactions and consequent particulate formation.
  • vapor-phase reactants and “reactant gases” are meant to encompass even reactants that are considered to be in a liquid or solid phase at the reaction conditions, as long as they have a vapor pressure high enough to saturate the substrate surface in a reasonably short cycle.
  • Carrier gas can be any inactive gas suitable for conveying vapor-phase reactant gases through the chamber 182 and also can also be employed to purge the chamber between reactant gas pulses.
  • the reactant gases pass over the substrate 186 where atomic layer deposition and oxidation can occur.
  • heaters are also provided to heat the substrate to within an ALD temperature window, which depends upon the particular process used. Unused precursor gases, oxidizing gases, reaction products and carrier gases leave the chamber 182 through the gas outlet 188 .
  • ALD atomic layer deposition
  • a structural material includes a trench to be filled with a different material.
  • the structural material comprises a semiconductor substrate, particularly a silicon wafer, having a trench defined therein to be filled with an insulating material.
  • the substrate surface may be the top portion of an intrinsically doped silicon wafer or an epitaxial silicon layer.
  • the substrate can comprise alternative materials, such as III-V or other semiconductors.
  • Trench-fill isolation can also be employed for silicon-on-insulator (SOI) technology.
  • the structural material can comprise a thick, planarized insulating layer in which trenches or contact vias are formed, to be filled with a conductive material.
  • the bare silicon surface is preferably terminated with hydroxy (OH) tails.
  • OH hydroxy
  • the substrate can be prepared by an in situ H 2 O vapor or alcohol/carboxylic acid vapor treatment.
  • exemplary alcohols include methanol, ethanol and propanol.
  • An exemplary carboxylic acid is acetic acid.
  • a substrate with trenches is surface-treated for atomic layer deposition, either before or after being loaded into the reactor chamber.
  • an inactive carrier gas flow purges the chamber to remove any atmospheric contaminants.
  • the carrier gas is nitrogen, helium or argon and flows from the inlet to the outlet.
  • the carrier gas is nitrogen.
  • An exemplary gas flow rate is about 0.5-1.0 slm (standard liters per minute).
  • Temperature and pressure process parameters can be modified for individual film materials and for desired film characteristics.
  • the pressure range is preferably from about 3 Torr to 50 Torr, and, more preferably, from about 5 Torr to 20 Torr.
  • ALD of silicon oxide can take place at even lower temperatures.
  • remote-plasma excited oxygen and/or nitrogen sources even room temperature processing is possible.
  • plasma processes generally employ lower pressures, such as in the millitorr (mTorr) range. Accordingly, any combination of precursor gases and carrier gas may be directed separately to the plasma generator which couples microwave energy from a magnetron to the flowing gases, thus generating excited species for an alternative process.
  • precursor gases are released into the continuous carrier gas flow according to directions programmed into a central controller.
  • the precursor gases are injected as pulses into the carrier gas flow and are thus distributed into the process chamber. These precursor gases flow through the process chamber, react with the substrate, and are drawn toward the outlet or exhaust port. Unreacted precursor gases and any gaseous reaction byproducts are purged from the chamber after the reaction through the outlet port by the continuously-flowing carrier gas.
  • a first precursor gas or vapor-phase reactant is pulsed into the chamber by injection into a carrier gas flow.
  • a first chemical species from the precursor gas is adsorbed onto the prepared substrate.
  • the first species comprises silicon and at least one other ligand.
  • the silicon source gas comprises a gas conducive to self-limiting chemisorption, such as silicon halides, halosilanes and organic silicon compounds.
  • Examples include silicon tetrachloride (SiCl 4 ), dichlorosilane (DCS or SiH 2 Cl 2 ), trichlorosilane (TCS or SiHCl 3 ), species of 3-aminoalkyltrialkoxysilane, such as 3-aminopropyltriethoxysilane (NH 2 CH 2 CH 2 CH 2 -Si(O-CH 2 CH 3 ) 3 or AMTES) or 3-aminopropyltrimethoxysilane (NH 2 CH 2 CH 2 CH 2 -Si(O-CH 3 ) 3 or AMTMS), or other halosilane silicon sources.
  • the precursor is a liquid, such as TCS
  • the delivery system can include a bubbler and a gas line for bubbling N 2 through the liquid to transport silicon-containing gas molecules more effectively to the reaction chamber in gaseous form.
  • the substrate comprises a silicon wafer having isolation trenches formed therein, and the substrate is maintained at a temperature between about 150° C. and 400° C., more preferably between about 200° C. and 300° C., while reactor pressure is maintained between about 5 Torr and 20 Torr.
  • reactor pressure is maintained between about 5 Torr and 20 Torr.
  • the silicon source gas comprises 3-aminopropyltriethoxysilane and is maintained for between about 0.5 seconds and 3.0 seconds under the preferred temperature and pressure conditions, and more preferably for between about 1.0 seconds and 2.0 seconds. No more than about monolayer of silicon chemisorbs onto the silicon substrate surface and terminates with organic or halide ligands. The surface termination of the monolayer inhibits further reaction with the silicon source gas.
  • carrier gas is allowed to flow for between about 0.5 seconds and 4.0 seconds, more preferably between about 1.0 seconds and 2.0 seconds, to purge excess source gas and reaction byproducts from the chamber prior to the next reactant pulse.
  • the chamber can be evacuated to remove the reactant species and byproducts.
  • a second vapor-phase reactant is provided to the substrate by injection into the carrier gas flow.
  • the second reactant comprises an oxygen or oxidant source that reacts with the ligand termination, either organic or halide, of the adsorbed silicon monolayer, leaving oxygen atoms in place of the ligands.
  • Oxidizing gases such as ozone are preferred for silicon layers that terminate in organic ligands.
  • the ozone is preferably injected into the carrier gas flow at a concentration of about 1-30 volume percent, more preferably about 2-25 volume percent. Under the preferred temperature and pressure conditions, the ozone pulse is maintained for between about 0.5 seconds and 5.0 seconds, more preferably between about 2.0 seconds and 4.0 seconds.
  • Oxidizing gases such as water vapor are preferred for silicon layers that terminate in halide ligands.
  • the water vapor is preferably injected into the carrier gas flow. Under the preferred temperature and pressure conditions, the water vapor pulse is maintained for between about 0.2 seconds and 5.0 seconds. Preferably, stoichiometric or near-stoichiometric SiO 2 is produced.
  • carrier gas is allowed to flow for about 0.5 seconds to 4.0 seconds, preferably at least about 1.0 second, to purge the oxidizing source gas and reaction byproducts from the chamber prior to the next reactant pulse.
  • the chamber can be evacuated to remove the reactant species and byproducts.
  • Table I below provides an exemplary process recipe for one cycle of silicon oxide deposition.
  • TABLE I Exemplary SiO 2 Deposition Cycle Carrier Tem- Flow perature Pressure Time Phase (slm) Reactant (° C.) (Torr) (sec) silicon 0.8 3- 300 10 1.5 aminopropyltriethoxysilane purge 0.8 — 300 10 1.5 oxidant 0.8 ozone 300 10 3.0 purge 0.8 — 300 10 1.5
  • a second pulse of the silicon source gas is then injected into the carrier gas flow.
  • the pulse is stopped, and the silicon source gas is removed from the chamber, followed by a second oxygen source gas pulse, which is then, in turn, stopped and removed from the chamber.
  • These pulses are then continually alternated until the silicon oxide layer attains the desired thickness.
  • the cycle of Table I can be mixed with cycles depositing other materials, as discussed below with respect to the embodiment of FIG. 4 and exemplary Table II (Al 2 O 3 ).
  • Table I is labeled a “SiO 2 ” deposition cycle, though when mixed with other cycles it may form other materials.
  • Table I is also referred to herein as representing a “primary cycle.” Use of the term “primary” is for labeling purposes only, and does not imply relative importance of the material formed thereby nor the sequence in which different ALD cycles are employed.
  • FIG. 3 is a gas flow diagram in accordance with the preferred embodiments, illustrating the first four cycles 301 a - 301 d in an exemplary ALD process, wherein silicon dioxide is deposited.
  • a carrier gas 300 There is a continuous flow of a carrier gas 300 throughout the process.
  • a first pulse or spike 302 a of the silicon source gas is provided to form the first self-terminated silicon monolayer or less.
  • a first oxygen source gas pulse or spike 304 a is provided.
  • a second silicon source gas pulse 302 b is provided, followed by a second oxygen source gas 304 b , a third silicon source gas pulse 302 c , a third oxygen source gas pulse 304 c , etc., in alternating pulses separated by purge steps 303 .
  • FIG. 3 is schematic only and is not drawn to scale.
  • the ALD process forms a full monolayer only after a plurality of cycles.
  • the reactants chemisorb at each available site on the exposed layer of the workpiece, but the physical size of the adsorbed species, particularly with the terminating ligands, generally limits coverage to a fraction of a monolayer with each cycle.
  • roughly 0.2 ⁇ -0.3 ⁇ of SiO 2 forms per cycle, whereas a true monolayer of SiO 2 is about 3 ⁇ in height.
  • a full monolayer forms after approximately ten cycles, where a cycle is represented by a pair of silicon source gas and oxidizing source gas pulses.
  • a material mixture is deposited by ALD.
  • the mixture comprises two or more oxide species.
  • the exemplary embodiment describes a mixture of silicon oxide (or silica) and aluminum oxide (or alumina).
  • An exemplary process employs the primary cycle of Table I in conjunction with the secondary cycle of Table II below. In other arrangements, the skilled artisan will appreciate that other materials can be mixed together, or that other deposition processes can achieve the desired mix.
  • Preferred precursor gases for aluminum deposition by ALD include aluminum halides (e.g., AlCl 3 , Al 2 Cl 6 , AlBr 3 , and AlI 3 ); alkyl aluminum compounds (e.g., triethyl aluminum or (CH 3 CH 2 ) 3 )Al, trimethyl aluminum or TMA or Al(CH 3 ) 3 and others commercially available from Albemarle Corporation of USA); aluminum alkoxides (e.g., aluminum isopropoxide or Al[OCH(CH 3 ) 2 ] 3 , aluminum ethoxide or Al(OC 2 H 5 ) 3 and others available commercially from Strem Chemicals, Inc.
  • aluminum halides e.g., AlCl 3 , Al 2 Cl 6 , AlBr 3 , and AlI 3
  • alkyl aluminum compounds e.g., triethyl aluminum or (CH 3 CH 2 ) 3 )Al, trimethyl aluminum or TMA or Al(CH 3 ) 3 and others commercially
  • aluminum beta-diketonates e.g., Al(acac) 3 , Al(thd) 3 , Al(hfac) 3 and others commercially available from Strem Chemicals, Inc. of USA
  • anhydrous aluminum nitrate The synthesis of anhydrous aluminum nitrate has been described by G. N. Shirokova, S. Ya. Zhuk and V. Ya. Rosolovskii in Russian Journal of Inorganic Chemistry, vol. 21, 1976, pp. 799-802, the disclosure of which is incorporated herein by reference.
  • the aluminum nitrate molecule breaks into aluminum oxide when it is contacted with organic compounds, such as ethers.
  • the aluminum source gas comprises trimethyl aluminum mixed with inert nitrogen gas, provided at a rate of between about 50 sccm and 400 sccm, more preferably between about 100 sccm and 200 sccm.
  • the aluminum source gas flow is maintained for between about 0.2 second and 1.0 second under the preferred reactor conditions (as noted, preferably the same as those selected for silicon oxide deposition cycles), and more preferably for between about 0.3 second and 0.6 second.
  • a monolayer of aluminum complex chemisorbs onto the substrate or the previously formed layer thereon. The surface termination of the monolayer inhibits further reaction with the aluminum source gas.
  • an oxidizing or oxidant pulse is provided.
  • the oxidizing source gas is most preferably water vapor.
  • the water vapor is preferably injected into the carrier gas flow or inactive gas is bubbled through liquid water, forming moist oxidizing soure gas.
  • the water vapor pulse is maintained for between about 0.2 second and 2.0 seconds, more preferably between about 0.5 second and 1.0 second.
  • the purge gas pulse that follows lasts for between about 0.5 second and 3.0 seconds, more preferably between about 0.5 second and 1.0 second.
  • ozone if used as the oxidant, it is pulsed for between about 0.5 second and 5.0 seconds, more preferably between about 1.0 second and 3.0 seconds.
  • the purge gas pulse that follows lasts for a duration of between about 0.3 second and 4.0 seconds, more preferably between about 0.5 second and 2.0 seconds.
  • This ALD cycle is repeated until the desired aluminum oxide thickness is reached or, as for the preferred embodiment, ALD cycles for aluminum oxide and silicon oxide are run alternately.
  • Table II below provides an exemplary process recipe for one cycle of aluminum oxide deposition.
  • Al 2 O 3 Carrier Flow Temperature Pressure Time Phase (slm) Reactant (° C.) (Torr) (sec) aluminum 0.8 trimethyl aluminum 300 10 0.5 purge 0.8 — 300 10 0.8 oxidant 0.8 water vapor 300 10 0.8 purge 0.8 — 300 10 0.8
  • cycles of aluminum oxide deposition are mixed with cycles of silicon oxide deposition (such as Table I) in an overall thin film ALD process.
  • silicon oxide the term “aluminum oxide” or “Al 2 O 3 ” cycle is used to refer to the steps of Table II, though when mixed with other cycles it may form other materials.
  • Table II is also referred to herein as representing a “secondary cycle.” Use of the term “secondary” is for labeling purposes only, and does not implying relative importance of the material formed thereby nor the sequence in which different ALD cycles are employed.
  • the aluminum oxide cycles and silicon oxide cycles are continued in a ratio to achieve the concentrations desired until the target thickness for the mixed material layer is reached.
  • FIG. 4 is a gas flow diagram that shows exemplary process steps of the illustrated embodiment, illustrating two different cycles 401 a and 401 b , each shown with silicon oxide ALD cycles and aluminum oxide ALD cycles.
  • Each of the cycles 401 a , 401 b can be considered “mullite cycles” since consecutive silicon oxide and aluminum oxide cycles that make up the illustrated cycles 401 a and 401 b will generally be used in forming mullite phases.
  • the skilled artisan will readily appreciate, however, that the ratio of silica cycles to alumina cycles to produce mullite (3Al 2 O 3 *2SiO 2 ) is not 1:1.
  • a first pulse or spike 402 a of the silicon source gas is provided to form the first self-terminated silicon monolayer.
  • a first oxygen source gas pulse 404 a is provided to convert the silicon layer to silicon dioxide.
  • a pulse of aluminum source gas 406 a is provided to form no more than a monolayer of aluminum in a self-terminated reaction, followed by a third purge 403 .
  • a second oxygen source gas 408 a is provided to convert aluminum to alumina, followed by a fourth purge 403 , thus ending the exemplary first complete cycle.
  • the cycle can be repeated 401 b in steps 402 b through 408 b with a purge 403 at the end.
  • the ratio of the number of aluminum source cycles to the number of silicon source cycles can be adjusted, for example as M:N, where M and N are integers from 1 to 10 (between 10:1 and 1:10), to produce the desired concentration of these materials in the final product.
  • FIG. 5 is a schematic illustration of an exemplary integrated circuit 200 comprising an array of active devices 202 , illustrated as integrated transistors.
  • the active devices 202 are effectively isolated from each other by trench isolation structures 204 .
  • the trench isolation structures 204 form an effective barrier against parasitic currents and capacitances that might otherwise arise between active devices 202 .
  • the space between active devices 202 and, consequently, the width of the trench isolation structure 204 therein, should be minimized to increase the density of active devices 202 within an integrated circuit 200 .
  • the width of the trench 204 is preferably less than about 100 ⁇ m, more preferably less than about 70 nm.
  • the depth of the isolation trench can vary according to the isolation requirements of the specific integrated circuit. Accordingly, it can vary from as shallow as 0.2 ⁇ m to as deep as 1.0 ⁇ m.
  • the aspect ratio of the trench which is the ratio of the depth to the width, is preferably greater than 3:1, more preferably, greater than about 5:1.
  • FIGS. 6 through 9 are cross-section drawings that illustrate the sequence for forming a trench isolation structure of the preferred embodiment.
  • trench 204 is formed within a semiconductor substrate 100 .
  • Conventional photolithography techniques are employed to define the trench position and shield the rest of the wafer from the subsequent etch.
  • Anisotropic etching, such as reactive ion etching, is preferred for producing a trench with vertical sidewalls.
  • FIG. 6 illustrates an insulating thin film 206 a that has been built up by a series of monolayers through the ALD process as described above.
  • the thin film 206 a can comprise any suitable insulating material, including silicon dioxide. More preferably, however, the material is selected to have a coefficient of thermal expansion (CTE) that matches that of the surrounding substrate.
  • Thin film 206 a represents a plurality of ALD cycles, producing a film that is highly conformal to all surfaces of the trench 204 , including the vertical sidewalls 208 and bottom 210 , and also to the top surface 212 of the substrate 100 .
  • FIG. 7 illustrates an isolation layer 206 b at a subsequent deposition stage.
  • the isolation layer 206 b is a conformal continuation of the isolation layer 206 a . It should be generally understood that the dashed line between layers 206 a and 206 b is arbitrary.
  • Layer 206 a indicates simply a “snapshot” of the deposition at some earlier time, t a . It is not actually a discrete layer.
  • Layer 206 b indicates the deposition that has occurred between time t a and a later time t b .
  • the isolation layer 206 b consists of a series of monolayers that build up on top of the isolation layer 206 a.
  • FIG. 8 illustrates an isolation layer 206 at a stage when the trench has been filled.
  • the isolation layer 206 c is a conformal continuation of the isolation layer 206 b and is arbitrarily designated by a dashed line.
  • the preferred layer 206 c seals and fills the trench 204 completely, advantageously forming minimal or no discernible seam where the layers from opposing sides of the trench unite at the center. This is an advantage over chemical vapor deposition processing wherein a seam can form at the center of the trench and can even contain voids, particularly when subjected to thermal cycling.
  • trench isolation structure 214 is preferably planarized, such as by chemical mechanical polishing (CMP) or a reactive ion etchback process that does not disturb the isolation layer 206 inside the trench 204 .
  • FIG. 9 illustrates the trench isolation structure 214 after the isolation material has been removed from the top surface 212 of the substrate 100 by planarization.
  • the trench isolation structure 214 has a planar surface 216 at its top opening that is level with the top surface 212 of the substrate. This planarity is advantageous for subsequent conformality of deposited layers and photolithographic processes.
  • a trench isolation structure is filled with ALD material as described above, except that two different materials are deposited, for example, as outlined in the gas flow diagram of FIG. 4 . These materials may not be in equilibrium at the processing temperatures of the semiconductor wafer, in which case, they undergo a phase transformation to an equilibrium two-phase mixture. In yet another embodiment, more than two different materials are deposited. Deposited materials can be chosen to effect multi-phase mixtures with desirable properties, such as coefficient of thermal expansion (CTE) or dielectric constant.
  • CTE coefficient of thermal expansion
  • the trench is filled with an insulating material selected to match the CTE of the surrounding substrate.
  • the isolation material comprises a mixture of silica (nominally SiO 2 ) and alumina (nominally Al 2 O 3 ). These two materials do not exist in equilibrium, especially at the temperatures to which the wafer is exposed during integrated circuit fabrication. Also, with the two materials deposited by selected ratios of alumina to silica cycles in a continuous ALD process, as described above, there is no significant diffusion kinetics barrier to overcome in reaching equilibrium, so a transformation to the equilibrium structure can occur.
  • an annealing step can be used to densify and remove water from the insulating mixture.
  • FIG. 10 shows the silica-mullite phase diagram from W. E. Lee and W. M. Rainforth, Ceramic Microstructures , Chapam and Hall, p. 297 (1994).
  • the equilibrium result of a mixture containing less than about 70 weight percent alumina with silica is a two-phase mixture of silica (SiO 2 ) and mullite (3Al 2 O 3 *2SiO 2 ).
  • Silica and mullite are continuously miscible in this composition range.
  • their coefficient of thermal expansion (CTE) scales linearly. If a dielectric mixture with a CTE close to the CTE of silicon is used to fill the silicon trench, it is able to withstand large changes in temperature without stress at the dielectric/silicon interface.
  • Line 300 in FIG. 11 shows the coefficient of thermal expansion as a function of weight percent of Al 2 O 3 in a mixture with SiO 2 .
  • the CTE of silicon is shown by the horizontal line 310 at 2.3 ⁇ 10 ⁇ 6 /K.
  • the slope of mixture line 300 is not very steep near its intersection 320 with silicon line 310 .
  • a composition of about 30 wt % (weight percent) Al 2 O 3 and about 70 wt % SiO 2 which is a two-phase mixture of about 40 wt % mullite and about 60 wt % silica at equilibrium, has the same coefficient of thermal expansion (CTE) as silicon, i.e., 2.5 ⁇ 10 ⁇ 6 /K.
  • the mixture composition is such that the CTE falls within about plus or minus 20% of the CTE of silicon, i.e., in the range from about 2.0 ⁇ 10 ⁇ 6 /K at point 330 to about 3.0 ⁇ 10 ⁇ 6 /K at point 340 .
  • This corresponds to an Al 2 O 3 concentration between about 23 wt % and about 37 wt % in the mixture, and, after a phase transformation to thermal equilibrium, the two-phase mixture ranges from about 25 wt % mullite/75 wt % silica to about 50 wt % mullite/50 wt % silica.
  • the mixture's CTE falls within about plus or minus 10% of the CTE of the surrounding material, or between about 2.25 ⁇ 10 ⁇ 6 /K and 2.75 ⁇ 10 ⁇ 6 /K. This corresponds to an Al 2 O 3 concentration in the mixture between about 26 wt % and about 34 wt %, and, after a phase transformation to thermal equilibrium, the two-phase mixture ranges from about 35 wt % mullite/65 wt % silica to about 40 wt % mullite/60 wt % silica.
  • ALD can be conducted with a ratio of silica cycles to alumina cycles of between about 20:1 and 1:10. More preferably, a CTE within about 10% of silicon's CTE can be achieved with a ratio of silica (“primary”) cycles to alumina (“secondary”) cycles of between about 10:1 and 3:1
  • ALD material conformally fills a narrow opening, particularly a trench isolation structure, which advantageously allows for increased the packing density of active devices in an integrated circuit.
  • trench sidewalls can be formed vertically to increase the packing density of integrated circuit devices.
  • ALD technology permits precise control over thin layer dimensions, thus allowing for custom tailoring of trench isolation devices with very narrow and deep openings, as needed for device isolation requirements.
  • ALD atomic layer deposition

Abstract

A dielectric film is formed by atomic layer deposition to conformally fill a narrow, deep trench for device isolation. The method of the illustrated embodiments includes alternately pulsing vapor-phase reactants in a string of cycles, where each cycle deposits no more than about a monolayer of material, capable of completely filling high aspect ratio trenches. Additionally, the trench-fill material composition can be tailored by processes described herein, particularly to match the coefficient of thermal expansion (CTE) to that of the surrounding substrate within which the trench is formed. Mixed phases of mullite and silica have been found to meet the goals of device isolation and matched CTE. The described process includes mixing atomic layer deposition cycles of aluminum oxide and silicon oxide in ratios selected to achieve the desired composition of the isolation material, namely on the order of 30% alumina and 70% silicon oxide by weight.

Description

    REFERENCE TO RELATED APPLICATION
  • This application is a divisional of U.S. application Ser. No. 10/867,826, filed Jun. 14, 2004, which is a divisional of U.S. application Ser. No. 09/887,199, filed Jun. 21, 2001, now U.S. Pat. No. 6,861,334, issued Mar. 1, 2005.
  • BACKGROUND OF THE INVENTION
  • In silicon integrated circuit technology, a large number of isolated devices are fabricated on the same semiconductor substrate. Subsequently these devices are interconnected along specific paths to create the desired circuit configuration. In the continuing trend toward higher densities, devices are placed closer together with smaller spaces between them. Device isolation, which is critical to proper circuit operation, has become progressively more challenging.
  • Over the last few decades a variety of isolation technologies have been developed to address the requirements of various integrated circuit types. In general, the various isolation technologies are different with respect to characteristics such as minimum isolation spacing, surface planarity, process complexity and defect density generated during isolation processing. Moreover, it is common to make trade-offs among these characteristics when developing an isolation process for a particular integrated circuit application.
  • In MOS (metal-oxide-semiconductor) technology, LOCOS isolation (LOCal Oxidation of Silicon) has been the most widely used isolation technology. LOCOS isolation involves thermal oxidation of a silicon substrate through a mask. The resultant field oxide is generally grown thick enough to avoid cross-talk between adjacent devices, but not so thick as to cause step coverage problems. The great popularity of LOCOS isolation technology can be attributed also to its inherent simplicity in MOS process integration, its cost effectiveness and its adaptability.
  • In spite of its success, several limitations of LOCOS technology have driven the development of alternative isolation structures. A well-known limitation in LOCOS isolation is oxide undergrowth at the edge of the hard mask (typically made of silicon nitride) that protects the active regions of the substrate during oxidation. This so-called “bird's beak” poses a limitation to device density since it widens the isolation region, thereby reducing resolution, while causing stress within the substrate. Another problem associated with the LOCOS process is its non-planarity. For submicron devices, non-planarity becomes an important issue, often posing problems for photolithography and subsequent layer conformality.
  • Trench isolation technology has been developed, in part, to overcome the aforementioned limitations of LOCOS isolation for submicron devices. Refilled trench structures comprise a recess formed in the silicon substrate, which is filled with a dielectric material. Such structures are fabricated by first forming submicron-sized trenches in the silicon substrate, usually by a dry anisotropic etching process through openings in a photoresist overlayer. Typically the resulting trenches display a steep sidewall profile. The trenches are refilled with a dielectric material, such as silicon dioxide (SiO2), usually deposited by a chemical vapor deposition (CVD) or spin-on glass (SOG) process. Then the surface is smoothed by an etchback or polishing process so that the trench is completely filled with the dielectric material, and its top surface is level with the silicon substrate. After a successful etchback, the top surface has good planarity and is at the same level as the surrounding substrate. The resulting structure serves to electrically isolate adjacent devices.
  • Refilled trench isolation can take a variety of forms depending upon the specific application. It is generally categorized in terms of the trench dimensions: shallow trenches (<1 μm deep), moderate depth trenches (1-3 μm deep), and deep, narrow trenches (>3 μm deep, <2 μm wide). Shallow Trench Isolation (STI), for example, is used primarily for isolating devices of the same type and is often considered an alternative to LOCOS isolation. Furthermore, shallow trench isolation has the advantages of eliminating the bird's beak of LOCOS and providing a high degree of surface planarity.
  • The basic trench isolation process is, however, subject to drawbacks, including void formation in the trench during dielectric refill. Voids result when the refilling dielectric material forms a constriction near the top of the trench before it is completely filled, as shown in FIG. 1A. Such voids compromise device isolation as well as the overall structural integrity of the integrated circuit. Unfortunately, preventing void formation during trench refill often places minimum size constraints on the trenches themselves, which can limit device packing density or the effectiveness of the device isolation. A key parameter in measuring the effectiveness of device isolation is the field threshold voltage, that is, the voltage necessary to create a parasitic current linking adjacent isolated devices. The field threshold voltage is influenced by a number of physical and material properties, such as trench width, dielectric constant of the trench filling material, substrate doping, field implant dose and substrate bias.
  • Generally, void formation has been mitigated by decreasing trench depth and/or tapering trench sidewalls so that the openings are wider at the top than at the bottom, as shown in FIG. 1B. A principal trade off in decreasing the trench depth is reducing the effectiveness of the device isolation, while the larger top openings of trenches with tapering sidewalls use up additional and valuable integrated circuit real estate.
  • Accordingly, it is desirable to develop a trench isolation process that overcomes the problem of void formation while providing effective device isolation.
  • SUMMARY OF THE INVENTION
  • In accordance with one aspect of the present invention, a method of fabricating trench isolation structures between integrated electrical devices in a semiconductor substrate is provided. The method includes filling the trenches with insulating material by atomic layer deposition, comprising a plurality of primary cycles. Each primary cycle comprises, in sequence, introducing a first vapor-phase reactant to the substrate, thereby forming no more than about one monolayer of a first reactant species, conforming at least to surfaces of the trenches, removing excess first vapor-phase reactant and byproduct from the reaction chamber, introducing a second vapor-phase reactant to the substrate, thereby reacting with the first reactant species conforming at least to the surfaces of the trenches, and removing excess second vapor-phase reactant and byproduct from the reaction chamber.
  • In accordance with another aspect of the invention, a method is provided for forming a trench isolation structure in an integrated circuit. The method includes forming a trench in a semiconductor substrate and filling the trench with an insulating material comprising a mixture of silicon oxide and aluminum oxide.
  • In accordance with another aspect of the invention, a method is provided for fabricating a trench-fill structure in an integrated circuit. The method includes forming a trench within a structural material in a partially fabricated integrated circuit. A first filler material is deposited by a plurality of primary atomic layer deposition cycles, each of which deposits no more than about one monolayer of the first filler material. A second filler material is deposited by a plurality of secondary atomic layer deposition cycles, each of which deposits no more than about one monolayer of the second filler material. The primary and secondary cycles are performed a number of times to fill the trench. The ratio of primary cycles to secondary cycles is selected to form a composite filler material with a coefficient of thermal expansion (CTE) within about 20% of the structural material's CTE.
  • In accordance with another aspect of the present invention, an integrated circuit is described that comprises a semiconductor substrate with a plurality of neighboring active device regions, openings embedded within the semiconductor substrate between the neighboring active device regions and an insulating material that fills the openings conformally, the insulating material comprising a mixture of silica and mullite. In the illustrated embodiment, the ratio of mullite to silica in the insulating material is preferably between about 25 wt % mullite/75 wt % silica to about 50 wt % mullite/50 wt % silica, resulting in a coefficient of thermal expansion between about 2.0×10−6/K and 3.0×10−6/K.
  • In accordance with another aspect of the invention, a trench isolation structure in an integrated circuit is described that comprises an opening embedded within a semiconductor substrate between neighboring devices and an insulating material that fills the opening conformally and that has a linear coefficient of thermal expansion within about 20% of the linear coefficient of thermal expansion of the semiconductor substrate.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • These and further aspects of the invention will be readily apparent to those skilled in the art from the following description and the attached drawings, wherein:
  • 17 FIG. 1A is a schematic cross-section of a partially fabricated integrated circuit, illustrating a filled trench with a void embedded within a semiconductor substrate.
  • FIG. 1B is a schematic cross-section of a partially fabricated integrated circuit, illustrating a filled trench with tapered sidewalls.
  • FIG. 2 is a schematic, perspective view of a single-substrate reaction chamber configured for atomic layer deposition.
  • FIG. 3 is an exemplary atomic layer deposition gas flow diagram in accordance with a preferred embodiment, wherein silicon is deposited and then oxidized.
  • FIG. 4 is an exemplary atomic layer deposition gas flow diagram in accordance with a preferred embodiment, wherein silicon is deposited and oxidized and then aluminum is deposited and then oxidized.
  • FIG. 5 is a schematic cross-section of a partially fabricated integrated circuit, illustrating isolation structures formed between active devices.
  • FIG. 6 is a schematic cross-section of a partially fabricated integrated circuit, illustrating a partially filled trench.
  • FIG. 7 is a schematic cross-section of a partially fabricated integrated circuit, illustrating the partially filled trench of FIG. 6 at a later stage of deposition.
  • FIG. 8 is a schematic cross-section of a partially fabricated integrated circuit, illustrating a filled trench.
  • FIG. 9 is a schematic cross-section of a partially fabricated integrated circuit, illustrating the filled trench of FIG. 8 after planarization.
  • FIG. 10 is an equilibrium phase diagram for silicon oxide (silica) and aluminum oxide (alumina).
  • FIG. 11 is a plot of coefficient of thermal expansion as a function of weight percent Al2O3 in an Al2O3/SiO2 mixture.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • Although described in the context of trench isolation structures in integrated circuits, the skilled artisan will readily find application for the principles disclosed herein in a number of other contexts. The processes and structures of the preferred embodiments have particular utility where extremely thin layers are desired, particularly within openings that are narrow and deep.
  • As noted in the Background section above, the trend in integrated circuit technology is toward increased miniaturization of devices. As devices are made smaller, other integrated circuit structures, such as isolation trenches, also become smaller and are therefore more and more difficult to fill by conventional means. For example, FIG. 1A illustrates schematically a trench isolation structure comprising a narrow trench 120 in a semiconductor substrate 100 that has been filled with a dielectric filler layer 140 by conventional chemical vapor deposition (CVD). As shown, the deposited filler layer 140 pinches off at the opening of the trench 120 before the trench is filled completely. The premature pinching off of the deposited layer 140 results in a void within the trench. Such voids are unacceptable for device isolation within the substrate.
  • A common solution to the problem of premature pinching off is to taper the trench sidewalls. FIG. 1B is a schematic illustration of an exemplary approach to prevent pinching off during conventional chemical vapor deposition (CVD). The sidewalls of the trench are tapered so that the deposited film 150 adequately fills the bottom of the trench 170 before the top is sealed. One disadvantage of the tapered trench, however, is that the trench 170 takes up extra space at the surface of the substrate 100 because of the wide opening, which ultimately limits circuit density.
  • Accordingly, prevailing development trends in integrated circuit technology have placed ever increasing demands on thin film deposition techniques. The continuous decrease in the size of devices and increase in design complexity require nearly perfect conformality and accurate film thickness control. It is an aspect of the present invention to provide a technique that addresses these requirements.
  • A thin film deposition technique that may meet these requirements is atomic layer deposition (ALD), known also as atomic layer epitaxy (ALE). ALD is a chemical vapor phase, thin film deposition technique wherein film growth proceeds by exposing the wafer surface to pulses of precursor gases. Individual precursors are pulsed onto the surface of the wafer alternately and sequentially and removed between the pulses, such as by evacuation or by purging with an inert gas. In one pulse, no more than about one monolayer of the precursor is absorbed onto the surface through chemisorption in a self-limiting reaction that stops when the surface is saturated. The gas in the next pulse either reacts directly with the monolayer or at least removes the self-limiting surface termination, thus allowing further reaction with a subsequent pulse. Films are built up by growing no more than about one monolayer at a time in a cycle of short bursts of gases, and thickness is controlled by the number of reaction cycles.
  • The principles of ALD are described by T. Suntola, for example, in the Handbook of Crystal Growth 3, Thin Films and Epitaxy, Part B: Growth Mechanisms and Dynamics, Chapter 14, Atomic Layer Epitaxy, pp. 601-663, Elsevier Science B. V. 1994 and in U.S. Pat. No. 4,058,430, the disclosures of both of which are incorporated by reference herein in their entirety. Theoretically, ALD films can have perfect uniformity and conformality over a large area, regardless of non-uniformities in reactant concentrations and temperatures across the area. Accordingly, a layer can be deposited to conform to and fill very narrow and deep trenches, even with large substrates, such as 300-mm silicon wafers.
  • Moreover, the inventors have realized that ALD also affords greater compositional flexibility. This realization has been applied to another source of stress and/or voids in semiconductor processing. In the course of fabricating an integrated circuit, the substrate is subjected to multiple thermal cycles, in which various materials undergo different thermal expansions. Disparate materials can thereby withdraw from one another (causing voids) or compress one another (causing stress). This is particularly true of trenches formed in a structural layer and filled with a different filler material. For example, in a conventional shallow trench isolation context, silicon oxide has a different coefficient of thermal expansion (CTE) than the silicon substrate that surrounds it. In part because of the excellent control enabled by ALD, materials can be selected to minimize differential thermal expansion while achieving the traditional goals of device isolation.
  • FIG. 2 is a schematic representation of an ALD reactor 180 including a reaction chamber 182 configured to optimize deposition by ALD. This figure is a general illustration of a reaction chamber. It is not meant to be represent any specific reaction chamber, nor is it meant to include all necessary components of a reaction chamber. The process recipes provided herewith can be usefully employed in a Pulsar™ 2000 ALCVD™ reactor, commercially available from ASM Microchemistry Oy of Espoo, Finland. The skilled artisan can readily adjust process parameters for other reactors in view of the disclosure herein.
  • Gases flow into the reaction chamber 182 through a plurality of gas inlets 184. Vapor-phase reactants, such as precursor gases and oxidizing gases, and carrier gases communicate with the inlets 184. Advantageously, the inlets 184 are arranged to keep reactant gases separate until opening into the chamber 182, thereby avoiding undesirable CVD-type reactions and consequent particulate formation. Note that the terms “vapor-phase reactants” and “reactant gases” are meant to encompass even reactants that are considered to be in a liquid or solid phase at the reaction conditions, as long as they have a vapor pressure high enough to saturate the substrate surface in a reasonably short cycle.
  • Carrier gas can be any inactive gas suitable for conveying vapor-phase reactant gases through the chamber 182 and also can also be employed to purge the chamber between reactant gas pulses. The reactant gases pass over the substrate 186 where atomic layer deposition and oxidation can occur. Generally, though not necessarily, heaters (not shown) are also provided to heat the substrate to within an ALD temperature window, which depends upon the particular process used. Unused precursor gases, oxidizing gases, reaction products and carrier gases leave the chamber 182 through the gas outlet 188.
  • Preferred ALD Film Deposition Processes
  • It is difficult to deposit conformal layers to fill very narrow openings, for example narrower than 100 nm, with conventional fabrication methods, particularly where the opening has an aspect ratio greater than about 3:1 (depth:width). The preferred embodiments, however, employ atomic layer deposition (ALD), which forms thin films monolayer by monolayer. Advantageously, these atomically thin monolayers result in conformal deposition of filler material within very narrow openings or trenches.
  • In general, a structural material includes a trench to be filled with a different material. In the illustrated embodiment, the structural material comprises a semiconductor substrate, particularly a silicon wafer, having a trench defined therein to be filled with an insulating material. The substrate surface may be the top portion of an intrinsically doped silicon wafer or an epitaxial silicon layer. In other arrangements, the substrate can comprise alternative materials, such as III-V or other semiconductors. Trench-fill isolation can also be employed for silicon-on-insulator (SOI) technology. In still other arrangements, the structural material can comprise a thick, planarized insulating layer in which trenches or contact vias are formed, to be filled with a conductive material.
  • Surfaces of the trench within the structural material (substrate) are prepared to leave a surface termination that readily reacts with the first reactant in the ALD process. In the illustrated embodiment, wherein a dielectric layer is to be formed over a single-crystal silicon wafer, the bare silicon surface is preferably terminated with hydroxy (OH) tails. As will be appreciated by the skilled artisan, such a surface termination can be readily obtained by an ex situ HF dip, followed by exposure to the clean room atmosphere. In other arrangements, the substrate can be prepared by an in situ H2O vapor or alcohol/carboxylic acid vapor treatment. Exemplary alcohols include methanol, ethanol and propanol. An exemplary carboxylic acid is acetic acid.
  • In accordance with the preferred embodiment, a substrate with trenches is surface-treated for atomic layer deposition, either before or after being loaded into the reactor chamber. After the substrate is loaded, an inactive carrier gas flow purges the chamber to remove any atmospheric contaminants. Preferably, the carrier gas is nitrogen, helium or argon and flows from the inlet to the outlet. In the illustrated embodiment, the carrier gas is nitrogen. An exemplary gas flow rate is about 0.5-1.0 slm (standard liters per minute).
  • Temperature and pressure process parameters can be modified for individual film materials and for desired film characteristics. For an ALD silicon oxide process using 3-aminopropyltrimethoxysilane as a silicon precursor, for example, it is preferable to ramp the process temperature to a range of from about 150° C. to 400° C., and more preferably, from about 200° C. to 300° C. The pressure range is preferably from about 3 Torr to 50 Torr, and, more preferably, from about 5 Torr to 20 Torr.
  • In an alternative embodiment of the present invention, ALD of silicon oxide can take place at even lower temperatures. Using remote-plasma excited oxygen and/or nitrogen sources, even room temperature processing is possible. As will be appreciated by the skilled artisan, plasma processes generally employ lower pressures, such as in the millitorr (mTorr) range. Accordingly, any combination of precursor gases and carrier gas may be directed separately to the plasma generator which couples microwave energy from a magnetron to the flowing gases, thus generating excited species for an alternative process.
  • After the substrate reaches the desired reaction temperature and the chamber is set to the desired pressure level, precursor gases are released into the continuous carrier gas flow according to directions programmed into a central controller. The precursor gases are injected as pulses into the carrier gas flow and are thus distributed into the process chamber. These precursor gases flow through the process chamber, react with the substrate, and are drawn toward the outlet or exhaust port. Unreacted precursor gases and any gaseous reaction byproducts are purged from the chamber after the reaction through the outlet port by the continuously-flowing carrier gas.
  • A first precursor gas or vapor-phase reactant is pulsed into the chamber by injection into a carrier gas flow. A first chemical species from the precursor gas is adsorbed onto the prepared substrate. In the illustrated embodiments, wherein the desired films include silicon oxide, the first species comprises silicon and at least one other ligand. The result is a self-terminating monolayer of the silicon species. Preferably, the silicon source gas comprises a gas conducive to self-limiting chemisorption, such as silicon halides, halosilanes and organic silicon compounds. Examples include silicon tetrachloride (SiCl4), dichlorosilane (DCS or SiH2Cl2), trichlorosilane (TCS or SiHCl3), species of 3-aminoalkyltrialkoxysilane, such as 3-aminopropyltriethoxysilane (NH2CH2CH2CH2-Si(O-CH2CH3)3 or AMTES) or 3-aminopropyltrimethoxysilane (NH2CH2CH2CH2-Si(O-CH3)3 or AMTMS), or other halosilane silicon sources. When the precursor is a liquid, such as TCS, the delivery system can include a bubbler and a gas line for bubbling N2 through the liquid to transport silicon-containing gas molecules more effectively to the reaction chamber in gaseous form.
  • In an exemplary embodiment, the substrate comprises a silicon wafer having isolation trenches formed therein, and the substrate is maintained at a temperature between about 150° C. and 400° C., more preferably between about 200° C. and 300° C., while reactor pressure is maintained between about 5 Torr and 20 Torr. The skilled artisan will readily appreciate that reaction conditions can be varied for different reactors and different chemistries.
  • The silicon source gas comprises 3-aminopropyltriethoxysilane and is maintained for between about 0.5 seconds and 3.0 seconds under the preferred temperature and pressure conditions, and more preferably for between about 1.0 seconds and 2.0 seconds. No more than about monolayer of silicon chemisorbs onto the silicon substrate surface and terminates with organic or halide ligands. The surface termination of the monolayer inhibits further reaction with the silicon source gas.
  • After the silicon source pulse is turned off, carrier gas is allowed to flow for between about 0.5 seconds and 4.0 seconds, more preferably between about 1.0 seconds and 2.0 seconds, to purge excess source gas and reaction byproducts from the chamber prior to the next reactant pulse. In other arrangements, it will be understood that the chamber can be evacuated to remove the reactant species and byproducts.
  • Next, a second vapor-phase reactant is provided to the substrate by injection into the carrier gas flow. In the illustrated embodiment, the second reactant comprises an oxygen or oxidant source that reacts with the ligand termination, either organic or halide, of the adsorbed silicon monolayer, leaving oxygen atoms in place of the ligands.
  • Oxidizing gases such as ozone are preferred for silicon layers that terminate in organic ligands. The ozone is preferably injected into the carrier gas flow at a concentration of about 1-30 volume percent, more preferably about 2-25 volume percent. Under the preferred temperature and pressure conditions, the ozone pulse is maintained for between about 0.5 seconds and 5.0 seconds, more preferably between about 2.0 seconds and 4.0 seconds.
  • Oxidizing gases such as water vapor are preferred for silicon layers that terminate in halide ligands. The water vapor is preferably injected into the carrier gas flow. Under the preferred temperature and pressure conditions, the water vapor pulse is maintained for between about 0.2 seconds and 5.0 seconds. Preferably, stoichiometric or near-stoichiometric SiO2 is produced.
  • After the oxygen source pulse is turned off, carrier gas is allowed to flow for about 0.5 seconds to 4.0 seconds, preferably at least about 1.0 second, to purge the oxidizing source gas and reaction byproducts from the chamber prior to the next reactant pulse. In other arrangements, it will be understood that the chamber can be evacuated to remove the reactant species and byproducts.
  • Table I below provides an exemplary process recipe for one cycle of silicon oxide deposition.
    TABLE I
    Exemplary SiO2 Deposition Cycle
    Carrier Tem-
    Flow perature Pressure Time
    Phase (slm) Reactant (° C.) (Torr) (sec)
    silicon 0.8 3- 300 10 1.5
    aminopropyltriethoxysilane
    purge 0.8 300 10 1.5
    oxidant 0.8 ozone 300 10 3.0
    purge 0.8 300 10 1.5
  • In accordance with the principles of ALD, a second pulse of the silicon source gas is then injected into the carrier gas flow. The pulse is stopped, and the silicon source gas is removed from the chamber, followed by a second oxygen source gas pulse, which is then, in turn, stopped and removed from the chamber. These pulses are then continually alternated until the silicon oxide layer attains the desired thickness. Alternatively, the cycle of Table I can be mixed with cycles depositing other materials, as discussed below with respect to the embodiment of FIG. 4 and exemplary Table II (Al2O3). For convenience, Table I is labeled a “SiO2” deposition cycle, though when mixed with other cycles it may form other materials. Table I is also referred to herein as representing a “primary cycle.” Use of the term “primary” is for labeling purposes only, and does not imply relative importance of the material formed thereby nor the sequence in which different ALD cycles are employed.
  • FIG. 3 is a gas flow diagram in accordance with the preferred embodiments, illustrating the first four cycles 301 a-301 d in an exemplary ALD process, wherein silicon dioxide is deposited. There is a continuous flow of a carrier gas 300 throughout the process. As shown, a first pulse or spike 302 a of the silicon source gas is provided to form the first self-terminated silicon monolayer or less. After a first purge step 303, during which carrier gas continues to flow until the silicon source gas has been removed from the chamber, a first oxygen source gas pulse or spike 304 a is provided. After a second purge 303, a second silicon source gas pulse 302 b is provided, followed by a second oxygen source gas 304 b, a third silicon source gas pulse 302 c, a third oxygen source gas pulse 304 c, etc., in alternating pulses separated by purge steps 303. Note that FIG. 3 is schematic only and is not drawn to scale.
  • Actually, in practice, the ALD process forms a full monolayer only after a plurality of cycles. Theoretically the reactants chemisorb at each available site on the exposed layer of the workpiece, but the physical size of the adsorbed species, particularly with the terminating ligands, generally limits coverage to a fraction of a monolayer with each cycle. In the illustrated embodiment, roughly 0.2 Å-0.3 Å of SiO2 forms per cycle, whereas a true monolayer of SiO2 is about 3 Å in height. A full monolayer forms after approximately ten cycles, where a cycle is represented by a pair of silicon source gas and oxidizing source gas pulses.
  • In another embodiment, a material mixture is deposited by ALD. Preferably, the mixture comprises two or more oxide species. The exemplary embodiment describes a mixture of silicon oxide (or silica) and aluminum oxide (or alumina). An exemplary process employs the primary cycle of Table I in conjunction with the secondary cycle of Table II below. In other arrangements, the skilled artisan will appreciate that other materials can be mixed together, or that other deposition processes can achieve the desired mix.
  • For the ALD aluminum oxide cycles, it is preferable to use temperature and pressure conditions that are close to or identical with the conditions used for the silicon oxide cycle because the pulse times are very short and it is not desirable to change these conditions between cycles.
  • Preferred precursor gases for aluminum deposition by ALD include aluminum halides (e.g., AlCl3, Al2Cl6, AlBr3, and AlI3); alkyl aluminum compounds (e.g., triethyl aluminum or (CH3CH2)3)Al, trimethyl aluminum or TMA or Al(CH3)3 and others commercially available from Albemarle Corporation of USA); aluminum alkoxides (e.g., aluminum isopropoxide or Al[OCH(CH3)2]3, aluminum ethoxide or Al(OC2H5)3 and others available commercially from Strem Chemicals, Inc. of USA); aluminum beta-diketonates (e.g., Al(acac)3, Al(thd)3, Al(hfac)3 and others commercially available from Strem Chemicals, Inc. of USA); and anhydrous aluminum nitrate. The synthesis of anhydrous aluminum nitrate has been described by G. N. Shirokova, S. Ya. Zhuk and V. Ya. Rosolovskii in Russian Journal of Inorganic Chemistry, vol. 21, 1976, pp. 799-802, the disclosure of which is incorporated herein by reference. The aluminum nitrate molecule breaks into aluminum oxide when it is contacted with organic compounds, such as ethers.
  • In the exemplary process, the aluminum source gas comprises trimethyl aluminum mixed with inert nitrogen gas, provided at a rate of between about 50 sccm and 400 sccm, more preferably between about 100 sccm and 200 sccm. The aluminum source gas flow is maintained for between about 0.2 second and 1.0 second under the preferred reactor conditions (as noted, preferably the same as those selected for silicon oxide deposition cycles), and more preferably for between about 0.3 second and 0.6 second. A monolayer of aluminum complex chemisorbs onto the substrate or the previously formed layer thereon. The surface termination of the monolayer inhibits further reaction with the aluminum source gas.
  • After the aluminum complex is deposited, and excess aluminum precursor gas and reaction byproducts are purged from the chamber, an oxidizing or oxidant pulse is provided. In the illustrated embodiment, the oxidizing source gas is most preferably water vapor. The water vapor is preferably injected into the carrier gas flow or inactive gas is bubbled through liquid water, forming moist oxidizing soure gas. Under the preferred temperature and pressure conditions, the water vapor pulse is maintained for between about 0.2 second and 2.0 seconds, more preferably between about 0.5 second and 1.0 second. The purge gas pulse that follows lasts for between about 0.5 second and 3.0 seconds, more preferably between about 0.5 second and 1.0 second.
  • In other arrangements, if ozone is used as the oxidant, it is pulsed for between about 0.5 second and 5.0 seconds, more preferably between about 1.0 second and 3.0 seconds. The purge gas pulse that follows lasts for a duration of between about 0.3 second and 4.0 seconds, more preferably between about 0.5 second and 2.0 seconds. This ALD cycle is repeated until the desired aluminum oxide thickness is reached or, as for the preferred embodiment, ALD cycles for aluminum oxide and silicon oxide are run alternately.
  • Table II below provides an exemplary process recipe for one cycle of aluminum oxide deposition.
    TABLE II
    Al2O3
    Carrier
    Flow Temperature Pressure Time
    Phase (slm) Reactant (° C.) (Torr) (sec)
    aluminum 0.8 trimethyl aluminum 300 10 0.5
    purge 0.8 300 10 0.8
    oxidant 0.8 water vapor 300 10 0.8
    purge 0.8 300 10 0.8
  • To achieve the desired material mixture in the illustrated embodiment, cycles of aluminum oxide deposition (such as Table II) are mixed with cycles of silicon oxide deposition (such as Table I) in an overall thin film ALD process. As noted with respect to silicon oxide, the term “aluminum oxide” or “Al2O3” cycle is used to refer to the steps of Table II, though when mixed with other cycles it may form other materials. Table II is also referred to herein as representing a “secondary cycle.” Use of the term “secondary” is for labeling purposes only, and does not implying relative importance of the material formed thereby nor the sequence in which different ALD cycles are employed. The aluminum oxide cycles and silicon oxide cycles are continued in a ratio to achieve the concentrations desired until the target thickness for the mixed material layer is reached.
  • FIG. 4 is a gas flow diagram that shows exemplary process steps of the illustrated embodiment, illustrating two different cycles 401 a and 401 b, each shown with silicon oxide ALD cycles and aluminum oxide ALD cycles. Each of the cycles 401 a, 401 b can be considered “mullite cycles” since consecutive silicon oxide and aluminum oxide cycles that make up the illustrated cycles 401 a and 401 b will generally be used in forming mullite phases. The skilled artisan will readily appreciate, however, that the ratio of silica cycles to alumina cycles to produce mullite (3Al2O3*2SiO2) is not 1:1.
  • As has been described above, there is a continuous flow of a carrier gas 400 throughout the process. A first pulse or spike 402 a of the silicon source gas is provided to form the first self-terminated silicon monolayer. After a first purge step 403, during which carrier gas continues to flow until the silicon source gas and reaction byproducts have been removed from the chamber, a first oxygen source gas pulse 404 a is provided to convert the silicon layer to silicon dioxide. After a second purge 403, a pulse of aluminum source gas 406 a is provided to form no more than a monolayer of aluminum in a self-terminated reaction, followed by a third purge 403. A second oxygen source gas 408 a is provided to convert aluminum to alumina, followed by a fourth purge 403, thus ending the exemplary first complete cycle. The cycle can be repeated 401 b in steps 402 b through 408 b with a purge 403 at the end. The ratio of the number of aluminum source cycles to the number of silicon source cycles can be adjusted, for example as M:N, where M and N are integers from 1 to 10 (between 10:1 and 1:10), to produce the desired concentration of these materials in the final product.
  • Preferred Trench Isolation Structure
  • FIG. 5 is a schematic illustration of an exemplary integrated circuit 200 comprising an array of active devices 202, illustrated as integrated transistors. The active devices 202 are effectively isolated from each other by trench isolation structures 204. The trench isolation structures 204 form an effective barrier against parasitic currents and capacitances that might otherwise arise between active devices 202. In addition, the space between active devices 202 and, consequently, the width of the trench isolation structure 204 therein, should be minimized to increase the density of active devices 202 within an integrated circuit 200.
  • In the preferred embodiment, the width of the trench 204 is preferably less than about 100 μm, more preferably less than about 70 nm. The depth of the isolation trench can vary according to the isolation requirements of the specific integrated circuit. Accordingly, it can vary from as shallow as 0.2 μm to as deep as 1.0 μm. In the preferred embodiment, the aspect ratio of the trench, which is the ratio of the depth to the width, is preferably greater than 3:1, more preferably, greater than about 5:1.
  • FIGS. 6 through 9 are cross-section drawings that illustrate the sequence for forming a trench isolation structure of the preferred embodiment. In FIG. 6, trench 204 is formed within a semiconductor substrate 100. Conventional photolithography techniques are employed to define the trench position and shield the rest of the wafer from the subsequent etch. Anisotropic etching, such as reactive ion etching, is preferred for producing a trench with vertical sidewalls.
  • FIG. 6 illustrates an insulating thin film 206 a that has been built up by a series of monolayers through the ALD process as described above. The thin film 206 a can comprise any suitable insulating material, including silicon dioxide. More preferably, however, the material is selected to have a coefficient of thermal expansion (CTE) that matches that of the surrounding substrate. Thin film 206 a represents a plurality of ALD cycles, producing a film that is highly conformal to all surfaces of the trench 204, including the vertical sidewalls 208 and bottom 210, and also to the top surface 212 of the substrate 100.
  • FIG. 7 illustrates an isolation layer 206 b at a subsequent deposition stage. The isolation layer 206 b is a conformal continuation of the isolation layer 206 a. It should be generally understood that the dashed line between layers 206 a and 206 b is arbitrary. Layer 206 a indicates simply a “snapshot” of the deposition at some earlier time, ta. It is not actually a discrete layer. Layer 206 b indicates the deposition that has occurred between time ta and a later time tb. The isolation layer 206 b consists of a series of monolayers that build up on top of the isolation layer 206 a.
  • FIG. 8 illustrates an isolation layer 206 at a stage when the trench has been filled. The isolation layer 206 c is a conformal continuation of the isolation layer 206 b and is arbitrarily designated by a dashed line. At the final stage, the preferred layer 206 c seals and fills the trench 204 completely, advantageously forming minimal or no discernible seam where the layers from opposing sides of the trench unite at the center. This is an advantage over chemical vapor deposition processing wherein a seam can form at the center of the trench and can even contain voids, particularly when subjected to thermal cycling.
  • As shown in FIG. 9, trench isolation structure 214 is preferably planarized, such as by chemical mechanical polishing (CMP) or a reactive ion etchback process that does not disturb the isolation layer 206 inside the trench 204. FIG. 9 illustrates the trench isolation structure 214 after the isolation material has been removed from the top surface 212 of the substrate 100 by planarization. The trench isolation structure 214 has a planar surface 216 at its top opening that is level with the top surface 212 of the substrate. This planarity is advantageous for subsequent conformality of deposited layers and photolithographic processes.
  • In another embodiment, a trench isolation structure is filled with ALD material as described above, except that two different materials are deposited, for example, as outlined in the gas flow diagram of FIG. 4. These materials may not be in equilibrium at the processing temperatures of the semiconductor wafer, in which case, they undergo a phase transformation to an equilibrium two-phase mixture. In yet another embodiment, more than two different materials are deposited. Deposited materials can be chosen to effect multi-phase mixtures with desirable properties, such as coefficient of thermal expansion (CTE) or dielectric constant.
  • In an exemplary embodiment, the trench is filled with an insulating material selected to match the CTE of the surrounding substrate. In the illustrated example, the isolation material comprises a mixture of silica (nominally SiO2) and alumina (nominally Al2O3). These two materials do not exist in equilibrium, especially at the temperatures to which the wafer is exposed during integrated circuit fabrication. Also, with the two materials deposited by selected ratios of alumina to silica cycles in a continuous ALD process, as described above, there is no significant diffusion kinetics barrier to overcome in reaching equilibrium, so a transformation to the equilibrium structure can occur. Optionally, after deposition, an annealing step can be used to densify and remove water from the insulating mixture.
  • FIG. 10 shows the silica-mullite phase diagram from W. E. Lee and W. M. Rainforth, Ceramic Microstructures, Chapam and Hall, p. 297 (1994). At temperatures less than about 1600° C., the equilibrium result of a mixture containing less than about 70 weight percent alumina with silica is a two-phase mixture of silica (SiO2) and mullite (3Al2O3*2SiO2). Silica and mullite are continuously miscible in this composition range. To a first approximation, their coefficient of thermal expansion (CTE) scales linearly. If a dielectric mixture with a CTE close to the CTE of silicon is used to fill the silicon trench, it is able to withstand large changes in temperature without stress at the dielectric/silicon interface.
  • Line 300 in FIG. 11 shows the coefficient of thermal expansion as a function of weight percent of Al2O3 in a mixture with SiO2. The CTE of silicon is shown by the horizontal line 310 at 2.3×10−6/K. The slope of mixture line 300 is not very steep near its intersection 320 with silicon line 310. At the intersection 320, a composition of about 30 wt % (weight percent) Al2O3 and about 70 wt % SiO2, which is a two-phase mixture of about 40 wt % mullite and about 60 wt % silica at equilibrium, has the same coefficient of thermal expansion (CTE) as silicon, i.e., 2.5×10−6/K.
  • Preferably, the mixture composition is such that the CTE falls within about plus or minus 20% of the CTE of silicon, i.e., in the range from about 2.0×10−6/K at point 330 to about 3.0×10−6/K at point 340. This corresponds to an Al2O3 concentration between about 23 wt % and about 37 wt % in the mixture, and, after a phase transformation to thermal equilibrium, the two-phase mixture ranges from about 25 wt % mullite/75 wt % silica to about 50 wt % mullite/50 wt % silica. More preferably, the mixture's CTE falls within about plus or minus 10% of the CTE of the surrounding material, or between about 2.25×10−6/K and 2.75×10−6/K. This corresponds to an Al2O3 concentration in the mixture between about 26 wt % and about 34 wt %, and, after a phase transformation to thermal equilibrium, the two-phase mixture ranges from about 35 wt % mullite/65 wt % silica to about 40 wt % mullite/60 wt % silica.
  • Preferably, to achieve a CTE within about 20% of silicon's CTE, ALD can be conducted with a ratio of silica cycles to alumina cycles of between about 20:1 and 1:10. More preferably, a CTE within about 10% of silicon's CTE can be achieved with a ratio of silica (“primary”) cycles to alumina (“secondary”) cycles of between about 10:1 and 3:1
  • Accordingly, there are several advantages in the described invention. For example, ALD material conformally fills a narrow opening, particularly a trench isolation structure, which advantageously allows for increased the packing density of active devices in an integrated circuit. Additionally, due to ALD conformality, trench sidewalls can be formed vertically to increase the packing density of integrated circuit devices. Furthermore, ALD technology permits precise control over thin layer dimensions, thus allowing for custom tailoring of trench isolation devices with very narrow and deep openings, as needed for device isolation requirements.
  • By understanding the phase transformations that occur with each combination of materials deposited, a wider variety of material properties can be engineered than from the as-deposited materials alone. Concentration ratios can be carefully chosen to effect transformation to specific two-phase mixtures that have desirable properties, such as linear coefficient of thermal expansion or dielectric constant, for trench isolation structures.
  • The skilled artisan will readily appreciate, in view of the disclosure herein, that other methods can be employed to achieve the desired CTE matching of filler material with surrounding trench. ALD, however, advantageously provides excellent process control to produce near-perfect step coverage (preferably greater than 95%, more preferably greater than 98%) over high aspect ratio trenches. Furthermore, the ALD process can also be readily tuned by adjusting ratios of reactant pulses or cycles, as described herein, to attain the desired composition.
  • Furthermore, though described with particular materials and in the context of shallow trench isolation, the skilled artisan will readily find application for the principles and advantages described herein for matching CTE of other materials and structures in the course of integrated circuit fabrication. Another example is the filling of a contact via with conductive material having a CTE matched to that of surrounding insulating material. As newer materials are developed for advanced fabrication of ever-faster circuits, the flexibility of the processes described herein can be readily adapted to address CTE matching for various materials in integrated circuits.
  • Thus, although the foregoing invention has been described in terms of certain preferred embodiments, other embodiments will become apparent to those of ordinary skill in the art in view of the disclosure herein. Accordingly, the present invention is not intended to be limited by the recitation of preferred embodiments, but is intended to be defined solely by reference to the appended claims.

Claims (25)

1. A method of fabricating trench isolation structures between integrated electrical devices in a semiconductor substrate, comprising:
placing a semiconductor substrate in a reaction chamber, the semiconductor substrate comprising trenches; and
filling the trenches with silicon oxide by an atomic layer deposition process comprising a plurality of deposition cycles, each deposition cycle comprising, in sequence:
introducing a first vapor-phase reactant comprising a silicon source gas to the substrate, thereby forming no more than about one monolayer of a first reactant species conforming at least to surfaces of the trenches;
removing excess first vapor-phase reactant and byproduct from the reaction chamber;
introducing a second vapor-phase reactant comprising an oxidant source gas to the substrate; and
removing excess second vapor-phase reactant and byproduct from the reaction chamber.
2. The method of claim 1, wherein filling the trenches comprises repeating the deposition cycles until the trenches are filled.
3. The method of claim 2, wherein repeating comprises conducting the deposition cycles until no space remains within the trenches.
4. The method of claim 1, wherein the oxidant source gas comprises ozone.
5. The method of claim 1, wherein the oxidant source gas comprises water.
6. The method of claim 1, wherein the silicon source gas comprises an organic silicon compound.
7. The method of claim 1, wherein the silicon source gas comprises an aminoalkyltrialkoxysilane.
8. The method of claim 1, wherein the silicon source gas comprises a silicon halide.
9. The method of claim 1, wherein the silicon source gas comprises a silicon halosilane.
10. The method of claim 1, wherein the silicon source gas is selected from the group consisting of SiCl4, DCS, SiH2Cl2, TCS and SiHCl3.
11. The method of claim 1, wherein during filling the substrate is maintained at a temperature of between about 150° C. and 400° C.
12. The method of claim 11, wherein during filling the substrate is maintained at a temperature of between about 200° C. and 300° C.
13. The method of claim 1, wherein during filling the reaction chamber is maintained at a pressure from about 3 Torr and 50 Torr.
14. The method of claim 1, wherein the trenches are narrower than about 100 nm.
15. The method of claim 1, wherein the trenches are narrower than about 70 nm.
16. The method of claim 1, wherein the aspect ratio of the trenches is greater than about 5:1.
17. A method of fabricating trench isolation structures in a semiconductor substrate, comprising:
placing a semiconductor substrate comprising trenches in a reaction chamber; and
filling the trenches with silicon oxide by alternately and sequentially contacting the substrate with vapor phase pulses of a silicon source gas and an oxidant source gas.
18. The method of claim 17, wherein the trenches are narrower than about 100 nm.
19. The method of claim 17, wherein prior to contacting the substrate with vapor phase pulses, further comprising preparing the trenches with a surface treatment to provide a desired termination.
20. The method of claim 17, further comprising continuously flowing a carrier gas during filling.
21. The method of claim 20, wherein the carrier gas is an inactive carrier gas.
22. The method of claim 17, wherein the oxidant source gas comprises water vapor.
23. An ALD process for filling trench isolation structures on a substrate comprising sequentially and alternately contacting a substrate with vapor phase pulses of a silicon source gas and an oxidant source gas at least until the trench isolation structures are completely filled.
24. The process of claim 23, further comprising planarizing the trench isolation structures after the trench isolation structures are completely filled.
25. The process of claim 24, wherein planarizing the trench isolation structures comprises chemical mechanical polishing.
US11/844,227 2001-06-21 2007-08-23 Trench isolation structures for integrated circuits Abandoned US20070287261A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/844,227 US20070287261A1 (en) 2001-06-21 2007-08-23 Trench isolation structures for integrated circuits

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/887,199 US6861334B2 (en) 2001-06-21 2001-06-21 Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US10/867,826 US7276774B2 (en) 2001-06-21 2004-06-14 Trench isolation structures for integrated circuits
US11/844,227 US20070287261A1 (en) 2001-06-21 2007-08-23 Trench isolation structures for integrated circuits

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/867,826 Division US7276774B2 (en) 2001-06-21 2004-06-14 Trench isolation structures for integrated circuits

Publications (1)

Publication Number Publication Date
US20070287261A1 true US20070287261A1 (en) 2007-12-13

Family

ID=25390659

Family Applications (3)

Application Number Title Priority Date Filing Date
US09/887,199 Expired - Lifetime US6861334B2 (en) 2001-06-21 2001-06-21 Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US10/867,826 Expired - Lifetime US7276774B2 (en) 2001-06-21 2004-06-14 Trench isolation structures for integrated circuits
US11/844,227 Abandoned US20070287261A1 (en) 2001-06-21 2007-08-23 Trench isolation structures for integrated circuits

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US09/887,199 Expired - Lifetime US6861334B2 (en) 2001-06-21 2001-06-21 Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US10/867,826 Expired - Lifetime US7276774B2 (en) 2001-06-21 2004-06-14 Trench isolation structures for integrated circuits

Country Status (1)

Country Link
US (3) US6861334B2 (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090041952A1 (en) * 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US20110001183A1 (en) * 2009-03-06 2011-01-06 Dong-Chul Yoo Memory device and method of fabricating the same
US8367506B2 (en) * 2007-06-04 2013-02-05 Micron Technology, Inc. High-k dielectrics with gold nano-particles
US8501563B2 (en) 2005-07-20 2013-08-06 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US20130252440A1 (en) * 2011-09-26 2013-09-26 Applied Materials, Inc. Pretreatment and improved dielectric coverage
US20140134812A1 (en) * 2012-11-13 2014-05-15 Dong-chan Kim Method of fabricating semiconductor device
US9070712B2 (en) 2012-08-10 2015-06-30 Imec Methods for manufacturing a field-effect semiconductor device
WO2018089745A1 (en) * 2016-11-11 2018-05-17 The Regents Of The University Of Colorado, A Body Corporate Improved stability of refractory materials in high temperature steam
WO2020014166A1 (en) * 2018-07-11 2020-01-16 Lam Research Corporation Dielectric gapfill using atomic layer deposition (ald), inhibitor plasma and etching
US11211284B2 (en) 2019-05-31 2021-12-28 Samsung Electronics Co., Ltd. Semiconductor device including trench isolation layer and method of forming the same

Families Citing this family (166)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
EP1772534A3 (en) 2000-09-28 2007-04-25 The President and Fellows of Harvard College Tungsten-containing and hafnium-containing precursors for vapor deposition
US20070014801A1 (en) * 2001-01-24 2007-01-18 Gish Kurt C Methods of diagnosis of prostate cancer, compositions and methods of screening for modulators of prostate cancer
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100428804B1 (en) * 2001-02-23 2004-04-29 삼성전자주식회사 Method of forming a layer in an integrated circuit device process, a method for fabricating a trench isolaton using the same and a trench isolation structure
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US20030198754A1 (en) * 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
AU2003238853A1 (en) * 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
EP1490529A1 (en) * 2002-03-28 2004-12-29 President And Fellows Of Harvard College Vapor deposition of silicon dioxide nanolaminates
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
KR100468729B1 (en) * 2002-04-25 2005-01-29 삼성전자주식회사 Method for Atomic Layer Deposition of silicon oxide film using HCD source
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
KR100505668B1 (en) * 2002-07-08 2005-08-03 삼성전자주식회사 Method for forming silicon dioxide layer by atomic layer deposition
WO2004027849A1 (en) * 2002-09-20 2004-04-01 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device and substrate processing apparatus
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US7084076B2 (en) * 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7294360B2 (en) 2003-03-31 2007-11-13 Planar Systems, Inc. Conformal coatings for micro-optical elements, and method for making the same
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
KR100548571B1 (en) * 2003-11-27 2006-02-02 주식회사 하이닉스반도체 Method for fabricating isolation layer of semiconductor device
KR100522547B1 (en) * 2003-12-10 2005-10-19 삼성전자주식회사 Method for manufacturing insulating layer in semiconductor device
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
DE102004022781A1 (en) * 2004-05-08 2005-12-01 X-Fab Semiconductor Foundries Ag SOI slices with MEMS structures and filled isolation trenches defined cross section
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
DE102004028030B4 (en) * 2004-06-09 2006-07-27 Infineon Technologies Ag Catalytic coating process for structured substrate surfaces and silicon dioxide thin film coated substrate having a textured surface
DE102004028031A1 (en) * 2004-06-09 2006-01-05 Infineon Technologies Ag Selective coating method used in the semiconductor industry comprises preparing a substrate, covering predetermined surface regions of a surface with a mask, inserting a coating controlling agent and catalytically depositing a thin layer
US7097878B1 (en) 2004-06-22 2006-08-29 Novellus Systems, Inc. Mixed alkoxy precursors and methods of their use for rapid vapor deposition of SiO2 films
US7129189B1 (en) 2004-06-22 2006-10-31 Novellus Systems, Inc. Aluminum phosphate incorporation in silica thin films produced by rapid surface catalyzed vapor deposition (RVD)
US7202185B1 (en) 2004-06-22 2007-04-10 Novellus Systems, Inc. Silica thin films produced by rapid surface catalyzed vapor deposition (RVD) using a nucleation layer
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
JP3875245B2 (en) * 2004-07-26 2007-01-31 株式会社東芝 Semiconductor device
US8158488B2 (en) * 2004-08-31 2012-04-17 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7323387B2 (en) * 2004-11-12 2008-01-29 Seagate Technology Llc Method to make nano structure below 25 nanometer with high uniformity on large scale
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7294583B1 (en) 2004-12-23 2007-11-13 Novellus Systems, Inc. Methods for the use of alkoxysilanol precursors for vapor deposition of SiO2 films
US7223707B1 (en) 2004-12-30 2007-05-29 Novellus Systems, Inc. Dynamic rapid vapor deposition process for conformal silica laminates
US7271112B1 (en) 2004-12-30 2007-09-18 Novellus Systems, Inc. Methods for forming high density, conformal, silica nanolaminate films via pulsed deposition layer in structures of confined geometry
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7135418B1 (en) * 2005-03-09 2006-11-14 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
JP4456533B2 (en) * 2005-06-14 2010-04-28 東京エレクトロン株式会社 Silicon oxide film forming method, silicon oxide film forming apparatus, and program
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) * 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7279377B2 (en) * 2005-08-10 2007-10-09 Micron Technology, Inc. Method and structure for shallow trench isolation during integrated circuit device manufacture
EP1917842B1 (en) * 2005-08-26 2015-03-11 FUJIFILM Manufacturing Europe B.V. Method and arrangement for generating and controlling a discharge plasma
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7589028B1 (en) 2005-11-15 2009-09-15 Novellus Systems, Inc. Hydroxyl bond removal and film densification method for oxide films using microwave post treatment
US7491653B1 (en) 2005-12-23 2009-02-17 Novellus Systems, Inc. Metal-free catalysts for pulsed deposition layer process for conformal silica laminates
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
JP4946145B2 (en) * 2006-04-13 2012-06-06 富士通セミコンダクター株式会社 Manufacturing method of ferroelectric memory
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US7288463B1 (en) 2006-04-28 2007-10-30 Novellus Systems, Inc. Pulsed deposition layer gap fill with expansion material
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
WO2007139379A1 (en) * 2006-05-30 2007-12-06 Fujifilm Manufacturing Europe B.V. Method and apparatus for deposition using pulsed atmospheric pressure glow discharge
JP5543203B2 (en) * 2006-06-16 2014-07-09 フジフィルム マニュファクチャリング ユーロプ ビー.ブイ. Method and apparatus for atomic layer deposition using atmospheric pressure glow discharge plasma
US7625820B1 (en) 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
US7799637B2 (en) * 2006-06-26 2010-09-21 Sandisk Corporation Scaled dielectric enabled by stack sidewall process
JP2008010739A (en) * 2006-06-30 2008-01-17 Toshiba Corp Semiconductor device, and its manufacturing method
WO2008008319A2 (en) * 2006-07-10 2008-01-17 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
KR101369355B1 (en) * 2006-07-31 2014-03-04 어플라이드 머티어리얼스, 인코포레이티드 Methods of controlling morphology during epitaxial layer formation
JP5090451B2 (en) * 2006-07-31 2012-12-05 アプライド マテリアルズ インコーポレイテッド Method for forming carbon-containing silicon epitaxial layer
US20080081114A1 (en) * 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
US8129289B2 (en) * 2006-10-05 2012-03-06 Micron Technology, Inc. Method to deposit conformal low temperature SiO2
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US7851232B2 (en) * 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US10037905B2 (en) * 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US20100267231A1 (en) * 2006-10-30 2010-10-21 Van Schravendijk Bart Apparatus for uv damage repair of low k films prior to copper barrier deposition
US7692222B2 (en) * 2006-11-07 2010-04-06 Raytheon Company Atomic layer deposition in the formation of gate structures for III-V semiconductor
US7993457B1 (en) 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
WO2008100139A1 (en) * 2007-02-13 2008-08-21 Fujifilm Manufacturing Europe B.V. Substrate plasma treatment using magnetic mask device
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8026168B2 (en) * 2007-08-15 2011-09-27 Tokyo Electron Limited Semiconductor device containing an aluminum tantalum carbonitride barrier film and method of forming
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US20100255625A1 (en) * 2007-09-07 2010-10-07 Fujifilm Manufacturing Europe B.V. Method and apparatus for atomic layer deposition using an atmospheric pressure glow discharge plasma
US8702999B2 (en) * 2008-02-01 2014-04-22 Fujifilm Manufacturing Europe B.V. Method and apparatus for plasma surface treatment of a moving substrate
EP2241165B1 (en) * 2008-02-08 2011-08-31 Fujifilm Manufacturing Europe B.V. Method for manufacturing a multi_layer stack structure with improved wvtr barrier property
US20090246952A1 (en) * 2008-03-28 2009-10-01 Tokyo Electron Limited Method of forming a cobalt metal nitride barrier film
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP5546740B2 (en) 2008-05-23 2014-07-09 ローム株式会社 Semiconductor device
JP5616591B2 (en) * 2008-06-20 2014-10-29 株式会社日立国際電気 Semiconductor device manufacturing method and substrate processing apparatus
US7985680B2 (en) * 2008-08-25 2011-07-26 Tokyo Electron Limited Method of forming aluminum-doped metal carbonitride gate electrodes
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
JP4638550B2 (en) * 2008-09-29 2011-02-23 東京エレクトロン株式会社 Mask pattern forming method, fine pattern forming method, and film forming apparatus
JP5665289B2 (en) 2008-10-29 2015-02-04 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus
US20100136313A1 (en) * 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5683697B2 (en) * 2010-07-14 2015-03-11 エスピーティーエス テクノロジーズ リミティド Process chamber pressure control system and control method
TWI582832B (en) * 2011-04-21 2017-05-11 聯華電子股份有限公司 Method of fabricating an epitaxial layer
KR101895398B1 (en) * 2011-04-28 2018-10-25 삼성전자 주식회사 Method of forming an oxide layer and a method of fabricating a semiconductor device comprising the same
JP6001940B2 (en) * 2012-07-11 2016-10-05 東京エレクトロン株式会社 Pattern forming method and substrate processing system
KR20230003262A (en) * 2012-07-20 2023-01-05 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Liquid crystal display device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
EP2917930A4 (en) 2012-11-08 2016-06-29 Commissariat à l'énergie atomique et aux énergies alternatives Method for fabricating microelectronic devices with isolation trenches partially formed under active regions
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9837271B2 (en) 2014-07-18 2017-12-05 Asm Ip Holding B.V. Process for forming silicon-filled openings with a reduced occurrence of voids
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9466755B2 (en) * 2014-10-30 2016-10-11 International Business Machines Corporation MIS-IL silicon solar cell with passivation layer to induce surface inversion
CN107112278B (en) * 2014-12-15 2021-05-04 应用材料公司 Ultra-thin dielectric diffusion barrier and etch stop for advanced interconnect applications
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9786491B2 (en) * 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) * 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9935005B2 (en) * 2015-11-13 2018-04-03 Applied Materials, Inc. Techniques for filling a structure using selective surface modification
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
KR102378021B1 (en) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. Formation of SiOC thin films
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10460932B2 (en) 2017-03-31 2019-10-29 Asm Ip Holding B.V. Semiconductor device with amorphous silicon filled gaps and methods for forming
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
KR20190065962A (en) 2017-12-04 2019-06-12 에이에스엠 아이피 홀딩 비.브이. UNIFORM DEPOSITION OF SiOC ON DIELECTRIC AND METAL SURFACES
US20190368040A1 (en) * 2018-06-01 2019-12-05 Asm Ip Holding B.V. Infiltration apparatus and methods of infiltrating an infiltrateable material
KR102501675B1 (en) 2018-07-13 2023-02-17 삼성전자주식회사 Semiconductor device and manufacturing method thereof
US11393711B2 (en) * 2018-11-21 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon oxide layer for oxidation resistance and method forming same

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5910018A (en) * 1997-02-24 1999-06-08 Winbond Electronics Corporation Trench edge rounding method and structure for trench isolation
US5972430A (en) * 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6090685A (en) * 1997-08-22 2000-07-18 Micron Technology Inc. Method of forming a LOCOS trench isolation structure
US6124158A (en) * 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6174809B1 (en) * 1997-12-31 2001-01-16 Samsung Electronics, Co., Ltd. Method for forming metal layer using atomic layer deposition
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3826813A (en) * 1972-06-20 1974-07-30 Ibm Process for the preparation of mullite by a solid state reaction
SE393967B (en) 1974-11-29 1977-05-31 Sateko Oy PROCEDURE AND PERFORMANCE OF LAYING BETWEEN THE STORAGE IN A LABOR PACKAGE
US4544576A (en) * 1981-07-27 1985-10-01 International Business Machines Corporation Deep dielectric isolation by fused glass
US4646123A (en) * 1983-10-11 1987-02-24 At&T Bell Laboratories Latchup-preventing CMOS device
CA1253129A (en) * 1984-02-09 1989-04-25 Thomas R. Jones Porous inorganic materials
US4847214A (en) * 1988-04-18 1989-07-11 Motorola Inc. Method for filling trenches from a seed layer
JPH0574927A (en) * 1991-09-13 1993-03-26 Nec Corp Production of semiconductor device
US5561073A (en) * 1992-03-13 1996-10-01 Jerome; Rick C. Method of fabricating an isolation trench for analog bipolar devices in harsh environments
JP3324832B2 (en) * 1993-07-28 2002-09-17 三菱電機株式会社 Semiconductor device and manufacturing method thereof
WO1996002070A2 (en) * 1994-07-12 1996-01-25 National Semiconductor Corporation Integrated circuit comprising a trench isolation structure and an oxygen barrier layer and method for forming the integrated circuit
FI100409B (en) 1994-11-28 1997-11-28 Asm Int Method and apparatus for making thin films
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
JPH1056059A (en) * 1996-08-09 1998-02-24 Nec Corp Semiconductor device and manufacture thereof
US7157385B2 (en) * 2003-09-05 2007-01-02 Micron Technology, Inc. Method of depositing a silicon dioxide-comprising layer in the fabrication of integrated circuitry
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR20010058498A (en) * 1999-12-30 2001-07-06 박종섭 Method of forming trench type isolation layer in semiconductor device
AU2001245388A1 (en) * 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
US6750066B1 (en) * 2002-04-08 2004-06-15 Advanced Micro Devices, Inc. Precision high-K intergate dielectric layer

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5910018A (en) * 1997-02-24 1999-06-08 Winbond Electronics Corporation Trench edge rounding method and structure for trench isolation
US6090685A (en) * 1997-08-22 2000-07-18 Micron Technology Inc. Method of forming a LOCOS trench isolation structure
US5972430A (en) * 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6174809B1 (en) * 1997-12-31 2001-01-16 Samsung Electronics, Co., Ltd. Method for forming metal layer using atomic layer deposition
US6124158A (en) * 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8501563B2 (en) 2005-07-20 2013-08-06 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US8921914B2 (en) 2005-07-20 2014-12-30 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US8367506B2 (en) * 2007-06-04 2013-02-05 Micron Technology, Inc. High-k dielectrics with gold nano-particles
US9064866B2 (en) 2007-06-04 2015-06-23 Micro Technology, Inc. High-k dielectrics with gold nano-particles
US11261523B2 (en) 2007-08-10 2022-03-01 Asm Korea Ltd. Method of depositing silicon oxide films
US20090041952A1 (en) * 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US20110001183A1 (en) * 2009-03-06 2011-01-06 Dong-Chul Yoo Memory device and method of fabricating the same
US8440527B2 (en) * 2009-03-06 2013-05-14 Samsung Electronics Co., Ltd. Memory device and method of fabricating the same
US20130252440A1 (en) * 2011-09-26 2013-09-26 Applied Materials, Inc. Pretreatment and improved dielectric coverage
US9070712B2 (en) 2012-08-10 2015-06-30 Imec Methods for manufacturing a field-effect semiconductor device
US9054037B2 (en) * 2012-11-13 2015-06-09 Samsung Electronics Co., Ltd. Method of fabricating semiconductor device
US20140134812A1 (en) * 2012-11-13 2014-05-15 Dong-chan Kim Method of fabricating semiconductor device
WO2018089745A1 (en) * 2016-11-11 2018-05-17 The Regents Of The University Of Colorado, A Body Corporate Improved stability of refractory materials in high temperature steam
US11753717B2 (en) 2016-11-11 2023-09-12 The Regents Of The University Of Colorado Stability of refractory materials in high temperature steam
WO2020014166A1 (en) * 2018-07-11 2020-01-16 Lam Research Corporation Dielectric gapfill using atomic layer deposition (ald), inhibitor plasma and etching
US11293098B2 (en) 2018-07-11 2022-04-05 Lam Research Corporation Dielectric gapfill using atomic layer deposition (ALD), inhibitor plasma and etching
US11211284B2 (en) 2019-05-31 2021-12-28 Samsung Electronics Co., Ltd. Semiconductor device including trench isolation layer and method of forming the same
US11854864B2 (en) 2019-05-31 2023-12-26 Samsung Electronics Co., Ltd. Semiconductor device including trench isolation layer and method of forming the same

Also Published As

Publication number Publication date
US20030015764A1 (en) 2003-01-23
US6861334B2 (en) 2005-03-01
US20040222490A1 (en) 2004-11-11
US7276774B2 (en) 2007-10-02

Similar Documents

Publication Publication Date Title
US7276774B2 (en) Trench isolation structures for integrated circuits
EP1641958B1 (en) Methods of forming a phosphorus doped silicon dioxide layer
US7399388B2 (en) Sequential gas flow oxide deposition technique
US8470686B2 (en) Method of increasing deposition rate of silicon dioxide on a catalyst
US7642171B2 (en) Multi-step anneal of thin films for film densification and improved gap-fill
TWI534290B (en) Conformal layers by radical-component cvd
US7148155B1 (en) Sequential deposition/anneal film densification method
US5314845A (en) Two step process for forming void-free oxide layer over stepped surface of semiconductor wafer
KR100803770B1 (en) Graded thin films
TW201947059A (en) Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US20050282350A1 (en) Atomic layer deposition for filling a gap between devices
US20150031211A1 (en) Intrench profile
US7135418B1 (en) Optimal operation of conformal silica deposition reactors
US7202185B1 (en) Silica thin films produced by rapid surface catalyzed vapor deposition (RVD) using a nucleation layer
KR20090068179A (en) Process for producing a thin film comprising silicon dioxide
US20060216933A1 (en) Methods of forming materials
KR100558999B1 (en) FILLING SUBSTRATE DEPRESSIONS WITH SiO2 BY HDP VAPOR PHASE DEPOSITION WITH PARTICIPATION OF H2O2 OR H2O AS REACTION GAS
KR20090005159A (en) Multi-step anneal of thin films for film densification and improved gap-fill
US7271112B1 (en) Methods for forming high density, conformal, silica nanolaminate films via pulsed deposition layer in structures of confined geometry
US7534698B2 (en) Methods of forming semiconductor devices having multilayer isolation structures
US20090004816A1 (en) Method of forming isolation layer of semiconductor device
KR20040107084A (en) Method of hdp-cvd process for filling high aspect ratio gaps
KR20080013269A (en) Method for forming thin flim for use in fabricating semiconductor device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION