US20070284690A1 - Etch features with reduced line edge roughness - Google Patents

Etch features with reduced line edge roughness Download PDF

Info

Publication number
US20070284690A1
US20070284690A1 US11/843,131 US84313107A US2007284690A1 US 20070284690 A1 US20070284690 A1 US 20070284690A1 US 84313107 A US84313107 A US 84313107A US 2007284690 A1 US2007284690 A1 US 2007284690A1
Authority
US
United States
Prior art keywords
layer
photoresist
depositing
semiconductor device
recited
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/843,131
Inventor
S.M. Reza Sadjadi
Eric Hudson
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to US11/843,131 priority Critical patent/US20070284690A1/en
Publication of US20070284690A1 publication Critical patent/US20070284690A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Definitions

  • the present invention relates to the formation of semiconductor devices.
  • a photoresist (PR) material is deposited on the wafer and then is exposed to light filtered by a reticle.
  • the reticle is generally a glass plate that is patterned with exemplary feature geometries that block light from propagating through the reticle.
  • the light After passing through the reticle, the light contacts the surface of the photoresist material.
  • the light changes the chemical composition of the photoresist material such that a developer can remove a portion of the photoresist material.
  • the exposed regions are removed, and in the case of negative photoresist materials, the unexposed regions are removed.
  • the wafer is etched to remove the underlying material from the areas that are no longer protected by the photoresist material, and thereby define the desired features in the wafer.
  • LER Line edge roughness
  • a method for forming a feature in a layer with reduced line edge roughening is provided.
  • a photoresist layer is formed over the layer.
  • the photoresist layer is patterned to form photoresist features with photoresist sidewalls.
  • a sidewall layer with a thickness less than 100 nm is formed over the sidewalls of the photoresist features by performing for a plurality of cycles. Each cycle comprises depositing a layer on the photoresist layer wherein the deposited layer has a thickness between a monolayer to 20 nm.
  • Features are etched into the layer through the photoresist features.
  • the photoresist layer and sidewall layer are stripped.
  • a method for forming a feature in an etch layer with reduced line edge roughening comprising performing for a plurality of cycles. Each cycle comprises depositing a layer on the photoresist layer wherein the deposited layer has a thickness between a monolayer to 20 nm and etching back the deposited layer to remove parts of the deposited layer formed over bottoms of the photoresist features, while leaving a sidewall layer.
  • Features are etched into the etch layer through the photoresist features.
  • the photoresist layer and sidewall layer are stripped, where the depositing the layer on the photoresist layer, the etching back, the etching features, and stripping are done in situ in a single plasma chamber.
  • FIG. 1 is a high level flow chart of a process that may be used in an embodiment of the invention.
  • FIGS. 2 A-D are schematic cross-sectional views of a stack processed according to an embodiment of the invention.
  • FIG. 3 is a more detailed flow chart of the step of depositing a layer over sidewalls of photoresist features to reduce CD.
  • FIG. 4 is a schematic view of a plasma processing chamber that may be used in practicing the invention.
  • FIGS. 5 A-B illustrate a computer system, which is suitable for implementing a controller used in embodiments of the present invention.
  • FIGS. 6 A-B are schematic cross-sectional views of a stack processed according to an embodiment of the invention.
  • Line edge roughening is believed to be caused by non-uniform deposition, ion sputtering by ions coming at a steep angle relative to the lines, lack of mobility of the photoresist or mask, stress mismatch between the photoresist, mask and etch by products (polymers), and photoresist or mask chemical modifications. Although line edge roughening manifests itself in different forms, the same factors can also cause twisting or wiggling of the photoresist or mask. Rather than just a roughening of the photoresist, wiggling or twisting refers to a change in the shape of the line as viewed from above, with a length scale similarly to the width of the line.
  • Wiggling specifically refers to the modification of narrow line structures, which result from the roughening of the photoresist.
  • Line edge roughening may be seen for dense contact or dense cell etch, where the faceting of the photoresist may lead to formation of very thin structures at the top of the photoresist.
  • wiggling has been observed for deep ultraviolet DUV photoresist, 193 nm photoresist, and even harder masks such as amorphous carbon.
  • FIG. 1 is a high level flow chart of a process that may be used in an embodiment of the invention.
  • a patterned photoresist mask is provided (step 104 ).
  • FIG. 2A is a schematic cross-sectional view of a layer to be etched 208 over a substrate 204 , with a patterned photoresist mask 212 with a feature 214 , over a ARL 210 , over the etch layer 208 forming a stack 200 .
  • the photoresist mask has a photoresist feature critical dimension (CD), which may be the widest part of the width 316 of the smallest possible feature.
  • CD photoresist feature critical dimension
  • a typical CD for the photoresist may be 230-250 nm, using conventional processes.
  • a photoresist layer may be first formed over the layer to be etched. Then the photoresist layer is patterned to form photoresist features with photoresist sidewalls.
  • FIG. 2B is a schematic cross-sectional view of the patterned photoresist mask 212 with a sidewall layer 220 formed over the sidewalls of the feature 214 .
  • the sidewall layer preferably forms substantially vertical and conformal sidewalls.
  • An example of a substantially vertical sidewall is a sidewall that from bottom to top makes an angle of between 88° to 90° with the bottom of the feature.
  • Conformal sidewalls have a deposition layer that has substantially the same thickness from the top to the bottom of the feature.
  • Non-conformal sidewalls may form a faceting or a bread-loafing formation, which provide non-substantially vertical sidewalls. Tapered sidewalls (from the faceting formation) or bread-loafing sidewalls may increase the deposited layer CD and provide a poor etching mask.
  • a break through etch may be used to etch through any remaining deposited layer immediately above the etch layer any other intermediate layer above the etch layer (step 112 ).
  • FIG. 2C shows a feature 232 etched into the layer to be etched 208 .
  • the photoresist and sidewall layer may then be stripped (step 120 ). This may be done as a single step or two separate steps with a separate deposited layer removal step and photoresist strip step. Ashing may be used for the stripping process.
  • FIG. 2D shows the stack 200 after the deposited layer and photoresist mask have been removed. Additional formation steps may be performed (step 124 ). For example, a contact 240 may then be formed in the feature. To provide a dual damascene structure, a trench may be etched before the contact is formed. Additional processes may be performed after the contact is formed.
  • FIG. 3 is a more detailed flow chart of an embodiment of the forming a sidewall layer over sidewalls of the photoresist features (step 108 ). This step is a cyclical step that is repeated at least twice.
  • a conformal layer is deposited over the photoresist layer (step 304 ). The conformal layer is then etched back to remove any deposition at the bottom of the photoresist features to form the sidewall layers (step 308 ).
  • a substrate 204 with the layer to be etched 208 , an ARC layer 210 , and a patterned photoresist mask 212 is placed in an etch chamber.
  • FIG. 4 is a schematic view of an processing chamber 400 that may be used for forming the sidewall layer, etching, and stripping.
  • the plasma processing chamber 400 comprises confinement rings 402 , an upper electrode 404 , a lower electrode 408 , a gas source 410 , and an exhaust pump 420 .
  • the gas source 410 comprises a deposition gas source 412 and an etch back gas source 416 .
  • the gas source 410 may comprise additional gas sources, such as an etching gas source 418 .
  • the substrate 204 is positioned upon the lower electrode 408 .
  • the lower electrode 408 incorporates a suitable substrate chucking mechanism (e.g., electrostatic, mechanical clamping, or the like) for holding the substrate 204 .
  • the reactor top 428 incorporates the upper electrode 404 disposed immediately opposite the lower electrode 408 .
  • the upper electrode 404 , lower electrode 408 , and confinement rings 402 define the confined plasma volume. Gas is supplied to the confined plasma volume by the gas source 410 and is exhausted from the confined plasma volume through the confinement rings 402 and an exhaust port by the exhaust pump 420 .
  • a first RF source 444 is electrically connected to the upper electrode 404 .
  • a second RF source 448 is electrically connected to the lower electrode 408 .
  • Chamber walls 452 surround the confinement rings 402 , the upper electrode 404 , and the lower electrode 408 . Both the first RF source 444 and the second RF source 448 may comprise a 27 MHz power source and a 2 MHz power source.
  • both the 27 MHz and 2 MHz power sources make up the second RF power source 448 connected to the lower electrode, and the upper electrode is grounded.
  • a controller 435 is controllably connected to the RF sources 444 , 448 , exhaust pump 420 , and the gas source 410 .
  • the DFC System would be used when the layer to be etched 208 is a dielectric layer, such as silicon oxide or organo silicate glass.
  • FIGS. 5A and 5B illustrate a computer system 1300 , which is suitable for implementing a controller 435 used in embodiments of the present invention.
  • FIG. 5A shows one possible physical form of the computer system.
  • the computer system may have many physical forms ranging from an integrated circuit, a printed circuit board, and a small handheld device up to a huge super computer.
  • Computer system 1300 includes a monitor 1302 , a display 1304 , a housing 1306 , a disk drive 1308 , a keyboard 1310 , and a mouse 1312 .
  • Disk 1314 is a computer-readable medium used to transfer data to and from computer system 1300 .
  • FIG. 5B is an example of a block diagram for computer system 1300 . Attached to system bus 1320 is a wide variety of subsystems.
  • Processor(s) 1322 also referred to as central processing units, or CPUs
  • Memory 1324 includes random access memory (RAM) and read-only memory (ROM).
  • RAM random access memory
  • ROM read-only memory
  • RAM random access memory
  • ROM read-only memory
  • RAM random access memory
  • ROM read-only memory
  • a fixed disk 1326 is also coupled bi-directionally to CPU 1322 ; it provides additional data storage capacity and may also include any of the computer-readable media described below.
  • Fixed disk 1326 may be used to store programs, data, and the like and is typically a secondary storage medium (such as a hard disk) that is slower than primary storage. It will be appreciated that the information retained within fixed disk 1326 may, in appropriate cases, be incorporated in standard fashion as virtual memory in memory 1324 .
  • Removable disk 1314 may take the form of any of the computer-readable media described below.
  • CPU 1322 is also coupled to a variety of input/output devices, such as display 1304 , keyboard 1310 , mouse 1312 , and speakers 1330 .
  • an input/output device may be any of: video displays, track balls, mice, keyboards, microphones, touch-sensitive displays, transducer card readers, magnetic or paper tape readers, tablets, styluses, voice or handwriting recognizers, biometrics readers, or other computers.
  • CPU 1322 optionally may be coupled to another computer or telecommunications network using network interface 1340 . With such a network interface, it is contemplated that the CPU might receive information from the network, or might output information to the network in the course of performing the above-described method steps.
  • method embodiments of the present invention may execute solely upon CPU 1322 or may execute over a network such as the Internet in conjunction with a remote CPU that shares a portion of the processing.
  • embodiments of the present invention further relate to computer storage products with a computer-readable medium that have computer code thereon for performing various computer-implemented operations.
  • the media and computer code may be those specially designed and constructed for the purposes of the present invention, or they may be of the kind well known and available to those having skill in the computer software arts.
  • Examples of computer-readable media include, but are not limited to: magnetic media such as hard disks, floppy disks, and magnetic tape; optical media such as CD-ROMs and holographic devices; magneto-optical media such as floptical disks; and hardware devices that are specially configured to store and execute program code, such as application-specific integrated circuits (ASICs), programmable logic devices (PLDs) and ROM and RAM devices.
  • ASICs application-specific integrated circuits
  • PLDs programmable logic devices
  • Computer code examples include machine code, such as produced by a compiler, and files containing higher level code that are executed by a computer using an interpreter.
  • Computer readable media may also be computer code transmitted by a computer data signal embodied in a carrier wave and representing a sequence of instructions that are executable by a processor.
  • a sidewall layer is formed over the sidewalls of the photoresist features (step 108 ).
  • An example recipe for the deposition of the conformal layer (step 304 ), the deposition gas source 412 provides a flow of 150 sccm CH 3 F, 75 sccm N 2 , and 100 sccm Ar. The pressure is set to 80 mTorr. The substrate is maintained at a temperature of 20° C.
  • the second RF source 448 provides 400 Watts at a frequency of 27 MHz and 0 Watts a frequency of 2 MHz.
  • FIG. 6A is a schematic cross-sectional view of a substrate 604 under an etch layer 608 , under an ARC layer 610 , under a patterned photoresist layer 612 , under a deposited conformal layer 620 .
  • the conformal layer 620 covers the sidewalls and top of the photoresist layer 612 and the ARC 610 at the bottom of the photoresist features 614 .
  • the conformal layer might not be deposited on the ARC at the bottom of the photoresist features.
  • the deposited conformal layer is between a monolayer to 20 nm thick. More preferably, the deposited conformal layer is between a monolayer and 7 nm thick. Most preferably, the deposited conformal layer is between a monolayer and 2 nm thick.
  • the depositing the conformal layer comprises at least one of atomic layer deposition, chemical vapor deposition, sputtering deposition, plasma deposition, and plasma enhanced chemical vapor deposition. More preferably, the depositing the conformal layer comprises at least one of chemical vapor deposition. sputtering deposition, plasma deposition, and enhanced chemical vapor deposition.
  • the substrate temperature is maintained between ⁇ 80° C. and 120° C. Generally, 120° C. is the glass transition temperature of photoresist. It is preferred to keep the substrate temperature below that glass transition temperature of the photoresist. More preferably, the substrate temperature is maintained between ⁇ 10° C. and 50° C. Most preferably, the substrate temperature is maintained at 20° C.
  • the bias potential is less than 120 volts. More preferably, the bias potential is less that 100 volts. Most preferably, the bias potential is between 20 and 80 volts.
  • the deposited layer comprises at least one of polymer, TEOS, SiO 2 , Si 3 N 2 , SiC, Si, Al 2 O 3 , AlN, Cu, HfO 2 , Mo, Ta, TaN, TaO 2 , Ti, TiN, TiO 2 , TiSiN, and W.
  • a polymer is a hydrocarbon based material, such as a fluorohydrocarbon material.
  • a halogen (i.e. fluorine, bromine, chlorine) containing gas such as 100 sccm CF 4 .
  • CF 4 is the only gas provided during the etch back.
  • a pressure of 20 mTorr is provided to the chamber.
  • the second RF source 448 provides 600 Watts at a frequency of 27 MHz and 0 Watts a frequency of 2 MHz.
  • FIG. 6B is a schematic cross-sectional view of a substrate 604 under an etch layer 608 , under an ARC layer 610 , under a patterned photoresist layer 612 after the conformal layer has been etched back to form sidewalls 624 from the deposited conformal layer.
  • the parts of the conformal layer covering the top of the photoresist layer 612 and the ARC at the bottom of the photoresist features 614 is removed, leaving only a layer on the sidewalls of the photoresist features.
  • the part of the conformal layer over the top of the photoresist may remain, so that only the layer at the bottom of the photoresist features over the ARC is removed by the etch back.
  • some of the conformal layer over the top of the photoresist is removed.
  • the conformal layer remaining over the top of the photoresist layer may be used as an etch hard mask.
  • the cycle of forming the sidewalls (step 108 ) comprising the steps of depositing the conforming layer (step 304 ) and etching back (step 308 ) is performed using at least 2 cycles. More preferably, the forming the sidewalls is performed between 3 and 50 cycles. Most preferably, the forming the sidewalls is performed between 3 and 10 cycles.
  • the completed sidewall layers are thin and etch resistant, such as less than 100 nm thick. More preferably, the completed sidewall layers are between a monolayer and 50 nm thick. Most preferably, the complete sidewall layers are between a monolayer and 2 nm thick.
  • etch cycle may further include additional deposition and/or etch back steps.
  • An example of a break through etch recipe may be used to remove any remaining deposited layer on the bottom of the photoresist features. Such a break through may use a recipe like the recipe used for the etch back.
  • An example of a layer to be etched is may be a conventional etch layer, such as SiN, SiC, an oxide or low-k dielectric.
  • a conventional etch recipe may be used to etch the layer to be etched.
  • an oxygen ashing may be used.
  • the forming of the sidewall layer over several cycles provides an improved sidewall layer profile.
  • Providing the sidewall layer through the above method has been found to unexpectedly reduce line edge roughness.
  • the sidewall layer provides improved selectivity control. It is believed forming a sidewall layer without a top layer or bottom layer can reduce line edge roughness.
  • the deposition of the deposited layer, etch back, break through etch, and etching of the layer through the sidewall layer may be done in situ in the same etch chamber, as shown.

Abstract

A method for forming a feature in a layer with reduced line edge roughening is provided. A photoresist layer is formed over the layer. The photoresist layer is patterned to form photoresist features with photoresist sidewalls. A sidewall layer with a thickness less than 100 nm is formed over the sidewalls of the photoresist features by performing for a plurality of cycles. Each cycle comprises depositing a layer on the photoresist layer wherein the deposited layer has a thickness between a monolayer to 20 nm. Features are etched into the layer through the photoresist features. The photoresist layer and sidewall layer are stripped.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This application is a divisional of prior U.S. patent application Ser. No. 11/208,098 (Atty. Dkt. No. LAM1P212/P1424), entitled “Etch Features with Reduced Line Edge Roughness”, filed on Aug. 18, 2005, by inventors Sadjadi et al., which is incorporated herein by reference and from which priority under 35 U.S.C. § 120 is claimed.
  • BACKGROUND OF THE INVENTION
  • The present invention relates to the formation of semiconductor devices.
  • During semiconductor wafer processing, features of the semiconductor device are defined in the wafer using well-known patterning and etching processes. In these processes, a photoresist (PR) material is deposited on the wafer and then is exposed to light filtered by a reticle. The reticle is generally a glass plate that is patterned with exemplary feature geometries that block light from propagating through the reticle.
  • After passing through the reticle, the light contacts the surface of the photoresist material. The light changes the chemical composition of the photoresist material such that a developer can remove a portion of the photoresist material. In the case of positive photoresist materials, the exposed regions are removed, and in the case of negative photoresist materials, the unexposed regions are removed. Thereafter, the wafer is etched to remove the underlying material from the areas that are no longer protected by the photoresist material, and thereby define the desired features in the wafer.
  • One problem in such processes is that microscopic photoresist structures with small widths are likely to change shape during processing. This deformation may be transferred into the film being etched, yielding etch structures, which deviate from the intended shape, dimension, or roughness. These etch-induced photoresist transformations may be classified in groups such as line edge roughening, surface roughening, and line wiggling. Line edge roughness (LER) refers to the edges of patterned lines becoming more irregular as the pattern is transferred from photoresist to the underlying film.
  • SUMMARY OF THE INVENTION
  • To achieve the foregoing and in accordance with the purpose of the present invention a method for forming a feature in a layer with reduced line edge roughening is provided. A photoresist layer is formed over the layer. The photoresist layer is patterned to form photoresist features with photoresist sidewalls. A sidewall layer with a thickness less than 100 nm is formed over the sidewalls of the photoresist features by performing for a plurality of cycles. Each cycle comprises depositing a layer on the photoresist layer wherein the deposited layer has a thickness between a monolayer to 20 nm. Features are etched into the layer through the photoresist features. The photoresist layer and sidewall layer are stripped.
  • In another manifestation of the invention a method for forming a feature in an etch layer with reduced line edge roughening is provided. A patterned photoresist layer is formed over the etch layer to form photoresist features with photoresist sidewalls. A sidewall layer with a thickness less than 100 nm is formed over the sidewalls of the photoresist features, comprising performing for a plurality of cycles. Each cycle comprises depositing a layer on the photoresist layer wherein the deposited layer has a thickness between a monolayer to 20 nm and etching back the deposited layer to remove parts of the deposited layer formed over bottoms of the photoresist features, while leaving a sidewall layer. Features are etched into the etch layer through the photoresist features. The photoresist layer and sidewall layer are stripped, where the depositing the layer on the photoresist layer, the etching back, the etching features, and stripping are done in situ in a single plasma chamber.
  • These and other features of the present invention will be described in more detail below in the detailed description of the invention and in conjunction with the following figures.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present invention is illustrated by way of example, and not by way of limitation, in the figures of the accompanying drawings and in which like reference numerals refer to similar elements and in which:
  • FIG. 1 is a high level flow chart of a process that may be used in an embodiment of the invention.
  • FIGS. 2A-D are schematic cross-sectional views of a stack processed according to an embodiment of the invention.
  • FIG. 3 is a more detailed flow chart of the step of depositing a layer over sidewalls of photoresist features to reduce CD.
  • FIG. 4 is a schematic view of a plasma processing chamber that may be used in practicing the invention.
  • FIGS. 5A-B illustrate a computer system, which is suitable for implementing a controller used in embodiments of the present invention.
  • FIGS. 6A-B are schematic cross-sectional views of a stack processed according to an embodiment of the invention.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • The present invention will now be described in detail with reference to a few preferred embodiments thereof as illustrated in the accompanying drawings. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. It will be apparent, however, to one skilled in the art, that the present invention may be practiced without some or all of these specific details. In other instances, well known process steps and/or structures have not been described in detail in order to not unnecessarily obscure the present invention.
  • Line edge roughening is believed to be caused by non-uniform deposition, ion sputtering by ions coming at a steep angle relative to the lines, lack of mobility of the photoresist or mask, stress mismatch between the photoresist, mask and etch by products (polymers), and photoresist or mask chemical modifications. Although line edge roughening manifests itself in different forms, the same factors can also cause twisting or wiggling of the photoresist or mask. Rather than just a roughening of the photoresist, wiggling or twisting refers to a change in the shape of the line as viewed from above, with a length scale similarly to the width of the line. Wiggling specifically refers to the modification of narrow line structures, which result from the roughening of the photoresist. Line edge roughening may be seen for dense contact or dense cell etch, where the faceting of the photoresist may lead to formation of very thin structures at the top of the photoresist. Despite different formulations of resist and masks used for different lithography technologies, wiggling has been observed for deep ultraviolet DUV photoresist, 193 nm photoresist, and even harder masks such as amorphous carbon.
  • The detailed mechanism, which causes wiggling, is not well-understood, but can be attributed to factors previously mentioned. However, it has been shown that excessive polymer deposition on top of the photoresist lines can induce wiggling. This is believed to be caused by stress in the deposited film, which tends to pull the photoresist out of shape. The problem may be aggravated by the tendency of photoresist, especially 193 nm varieties, to soften during etch processing. This mechanism does not explain all instances of wiggling. In some cases, a process is clearly etching the photoresist, no depositing, yet the photoresist may wiggle. Wiggling may be related to modification of the photoresist composition during the etch, which is more severe for 193 nm photoresist.
  • To facilitate understanding, FIG. 1 is a high level flow chart of a process that may be used in an embodiment of the invention. A patterned photoresist mask is provided (step 104). FIG. 2A is a schematic cross-sectional view of a layer to be etched 208 over a substrate 204, with a patterned photoresist mask 212 with a feature 214, over a ARL 210, over the etch layer 208 forming a stack 200. The photoresist mask has a photoresist feature critical dimension (CD), which may be the widest part of the width 316 of the smallest possible feature. Presently, for 248 nm photoresist a typical CD for the photoresist may be 230-250 nm, using conventional processes. To provide the patterned photoresist mask, a photoresist layer may be first formed over the layer to be etched. Then the photoresist layer is patterned to form photoresist features with photoresist sidewalls.
  • A sidewall layer is formed over the sidewalls of the photoresist features (step 108). FIG. 2B is a schematic cross-sectional view of the patterned photoresist mask 212 with a sidewall layer 220 formed over the sidewalls of the feature 214. The sidewall layer preferably forms substantially vertical and conformal sidewalls. An example of a substantially vertical sidewall is a sidewall that from bottom to top makes an angle of between 88° to 90° with the bottom of the feature. Conformal sidewalls have a deposition layer that has substantially the same thickness from the top to the bottom of the feature. Non-conformal sidewalls may form a faceting or a bread-loafing formation, which provide non-substantially vertical sidewalls. Tapered sidewalls (from the faceting formation) or bread-loafing sidewalls may increase the deposited layer CD and provide a poor etching mask.
  • A break through etch may be used to etch through any remaining deposited layer immediately above the etch layer any other intermediate layer above the etch layer (step 112).
  • Features are then etched into the layer to be etched 208 through the formed sidewall layer 220 (step 116). FIG. 2C shows a feature 232 etched into the layer to be etched 208.
  • The photoresist and sidewall layer may then be stripped (step 120). This may be done as a single step or two separate steps with a separate deposited layer removal step and photoresist strip step. Ashing may be used for the stripping process. FIG. 2D shows the stack 200 after the deposited layer and photoresist mask have been removed. Additional formation steps may be performed (step 124). For example, a contact 240 may then be formed in the feature. To provide a dual damascene structure, a trench may be etched before the contact is formed. Additional processes may be performed after the contact is formed.
  • FIG. 3 is a more detailed flow chart of an embodiment of the forming a sidewall layer over sidewalls of the photoresist features (step 108). This step is a cyclical step that is repeated at least twice. A conformal layer is deposited over the photoresist layer (step 304). The conformal layer is then etched back to remove any deposition at the bottom of the photoresist features to form the sidewall layers (step 308).
  • EXAMPLE
  • In one example, a substrate 204, with the layer to be etched 208, an ARC layer 210, and a patterned photoresist mask 212 is placed in an etch chamber.
  • FIG. 4 is a schematic view of an processing chamber 400 that may be used for forming the sidewall layer, etching, and stripping. The plasma processing chamber 400 comprises confinement rings 402, an upper electrode 404, a lower electrode 408, a gas source 410, and an exhaust pump 420. The gas source 410 comprises a deposition gas source 412 and an etch back gas source 416. The gas source 410 may comprise additional gas sources, such as an etching gas source 418. Within plasma processing chamber 400, the substrate 204 is positioned upon the lower electrode 408. The lower electrode 408 incorporates a suitable substrate chucking mechanism (e.g., electrostatic, mechanical clamping, or the like) for holding the substrate 204. The reactor top 428 incorporates the upper electrode 404 disposed immediately opposite the lower electrode 408. The upper electrode 404, lower electrode 408, and confinement rings 402 define the confined plasma volume. Gas is supplied to the confined plasma volume by the gas source 410 and is exhausted from the confined plasma volume through the confinement rings 402 and an exhaust port by the exhaust pump 420. A first RF source 444 is electrically connected to the upper electrode 404. A second RF source 448 is electrically connected to the lower electrode 408. Chamber walls 452 surround the confinement rings 402, the upper electrode 404, and the lower electrode 408. Both the first RF source 444 and the second RF source 448 may comprise a 27 MHz power source and a 2 MHz power source. Different combinations of connecting RF power to the electrode are possible. In the case of Lam Research Corporation's Dual Frequency Capacitive (DFC) System, made by LAM Research Corporation™ of Fremont, Calif., which may be used in a preferred embodiment of the invention, both the 27 MHz and 2 MHz power sources make up the second RF power source 448 connected to the lower electrode, and the upper electrode is grounded. A controller 435 is controllably connected to the RF sources 444, 448, exhaust pump 420, and the gas source 410. The DFC System would be used when the layer to be etched 208 is a dielectric layer, such as silicon oxide or organo silicate glass.
  • FIGS. 5A and 5B illustrate a computer system 1300, which is suitable for implementing a controller 435 used in embodiments of the present invention. FIG. 5A shows one possible physical form of the computer system. Of course, the computer system may have many physical forms ranging from an integrated circuit, a printed circuit board, and a small handheld device up to a huge super computer. Computer system 1300 includes a monitor 1302, a display 1304, a housing 1306, a disk drive 1308, a keyboard 1310, and a mouse 1312. Disk 1314 is a computer-readable medium used to transfer data to and from computer system 1300.
  • FIG. 5B is an example of a block diagram for computer system 1300. Attached to system bus 1320 is a wide variety of subsystems. Processor(s) 1322 (also referred to as central processing units, or CPUs) are coupled to storage devices, including memory 1324. Memory 1324 includes random access memory (RAM) and read-only memory (ROM). As is well known in the art, ROM acts to transfer data and instructions uni-directionally to the CPU and RAM is used typically to transfer data and instructions in a bi-directional manner. Both of these types of memories may include any suitable of the computer-readable media described below. A fixed disk 1326 is also coupled bi-directionally to CPU 1322; it provides additional data storage capacity and may also include any of the computer-readable media described below. Fixed disk 1326 may be used to store programs, data, and the like and is typically a secondary storage medium (such as a hard disk) that is slower than primary storage. It will be appreciated that the information retained within fixed disk 1326 may, in appropriate cases, be incorporated in standard fashion as virtual memory in memory 1324. Removable disk 1314 may take the form of any of the computer-readable media described below.
  • CPU 1322 is also coupled to a variety of input/output devices, such as display 1304, keyboard 1310, mouse 1312, and speakers 1330. In general, an input/output device may be any of: video displays, track balls, mice, keyboards, microphones, touch-sensitive displays, transducer card readers, magnetic or paper tape readers, tablets, styluses, voice or handwriting recognizers, biometrics readers, or other computers. CPU 1322 optionally may be coupled to another computer or telecommunications network using network interface 1340. With such a network interface, it is contemplated that the CPU might receive information from the network, or might output information to the network in the course of performing the above-described method steps. Furthermore, method embodiments of the present invention may execute solely upon CPU 1322 or may execute over a network such as the Internet in conjunction with a remote CPU that shares a portion of the processing.
  • In addition, embodiments of the present invention further relate to computer storage products with a computer-readable medium that have computer code thereon for performing various computer-implemented operations. The media and computer code may be those specially designed and constructed for the purposes of the present invention, or they may be of the kind well known and available to those having skill in the computer software arts. Examples of computer-readable media include, but are not limited to: magnetic media such as hard disks, floppy disks, and magnetic tape; optical media such as CD-ROMs and holographic devices; magneto-optical media such as floptical disks; and hardware devices that are specially configured to store and execute program code, such as application-specific integrated circuits (ASICs), programmable logic devices (PLDs) and ROM and RAM devices. Examples of computer code include machine code, such as produced by a compiler, and files containing higher level code that are executed by a computer using an interpreter. Computer readable media may also be computer code transmitted by a computer data signal embodied in a carrier wave and representing a sequence of instructions that are executable by a processor.
  • In the etch chamber, a sidewall layer is formed over the sidewalls of the photoresist features (step 108). An example recipe for the deposition of the conformal layer (step 304), the deposition gas source 412 provides a flow of 150 sccm CH3F, 75 sccm N2, and 100 sccm Ar. The pressure is set to 80 mTorr. The substrate is maintained at a temperature of 20° C. The second RF source 448 provides 400 Watts at a frequency of 27 MHz and 0 Watts a frequency of 2 MHz.
  • FIG. 6A is a schematic cross-sectional view of a substrate 604 under an etch layer 608, under an ARC layer 610, under a patterned photoresist layer 612, under a deposited conformal layer 620. In this example, the conformal layer 620 covers the sidewalls and top of the photoresist layer 612 and the ARC 610 at the bottom of the photoresist features 614. In other embodiments, the conformal layer might not be deposited on the ARC at the bottom of the photoresist features. Preferably, the deposited conformal layer is between a monolayer to 20 nm thick. More preferably, the deposited conformal layer is between a monolayer and 7 nm thick. Most preferably, the deposited conformal layer is between a monolayer and 2 nm thick.
  • Preferably, the depositing the conformal layer comprises at least one of atomic layer deposition, chemical vapor deposition, sputtering deposition, plasma deposition, and plasma enhanced chemical vapor deposition. More preferably, the depositing the conformal layer comprises at least one of chemical vapor deposition. sputtering deposition, plasma deposition, and enhanced chemical vapor deposition. Preferably, the substrate temperature is maintained between −80° C. and 120° C. Generally, 120° C. is the glass transition temperature of photoresist. It is preferred to keep the substrate temperature below that glass transition temperature of the photoresist. More preferably, the substrate temperature is maintained between −10° C. and 50° C. Most preferably, the substrate temperature is maintained at 20° C. Preferably, the bias potential is less than 120 volts. More preferably, the bias potential is less that 100 volts. Most preferably, the bias potential is between 20 and 80 volts.
  • Preferably, the deposited layer comprises at least one of polymer, TEOS, SiO2, Si3N2, SiC, Si, Al2O3, AlN, Cu, HfO2, Mo, Ta, TaN, TaO2, Ti, TiN, TiO2, TiSiN, and W. A polymer is a hydrocarbon based material, such as a fluorohydrocarbon material.
  • During the etch back (step 308), a halogen (i.e. fluorine, bromine, chlorine) containing gas, such as 100 sccm CF4, is provided. In this example, CF4 is the only gas provided during the etch back. A pressure of 20 mTorr is provided to the chamber. The second RF source 448 provides 600 Watts at a frequency of 27 MHz and 0 Watts a frequency of 2 MHz.
  • FIG. 6B is a schematic cross-sectional view of a substrate 604 under an etch layer 608, under an ARC layer 610, under a patterned photoresist layer 612 after the conformal layer has been etched back to form sidewalls 624 from the deposited conformal layer. In this example, the parts of the conformal layer covering the top of the photoresist layer 612 and the ARC at the bottom of the photoresist features 614 is removed, leaving only a layer on the sidewalls of the photoresist features. In other embodiments, the part of the conformal layer over the top of the photoresist may remain, so that only the layer at the bottom of the photoresist features over the ARC is removed by the etch back. However, in such an embodiment, some of the conformal layer over the top of the photoresist is removed. In such an embodiment, the conformal layer remaining over the top of the photoresist layer may be used as an etch hard mask.
  • In this example, the cycle of forming the sidewalls (step 108) comprising the steps of depositing the conforming layer (step 304) and etching back (step 308) is performed using at least 2 cycles. More preferably, the forming the sidewalls is performed between 3 and 50 cycles. Most preferably, the forming the sidewalls is performed between 3 and 10 cycles. Preferable the completed sidewall layers are thin and etch resistant, such as less than 100 nm thick. More preferably, the completed sidewall layers are between a monolayer and 50 nm thick. Most preferably, the complete sidewall layers are between a monolayer and 2 nm thick.
  • In other embodiments etch cycle may further include additional deposition and/or etch back steps.
  • An example of a break through etch recipe may be used to remove any remaining deposited layer on the bottom of the photoresist features. Such a break through may use a recipe like the recipe used for the etch back.
  • An example of a layer to be etched is may be a conventional etch layer, such as SiN, SiC, an oxide or low-k dielectric. A conventional etch recipe may be used to etch the layer to be etched.
  • To strip the photoresist and the sidewall layer (step 120) an oxygen ashing may be used.
  • The forming of the sidewall layer over several cycles provides an improved sidewall layer profile. Providing the sidewall layer through the above method has been found to unexpectedly reduce line edge roughness. In addition, the sidewall layer provides improved selectivity control. It is believed forming a sidewall layer without a top layer or bottom layer can reduce line edge roughness.
  • In a preferred embodiment of the invention, the deposition of the deposited layer, etch back, break through etch, and etching of the layer through the sidewall layer may be done in situ in the same etch chamber, as shown.
  • While this invention has been described in terms of several preferred embodiments, there are alterations, permutations, and various substitute equivalents, which fall within the scope of this invention. It should also be noted that there are many alternative ways of implementing the methods and apparatuses of the present invention. It is therefore intended that the following appended claims be interpreted as including all such alterations, permutations, and various substitute equivalents as fall within the true spirit and scope of the present invention.

Claims (20)

1-13. (canceled)
14. A semiconductor device formed by the method comprising:
forming a photoresist layer over the layer;
patterning the photoresist layer to form photoresist features with photoresist sidewalls;
forming a sidewall layer with a thickness less than 100 nm over the sidewalls of the photoresist features, comprising performing for a plurality of cycles, wherein each cycle comprises depositing a layer on the photoresist layer wherein the deposited layer has a thickness between a monolayer to 20 nm;
etching features into the layer through the photoresist features; and
stripping the photoresist layer and sidewall layer.
15-19. (canceled)
20. A semiconductor device formed by the method, comprising:
forming a patterned photoresist layer to over the etch layer to form photoresist features with photoresist sidewalls;
forming a sidewall layer with a thickness less than 100 nm over the sidewalls of the photoresist features, comprising performing for a plurality of cycles, wherein each cycle comprises:
depositing a layer on the photoresist layer wherein the deposited layer has a thickness between a monolayer to 20 nm; and
etching back the deposited layer to remove parts of the deposited layer formed over bottoms of the photoresist features, while leaving a sidewall layer;
etching features into the etch layer through the photoresist features; and
stripping the photoresist layer and sidewall layer, wherein the depositing the layer on the photoresist layer, the etching back, the etching features, and stripping are done in situ in a single plasma chamber.
21. The semiconductor device, as recited in claim 14, wherein each cycle of the forming the sidewall layer, further comprises etching back the deposited layer to remove parts of the deposited layer formed over bottoms of the photoresist features, while leaving a sidewall layer.
22. The semiconductor device, as recited in claim 21, wherein the depositing the layer on the photoresist layer, comprises performing at least one of atomic layer deposition, chemical vapor deposition, sputtering deposition, plasma deposition, and plasma enhanced chemical vapor deposition, with a bias potential of less than 120 volts.
23. The semiconductor device, as recited in claim 22, further comprising heating the substrate to a temperature between −80° C. to 120° C. during the depositing the layer on the photoresist layer.
24. The semiconductor device, as recited in claim 23, wherein the depositing the sidewall layer over the sidewalls is performed for between 3 and 10 cycles.
25. The semiconductor device, as recited in claim 24, the depositing the layer on the photoresist layer comprises depositing a layer of at least one of polymer, TEOS, SiO2, Si3N2, SiC, Si, Al2O3, AlN, Cu, HfO2, Mo, Ta, TaN, TaO2, Ti, TiN, TiO2, TiSiN, and W.
26. The semiconductor device, as recited in claim 25, further comprising performing a break through etch to etch through any remaining deposited layer.
27. The semiconductor device, as recited in claim 26, wherein the depositing the layer on the photoresist layer, the etching back, the break through, and the etching features are done in situ in a single plasma chamber.
28. The semiconductor device, as recited in claim 21, wherein the etching back further removes parts of the deposited layer over a top of the photoresist layer.
29. The semiconductor device, as recited in claim 21, wherein the depositing the layer on the photoresist layer, comprises performing at least one of chemical vapor deposition, sputtering deposition, plasma deposition, and plasma enhanced chemical vapor deposition.
30. The semiconductor device, as recited in claim 29, wherein the depositing the layer on the photoresist layer further comprises providing a bias potential of less than 120 volts.
31. The semiconductor device, as recited in claim 30, wherein the depositing the layer on the photoresist layer, the etching back, the break through, and the etching features are done in situ in a single plasma chamber.
32. The semiconductor device, as recited in claim 21, wherein the depositing the layer on the photoresist layer, the etching back, and the etching features are done in situ in a single plasma chamber.
33. The semiconductor device, as recited in claim 20, wherein the depositing the layer on the photoresist layer, comprises performing at least one of atomic layer deposition, chemical vapor deposition, sputtering deposition, plasma deposition, and plasma enhanced chemical vapor deposition, with a bias potential of less than 120 volts.
34. The semiconductor device, as recited in claim 33, further comprising heating the substrate to a temperature between 80° C. to 120° C. during the depositing the layer on the photoresist layer.
35. The semiconductor device, as recited in claim 20, wherein the depositing the sidewall layer over the sidewalls is performed for between 3 and 10 cycles.
36. The semiconductor device, as recited in claim 20, wherein the depositing the layer on the photoresist layer comprises depositing a layer of at least one of polymer, TEOS, SiO2, Si3N2, SiC, Si, Al2O3, AlN, Cu, HfO2, Mo, Ta, TaN, TaO2, Ti, TiN, TiO2, TiSiN, and W.
US11/843,131 2005-08-18 2007-08-22 Etch features with reduced line edge roughness Abandoned US20070284690A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/843,131 US20070284690A1 (en) 2005-08-18 2007-08-22 Etch features with reduced line edge roughness

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/208,098 US7273815B2 (en) 2005-08-18 2005-08-18 Etch features with reduced line edge roughness
US11/843,131 US20070284690A1 (en) 2005-08-18 2007-08-22 Etch features with reduced line edge roughness

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/208,098 Division US7273815B2 (en) 2005-08-18 2005-08-18 Etch features with reduced line edge roughness

Publications (1)

Publication Number Publication Date
US20070284690A1 true US20070284690A1 (en) 2007-12-13

Family

ID=37758048

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/208,098 Active 2025-10-11 US7273815B2 (en) 2005-08-18 2005-08-18 Etch features with reduced line edge roughness
US11/843,131 Abandoned US20070284690A1 (en) 2005-08-18 2007-08-22 Etch features with reduced line edge roughness

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/208,098 Active 2025-10-11 US7273815B2 (en) 2005-08-18 2005-08-18 Etch features with reduced line edge roughness

Country Status (6)

Country Link
US (2) US7273815B2 (en)
JP (2) JP5250418B2 (en)
KR (1) KR101257532B1 (en)
CN (2) CN101292197A (en)
TW (1) TWI432605B (en)
WO (1) WO2007021540A2 (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130078804A1 (en) * 2011-09-22 2013-03-28 Nanya Technology Corporation Method for fabricating integrated devices with reducted plasma damage
US20140162194A1 (en) * 2012-05-25 2014-06-12 Applied Materials, Inc. Conformal sacrificial film by low temperature chemical vapor deposition technique
US8883648B1 (en) * 2013-09-09 2014-11-11 United Microelectronics Corp. Manufacturing method of semiconductor structure
CN104157556A (en) * 2013-05-15 2014-11-19 中芯国际集成电路制造(上海)有限公司 Metal hard mask opening etching method
CN104275171A (en) * 2014-06-18 2015-01-14 河海大学 Preparation method of silicon dioxide nano-layer coated gamma-aluminium oxide powder material
US20150087150A1 (en) * 2013-09-24 2015-03-26 Semiconductor Manufacturing International (Beijing) Corporation Semiconductor structures and fabrication method thereof
CN106158595A (en) * 2015-04-20 2016-11-23 中芯国际集成电路制造(上海)有限公司 The forming method of semiconductor devices
US9543203B1 (en) 2015-07-02 2017-01-10 United Microelectronics Corp. Method of fabricating a semiconductor structure with a self-aligned contact
US9972538B2 (en) 2015-08-03 2018-05-15 Samsung Electronics Co., Ltd. Methods for fabricating semiconductor device

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7250371B2 (en) * 2003-08-26 2007-07-31 Lam Research Corporation Reduction of feature critical dimensions
US20060134917A1 (en) * 2004-12-16 2006-06-22 Lam Research Corporation Reduction of etch mask feature critical dimensions
US7273815B2 (en) * 2005-08-18 2007-09-25 Lam Research Corporation Etch features with reduced line edge roughness
US7682516B2 (en) * 2005-10-05 2010-03-23 Lam Research Corporation Vertical profile fixing
US7309646B1 (en) * 2006-10-10 2007-12-18 Lam Research Corporation De-fluoridation process
JP5108489B2 (en) * 2007-01-16 2012-12-26 株式会社日立ハイテクノロジーズ Plasma processing method
US8592318B2 (en) * 2007-11-08 2013-11-26 Lam Research Corporation Pitch reduction using oxide spacer
WO2009085564A2 (en) * 2007-12-21 2009-07-09 Lam Research Corporation Etch with high etch rate resist mask
WO2009085694A2 (en) 2007-12-21 2009-07-09 Lam Research Corporation Protective layer for implant photoresist
WO2009114244A2 (en) 2008-03-11 2009-09-17 Lam Research Corporation Line width roughness improvement with noble gas plasma
US7772122B2 (en) * 2008-09-18 2010-08-10 Lam Research Corporation Sidewall forming processes
KR101156275B1 (en) * 2009-02-06 2012-06-13 주식회사 엘지화학 Touchscreen and method for manufacturing the same
WO2010117964A2 (en) * 2009-04-09 2010-10-14 Lam Research Corporation Method for low-k dielectric etch with reduced damage
US8304262B2 (en) * 2011-02-17 2012-11-06 Lam Research Corporation Wiggling control for pseudo-hardmask
CN103871956A (en) * 2012-12-10 2014-06-18 中微半导体设备(上海)有限公司 Silicon deep via etching method
JP6239466B2 (en) * 2014-08-15 2017-11-29 東京エレクトロン株式会社 Manufacturing method of semiconductor device
CN105719965A (en) * 2014-12-04 2016-06-29 北京北方微电子基地设备工艺研究中心有限责任公司 Method and device for etching silicon dioxide substrate
WO2017111822A1 (en) * 2015-12-24 2017-06-29 Intel Corporation Pitch division using directed self-assembly
US9852924B1 (en) * 2016-08-24 2017-12-26 Lam Research Corporation Line edge roughness improvement with sidewall sputtering
CN107527797B (en) * 2017-08-16 2022-04-05 江苏鲁汶仪器有限公司 Method for improving photoresist line edge roughness
US20190378725A1 (en) * 2018-06-08 2019-12-12 Lam Research Corporation Method for transferring a pattern from an organic mask
JP7357528B2 (en) 2019-12-06 2023-10-06 東京エレクトロン株式会社 Etching method and etching equipment
WO2024024919A1 (en) * 2022-07-29 2024-02-01 東京エレクトロン株式会社 Substrate processing method and substrate processing system

Citations (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4151034A (en) * 1976-12-22 1979-04-24 Tokyo Shibaura Electric Co., Ltd. Continuous gas plasma etching apparatus
US4871630A (en) * 1986-10-28 1989-10-03 International Business Machines Corporation Mask using lithographic image size reduction
US5013680A (en) * 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5273609A (en) * 1990-09-12 1993-12-28 Texas Instruments Incorporated Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment
US5296410A (en) * 1992-12-16 1994-03-22 Samsung Electronics Co., Ltd. Method for separating fine patterns of a semiconductor device
US5501893A (en) * 1992-12-05 1996-03-26 Robert Bosch Gmbh Method of anisotropically etching silicon
US5726499A (en) * 1995-07-22 1998-03-10 Ricoh Company, Ltd. Semiconductor device having a minute contact hole
US5741626A (en) * 1996-04-15 1998-04-21 Motorola, Inc. Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC)
US5879853A (en) * 1996-01-18 1999-03-09 Kabushiki Kaisha Toshiba Top antireflective coating material and its process for DUV and VUV lithography systems
US5895740A (en) * 1996-11-13 1999-04-20 Vanguard International Semiconductor Corp. Method of forming contact holes of reduced dimensions by using in-situ formed polymeric sidewall spacers
US5907775A (en) * 1997-04-11 1999-05-25 Vanguard International Semiconductor Corporation Non-volatile memory device with high gate coupling ratio and manufacturing process therefor
US6100014A (en) * 1998-11-24 2000-08-08 United Microelectronics Corp. Method of forming an opening in a dielectric layer through a photoresist layer with silylated sidewall spacers
US6187685B1 (en) * 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
US6261962B1 (en) * 1996-08-01 2001-07-17 Surface Technology Systems Limited Method of surface treatment of semiconductor substrates
US6281072B1 (en) * 1998-05-11 2001-08-28 Micron Technology, Inc. Multiple step methods for forming conformal layers
US6368974B1 (en) * 1999-08-02 2002-04-09 United Microelectronics Corp. Shrinking equal effect critical dimension of mask by in situ polymer deposition and etching
US20030082916A1 (en) * 2001-10-18 2003-05-01 Chung Henry Wei-Ming Method for reducing dimensions between patterns on a photoresist
US20030219988A1 (en) * 2002-05-22 2003-11-27 Applied Materials, Inc. Ashable layers for reducing critical dimensions of integrated circuit features
US6656282B2 (en) * 2001-10-11 2003-12-02 Moohan Co., Ltd. Atomic layer deposition apparatus and process using remote plasma
US20030235998A1 (en) * 2002-06-24 2003-12-25 Ming-Chung Liang Method for eliminating standing waves in a photoresist profile
US20040010769A1 (en) * 2002-07-12 2004-01-15 Macronix International Co., Ltd. Method for reducing a pitch of a procedure
US20040072430A1 (en) * 2002-10-11 2004-04-15 Zhisong Huang Method for forming a dual damascene structure
US6740977B2 (en) * 2002-04-24 2004-05-25 Samsung Electronics Co., Ltd. Insulating layers in semiconductor devices having a multi-layer nanolaminate structure of SiNx thin film and BN thin film and methods for forming the same
US20040126705A1 (en) * 2002-12-30 2004-07-01 Zhijian Lu Pattern transfer in device fabrication
US6780708B1 (en) * 2003-03-05 2004-08-24 Advanced Micro Devices, Inc. Method of forming core and periphery gates including two critical masking steps to form a hard mask in a core region that includes a critical dimension less than achievable at a resolution limit of lithography
US6829056B1 (en) * 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US20050048785A1 (en) * 2003-08-26 2005-03-03 Lam Research Corporation Reduction of feature critical dimensions
US6864184B1 (en) * 2004-02-05 2005-03-08 Advanced Micro Devices, Inc. Method for reducing critical dimension attainable via the use of an organic conforming layer
US6905800B1 (en) * 2000-11-21 2005-06-14 Stephen Yuen Etching a substrate in a process zone
US20050164479A1 (en) * 2004-01-27 2005-07-28 Taiwan Semiconductor Manufacturing Co. Zirconium oxide and hafnium oxide etching using halogen containing chemicals
US20060032833A1 (en) * 2004-08-10 2006-02-16 Applied Materials, Inc. Encapsulation of post-etch halogenic residue
US20060134917A1 (en) * 2004-12-16 2006-06-22 Lam Research Corporation Reduction of etch mask feature critical dimensions
US20060248154A1 (en) * 2005-04-28 2006-11-02 Samsung Electronics Co., Ltd. Method of displaying data in wireless terminal and wireless terminal adapted to display data
US20060266478A1 (en) * 2005-05-31 2006-11-30 Lam Research Corporation Critical dimension reduction and roughness control
US20070026682A1 (en) * 2005-02-10 2007-02-01 Hochberg Michael J Method for advanced time-multiplexed etching
US20070042607A1 (en) * 2005-08-18 2007-02-22 Lam Research Corporation Etch features with reduced line edge roughness
US20080045022A1 (en) * 2004-09-17 2008-02-21 Masaru Kurihara Semiconductor Device Manufacturing Method

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06216084A (en) * 1992-12-17 1994-08-05 Samsung Electron Co Ltd Pattern separation method and minute pattern forming method of semiconductor device
SE512813C2 (en) * 1997-05-23 2000-05-15 Ericsson Telefon Ab L M Method of producing an integrated circuit comprising a dislocation-free collector plug connected to a buried collector in a semiconductor component, which is surrounded by a dislocation-free trench and integrated circuit made according to the method
JP2001015587A (en) * 1999-06-30 2001-01-19 Toshiba Corp Manufacture of semiconductor device
JP2002110654A (en) * 2000-10-04 2002-04-12 Sony Corp Method of manufacturing semiconductor device
KR100480610B1 (en) * 2002-08-09 2005-03-31 삼성전자주식회사 Forming method for fine patterns using silicon oxide layer
JP4727171B2 (en) * 2003-09-29 2011-07-20 東京エレクトロン株式会社 Etching method
KR100549204B1 (en) * 2003-10-14 2006-02-02 주식회사 리드시스템 Method for anisotropically etching silicon
US7271107B2 (en) * 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
US7491647B2 (en) * 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control

Patent Citations (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4151034A (en) * 1976-12-22 1979-04-24 Tokyo Shibaura Electric Co., Ltd. Continuous gas plasma etching apparatus
US4871630A (en) * 1986-10-28 1989-10-03 International Business Machines Corporation Mask using lithographic image size reduction
US5013680A (en) * 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5273609A (en) * 1990-09-12 1993-12-28 Texas Instruments Incorporated Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment
US5501893A (en) * 1992-12-05 1996-03-26 Robert Bosch Gmbh Method of anisotropically etching silicon
US5296410A (en) * 1992-12-16 1994-03-22 Samsung Electronics Co., Ltd. Method for separating fine patterns of a semiconductor device
US5726499A (en) * 1995-07-22 1998-03-10 Ricoh Company, Ltd. Semiconductor device having a minute contact hole
US5879853A (en) * 1996-01-18 1999-03-09 Kabushiki Kaisha Toshiba Top antireflective coating material and its process for DUV and VUV lithography systems
US5741626A (en) * 1996-04-15 1998-04-21 Motorola, Inc. Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC)
US6261962B1 (en) * 1996-08-01 2001-07-17 Surface Technology Systems Limited Method of surface treatment of semiconductor substrates
US5895740A (en) * 1996-11-13 1999-04-20 Vanguard International Semiconductor Corp. Method of forming contact holes of reduced dimensions by using in-situ formed polymeric sidewall spacers
US5907775A (en) * 1997-04-11 1999-05-25 Vanguard International Semiconductor Corporation Non-volatile memory device with high gate coupling ratio and manufacturing process therefor
US6187685B1 (en) * 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
US6281072B1 (en) * 1998-05-11 2001-08-28 Micron Technology, Inc. Multiple step methods for forming conformal layers
US6100014A (en) * 1998-11-24 2000-08-08 United Microelectronics Corp. Method of forming an opening in a dielectric layer through a photoresist layer with silylated sidewall spacers
US6368974B1 (en) * 1999-08-02 2002-04-09 United Microelectronics Corp. Shrinking equal effect critical dimension of mask by in situ polymer deposition and etching
US6905800B1 (en) * 2000-11-21 2005-06-14 Stephen Yuen Etching a substrate in a process zone
US6656282B2 (en) * 2001-10-11 2003-12-02 Moohan Co., Ltd. Atomic layer deposition apparatus and process using remote plasma
US6750150B2 (en) * 2001-10-18 2004-06-15 Macronix International Co., Ltd. Method for reducing dimensions between patterns on a photoresist
US20030082916A1 (en) * 2001-10-18 2003-05-01 Chung Henry Wei-Ming Method for reducing dimensions between patterns on a photoresist
US6740977B2 (en) * 2002-04-24 2004-05-25 Samsung Electronics Co., Ltd. Insulating layers in semiconductor devices having a multi-layer nanolaminate structure of SiNx thin film and BN thin film and methods for forming the same
US20030219988A1 (en) * 2002-05-22 2003-11-27 Applied Materials, Inc. Ashable layers for reducing critical dimensions of integrated circuit features
US20030235998A1 (en) * 2002-06-24 2003-12-25 Ming-Chung Liang Method for eliminating standing waves in a photoresist profile
US20040010769A1 (en) * 2002-07-12 2004-01-15 Macronix International Co., Ltd. Method for reducing a pitch of a procedure
US20040072430A1 (en) * 2002-10-11 2004-04-15 Zhisong Huang Method for forming a dual damascene structure
US20040126705A1 (en) * 2002-12-30 2004-07-01 Zhijian Lu Pattern transfer in device fabrication
US6780708B1 (en) * 2003-03-05 2004-08-24 Advanced Micro Devices, Inc. Method of forming core and periphery gates including two critical masking steps to form a hard mask in a core region that includes a critical dimension less than achievable at a resolution limit of lithography
US6829056B1 (en) * 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US20050048785A1 (en) * 2003-08-26 2005-03-03 Lam Research Corporation Reduction of feature critical dimensions
US20050164479A1 (en) * 2004-01-27 2005-07-28 Taiwan Semiconductor Manufacturing Co. Zirconium oxide and hafnium oxide etching using halogen containing chemicals
US6864184B1 (en) * 2004-02-05 2005-03-08 Advanced Micro Devices, Inc. Method for reducing critical dimension attainable via the use of an organic conforming layer
US20060032833A1 (en) * 2004-08-10 2006-02-16 Applied Materials, Inc. Encapsulation of post-etch halogenic residue
US20080045022A1 (en) * 2004-09-17 2008-02-21 Masaru Kurihara Semiconductor Device Manufacturing Method
US20060134917A1 (en) * 2004-12-16 2006-06-22 Lam Research Corporation Reduction of etch mask feature critical dimensions
US20070026682A1 (en) * 2005-02-10 2007-02-01 Hochberg Michael J Method for advanced time-multiplexed etching
US20060248154A1 (en) * 2005-04-28 2006-11-02 Samsung Electronics Co., Ltd. Method of displaying data in wireless terminal and wireless terminal adapted to display data
US20060266478A1 (en) * 2005-05-31 2006-11-30 Lam Research Corporation Critical dimension reduction and roughness control
US20070042607A1 (en) * 2005-08-18 2007-02-22 Lam Research Corporation Etch features with reduced line edge roughness

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130078804A1 (en) * 2011-09-22 2013-03-28 Nanya Technology Corporation Method for fabricating integrated devices with reducted plasma damage
CN103021836A (en) * 2011-09-22 2013-04-03 南亚科技股份有限公司 Method for fabricating integrated circuit with reducted plasma damage
US20140162194A1 (en) * 2012-05-25 2014-06-12 Applied Materials, Inc. Conformal sacrificial film by low temperature chemical vapor deposition technique
CN104157556A (en) * 2013-05-15 2014-11-19 中芯国际集成电路制造(上海)有限公司 Metal hard mask opening etching method
US8883648B1 (en) * 2013-09-09 2014-11-11 United Microelectronics Corp. Manufacturing method of semiconductor structure
US20150087150A1 (en) * 2013-09-24 2015-03-26 Semiconductor Manufacturing International (Beijing) Corporation Semiconductor structures and fabrication method thereof
US9111874B2 (en) * 2013-09-24 2015-08-18 Semiconductor Manufacturing International (Beijing) Corporation Semiconductor structures and fabrication method thereof
CN104275171A (en) * 2014-06-18 2015-01-14 河海大学 Preparation method of silicon dioxide nano-layer coated gamma-aluminium oxide powder material
CN106158595A (en) * 2015-04-20 2016-11-23 中芯国际集成电路制造(上海)有限公司 The forming method of semiconductor devices
US9543203B1 (en) 2015-07-02 2017-01-10 United Microelectronics Corp. Method of fabricating a semiconductor structure with a self-aligned contact
US9972538B2 (en) 2015-08-03 2018-05-15 Samsung Electronics Co., Ltd. Methods for fabricating semiconductor device

Also Published As

Publication number Publication date
TWI432605B (en) 2014-04-01
JP2009505421A (en) 2009-02-05
US20070042607A1 (en) 2007-02-22
US7273815B2 (en) 2007-09-25
WO2007021540A2 (en) 2007-02-22
JP2013110437A (en) 2013-06-06
KR20080046665A (en) 2008-05-27
CN101292197A (en) 2008-10-22
WO2007021540A3 (en) 2007-12-21
TW200720482A (en) 2007-06-01
CN103105744A (en) 2013-05-15
JP5250418B2 (en) 2013-07-31
KR101257532B1 (en) 2013-04-23

Similar Documents

Publication Publication Date Title
US7273815B2 (en) Etch features with reduced line edge roughness
US7491647B2 (en) Etch with striation control
US8614149B2 (en) Critical dimension reduction and roughness control
US7772122B2 (en) Sidewall forming processes
US7560388B2 (en) Self-aligned pitch reduction
US7977242B2 (en) Double mask self-aligned double patterning technology (SADPT) process
US8864931B2 (en) Mask trimming
US20070264830A1 (en) Pitch reduction
US20060134917A1 (en) Reduction of etch mask feature critical dimensions
US20070122977A1 (en) Self-aligned pitch reduction
US7785484B2 (en) Mask trimming with ARL etch
US20060051967A1 (en) Wafer bevel polymer removal
KR101252878B1 (en) Etch with photoresist mask
US20070128849A1 (en) Waferless automatic cleaning after barrier removal
US20070181530A1 (en) Reducing line edge roughness
WO2006028673A1 (en) Etch with uniformity control
US20060011578A1 (en) Low-k dielectric etch
US7544521B1 (en) Negative bias critical dimension trim

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- AFTER EXAMINER'S ANSWER OR BOARD OF APPEALS DECISION