US20070228464A1 - MOS transistor - Google Patents

MOS transistor Download PDF

Info

Publication number
US20070228464A1
US20070228464A1 US11/748,479 US74847907A US2007228464A1 US 20070228464 A1 US20070228464 A1 US 20070228464A1 US 74847907 A US74847907 A US 74847907A US 2007228464 A1 US2007228464 A1 US 2007228464A1
Authority
US
United States
Prior art keywords
region
implant
source
drain
drain region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/748,479
Inventor
Hsiang-Ying Wang
Chin-Cheng Chien
Tsai-fu Hsiao
Ming-Yen Chien
Chao-Chun Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Microelectronics Corp
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to US11/748,479 priority Critical patent/US20070228464A1/en
Assigned to UNITED MICROELECTRONICS CORP. reassignment UNITED MICROELECTRONICS CORP. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHEN, CHAO-CHUN, CHIEN, CHIN-CHENG, CHIEN, MING-YEN, HSIAO, TSAI-FU, WANG, HSIANG-YING
Publication of US20070228464A1 publication Critical patent/US20070228464A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/2658Bombardment with radiation with high-energy radiation producing ion implantation of a molecular ion, e.g. decaborane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET

Definitions

  • the present invention relates a method of forming a MOS transistor, and particularly a method of forming a MOS transistor having an improved short channel effect, comprising a step of co-implantation using an implant comprising carbon, hydrocarbon, or a derivative thereof.
  • FETs Field effect transistors
  • a gate structure is first formed on a substrate, and a lightly doped drain (LDD) is formed on the two corresponding sides of the gate structure.
  • LDD lightly doped drain
  • a spacer is formed on the sidewall of the gate structure and an ion implantation process is performed to form a source/drain region within the substrate by utilizing the gate structure and spacer as a mask.
  • an anneal process is performed.
  • FIG. 1 a schematic diagram showing a conventional field effect transistor.
  • a gate structure 106 having a gate dielectric layer 102 and a gate electrode 104 is first formed on a substrate 100 .
  • an ion implantation process is performed to form a lightly doped drain 110 in the substrate 100 .
  • a spacer 108 is formed on the sidewall of the gate structure 106 and another ion implantation is performed to form a source/drain region 112 in the substrate 100 .
  • a rapid thermal process RTP is performed to obtain a FET.
  • junction depth X j
  • the short channel effect noticeably depends on the junction depth.
  • the junction depth for the source/drain of a transistor must be reduced to avoid the short channel effect of the MOS arisen from the shrinkage of the MOS size to increase the integration of the device.
  • a lot of papers have demonstrated many approaches to improve the pFET SCE. But from 65 nm node and beyond, the conventional As implantation and spike RTP can hardly meet the nFET SCE requirement.
  • the shallower as-implant depth by heavy ions or less diffusion activation tool is needed.
  • the advanced activation tools for example, flash or laser anneal
  • flash or laser anneal are under development and not mature.
  • a method of manufacturing a PMOS transistor has been disclosed to implant fluorine in a source/drain extension region or source/drain region to be with the dopants thereat together.
  • the diffusion for the dopants can be improved during a subsequent annealing process, to alleviate the SCE.
  • One object of the present invention is to provide a method of forming a MOS transistor with an improved short channel effect.
  • the method of forming a MOS transistor according to the present invention comprises the steps as follows. First, a substrate having a gate thereon, a source region and a drain region therein with a channel region under the gate therebetween is provided. Next, the source region and the drain region are pre-amorphized to form amorphized regions. Subsequently, a first ion implantation is performed to implant a first dopant in the source region and the drain region to form a first doped region. At least a spacer is formed on the sidewalls of the gate. A second ion implantation is performed to implant a second dopant in the source region and the drain region to form a second doped region.
  • the source region and the drain region are annealed to activate the first dopant, regrow the amorphized regions to a substantially crystalline form, and form a junction profile.
  • a co-implantation process is performed after pre-amorphizing the source region and the drain region and before annealing the source region and the drain region, to implant an implant in the source region and the drain region, wherein the implant comprises carbon, a hydrocarbon compound, or a derivative of the hydrocarbon compound.
  • the method of forming a MOS transistor comprises the steps as follows. First, a substrate having a gate thereon, a source region and a drain region therein with a channel region under the gate therebetween is provided. Next, an implant is implanted into the source region and the drain region for achieving both pre-amorphization and co-implantation of the source region and the drain region to form amorphized regions with the implant, wherein the implant comprises carbon, a hydrocarbon compound, or a derivative of the hydrocarbon compound. Next, a first ion implantation is performed to implant a first dopant in the source region and the drain region to form a first doped region. At least one spacer is formed on the sidewalls of the gate.
  • a second ion implantation is performed to implant a second dopant in the source region and the drain region to form a second doped region.
  • the source region and the drain region are annealed to activate the first dopant, regrow the amorphized regions to a substantially crystalline form, and form a junction profile.
  • the MOS transistor according to the present invention comprises a substrate having a gate thereon, a source region and a drain region therein with a channel region under the gate therebetween; at least a spacer disposed on a side wall of the gate; a light doped source region and a light doped drain region disposed in the source region and the drain region; a source and a drain disposed respectively in the source region and the drain region at a side of the light doped source region and a side the light doped drain region; and a halo implanted region formed between the channel region and the source region and between the channel region and the drain region, wherein the halo implanted region comprises an implant comprising carbon, a hydrocarbon compound, or a derivative of the hydrocarbon compound.
  • the MOS transistor comprises a substrate having a gate thereon, a source region and a drain region therein with a channel region under the gate therebetween; at least a spacer disposed on a side wall of the gate; a light doped source region and a light doped drain region disposed in the source region and the drain region; a source and a drain disposed respectively in the source region and the drain region at a side of the light doped source region and a side the light doped drain region; and a halo implanted region formed between the channel region and the source region and between the channel region and the drain region, wherein the halo implanted region comprises an implant comprising carbon, a hydrocarbon compound, or a derivative of the hydrocarbon compound.
  • the method of forming a MOS transistor comprises a step of co-implantation to implant carbon, a hydrocarbon compound, or a derivative of the hydrocarbon compound, such as one selected from a group consisting of C, C x H y +, and (C x H y ) n +, wherein x is a number of 1 to 10, y is a number of 4 to 20, and n is a number of 1 to 1000, within substantially the same place as that of the lightly doped drain or source, the source region and the drain region, or the halo implanted region.
  • the diffusion of dopants co-existing with the implants from the co-implantation can be reduced. That is, the diffusion of the dopants within the lightly doped drain or source, the source region and the drain region, or the halo implanted region can be effectively controlled, to obtain a good junction profile and an improved short channel effect.
  • FIG. 1 is a schematic structural diagram showing a conventional field effect transistor
  • FIG. 2 is a flow chart showing the method of forming a MOS transistor according to the present invention.
  • FIGS. 3 to 6 show cross sectional views for the method of forming a MOS transistor according to the present invention
  • FIG. 7 is a flow chart showing another embodiment of the method of forming a MOS transistor according to the present invention.
  • FIG. 8 shows a cross sectional view for the method of forming a MOS transistor according to the present invention, in which the halo implanted region comprises dopants and implants;
  • FIG. 9 shows the B profile comparison by a secondary ion mass spectroscopy of PLDD made using the method according to the present invention and PLDD made by a conventional method.
  • FIG. 10 shows the As profile comparison by a secondary ion mass spectroscopy of NLDD made using the method according to the present invention and NLDD made by a conventional method.
  • FIG. 2 is a flow chart showing the method of forming a MOS transistor according to the present invention.
  • the method of forming a MOS transistor of the embodiment according to the present invention comprises the steps of follows.
  • a substrate having a gate, a source region and a drain region, and a channel region is provided.
  • a pre-amorphization 301 is performed to form an amorphized region in the source region and the drain region, respectively.
  • a co-implantation 302 is performed to implant an implant within the source region and the drain region.
  • a light ion implantation 303 is performed to form a doped region in the source region and the drain region.
  • a spacer is formed on the sidewall of the gate.
  • a source/drain ion implantation 304 is performed to form a doped region.
  • An anneal process 305 is performed to activate the dopants, regrow the amorphized regions to a substantially crystalline form, and form a junction profile.
  • FIGS. 3 to 6 show cross sectional views for the method of forming a MOS transistor according to the present invention.
  • a substrate 200 is provided.
  • a gate structure 206 comprises a gate dielectric layer 202 and a gate electrode 204 on the substrate 200 .
  • the gate dielectric layer 202 comprises dielectric material such as silicon oxide.
  • the gate electrode 204 comprises a conductive material such as doped polysilicon.
  • a source region and a drain region are defined at two sides of the gate structure 206 , and a channel region 201 is under the gate structure 206 .
  • the pre-amorphization 301 is performed to form an amorphized region 212 in the source region and the drain region, respectively, to destroy the lattice of the silicon crystalline into an amorphous structure.
  • the pre-amorphizing implantation is performed by an ion implantation 210 through implant an implant into the source region and a drain region.
  • the dopant may be, but not limited to, for example, silicon (Si), antimony (Sb), germanium (Ge), or arsenic (As).
  • a Ge implant having a dose of about 5.0 ⁇ 10 14 atoms/cm 2 with an implantation energy of about 40 KeV may be employed, or an As implant having a dose of about 3.0 ⁇ 10 15 atoms/cm 2 with an implantation energy of about 40 KeV may be employed.
  • the implantation may be perpendicular to the implanted surface of with an angle as desired.
  • An implantation angle of about 3 to 10 degrees may be used to generate an amorphized region extending to under the gate.
  • the co-implantation 302 is performed to implant an implant into the source region and the drain region.
  • the co-implantation is performed by an ion implantation 214 to form a co-doped region 216 in the light doped drain/source predetermined region.
  • the place for the co-implant in the substrate is not limited to the substantially same place as that of the subsequent dopant implanted by an LDD implantation, and it may be the substantially same place as that of the subsequent dopant implanted by a source/drain implantation.
  • the short channel effect due to over diffusion of the dopants implanted by the subsequent processes during the anneal process such as the rapid thermal process can be reduced.
  • the implantation energy may depend on the implant position in the substrate, such as 3 KeV to 20 KeV.
  • the dose may be 1 ⁇ 10 15 to 3 ⁇ 10 15 atoms/cm 3 .
  • the implant may comprise carbon, hydrocarbon compound, or a derivative of the hydrocarbon compound, such as one selected from C, C x H y +, and (C x H y ) n +, wherein x is a number of 1 to 10, and preferably 1; y is a number of 4 to 20, and preferably 4; and n is a number of 1 to 1000, and preferably 800.
  • the implantation may be perpendicular to the implanted surface or with an angle as desired.
  • the LDD implantation 303 is performed by an ion implantation 218 using the gate 204 as a mask to implant a light dopant into the amorphized region 212 to form a light source/drain region (LDD) 220 .
  • LDD light source/drain region
  • the dopant used in the light ion implantation may be described as follows.
  • a dopant such as As or P can be used as a light dopant for the source/drain region, to form an n-type LDD (NLDD), and a dopant such as B or BF 2 can be used as a light dopant for the source/drain region, to form an p-type LDD (PLDD).
  • NLDD n-type LDD
  • B or BF 2 can be used as a light dopant for the source/drain region, to form an p-type LDD (PLDD).
  • a dopant such as B, BF2, boron hydride, or a derivative thereof (such as B w H z +, or (B w H z ) m +) can be used as a light dopant for the source/drain region, to form an PLDD, in which, w is a number of 2 to 30, and preferably 18, z is a number of 2 to 40, and preferably 22, and m is a number of 10 to 1000, and preferably 800.
  • the dose for the light dopant may be, for example, 10 17 to 10 20 atoms/cm 3 .
  • a spike rapid thermal process may be further performed to activate dopants.
  • the spike rapid thermal process is not performed at this manufacturing stage, and an anneal process is performed after the source/drain implantation.
  • the source/drain implantation 304 is performed.
  • a spacer 222 is formed on the sidewall of the gate structure 206 .
  • the spacer may be a single layer or a multi-layered structure.
  • the spacer may be composed of a lining layer (such as silicon oxide) and a silicon nitride layer or the spacer may be composed of a silicon oxide offset spacer and a silicon nitride spacer.
  • an ion implantation 224 is performed to implant a heavy dopant within the source region and the drain region to form a heavily doped source/drain 226 .
  • the implantation dose of the heavy dopant may be for example 10 20 to 10 21 atoms/cm 3 .
  • the anneal process 305 is performed, such as a rapid thermal process, or a spike anneal process to activate the dopant in the substrate 200 at a high temperature of, for example, 1000 to 1050° C. to form a desired junction profile and regrow the damaged lattice structure of the surface of the substrate 200 caused by ion implantations to a substantially crystalline form.
  • a rapid thermal process or a spike anneal process to activate the dopant in the substrate 200 at a high temperature of, for example, 1000 to 1050° C. to form a desired junction profile and regrow the damaged lattice structure of the surface of the substrate 200 caused by ion implantations to a substantially crystalline form.
  • the co-implantation 302 is performed after the pre-amorphization 301 and before the light ion implantation 303 ; however, it is noted that the diffusion of dopants in the substrate can be well controlled as long as the co-implantation 302 is performed before the anneal process 305 . Accordingly, the co-implantation 302 may be performed after the pre-amorphization 301 and before the light ion implantation 303 ; or after the light ion implantation 303 and before the source/drain implantation 304 ; or after the source/drain implantation 304 and before the anneal process 305 . Therefore, the implant is implanted in the substrate 200 at a place substantially the same as that of the light dopant in the lightly doped region or that of the heavy dopant in the source/drain.
  • a flow chart showing another embodiment of the method of forming a MOS transistor according to the present invention has the same steps as the above-described embodiment, except that a halo implantation is further comprised.
  • a halo implant also called a “pocket implant,” has been used to reduce “punch through”, i.e., to limit lateral diffusion of the source and the drain dopants in MOS transistors. It is generally performed after the gate is defined and before the source/drain diffusion. Due to the masking effect of the gate, the halo implant peak concentration is near the source/drain region. Away from the source/drain edge, under the gate, the depth of the peak halo concentration falls quickly.
  • the halo implantation 306 is performed after the co-implantation 302 and before the light ion implantation 303 . Nevertheless, it also can be performed after the pre-amorphization 301 and before the co-implantation 302 .
  • the halo implant is of the conductivity type opposite to that of the source and drain of the MOS device.
  • As is used as a dopant in LDD and B or BF2 may be used as a halo implant to form an nFET.
  • B or BH 2 is used as a dopant in LDD and As or P may be used as a halo implant to form a pFET.
  • the concentration of the halo implant in the halo implanted region depends on the device size.
  • the concentration is higher as the size is larger.
  • the concentration may be between 1 ⁇ 10 17 atoms/cm 3 and 1 ⁇ 10 18 atoms/cm 3 , for example.
  • the ion flux may be at an implant angle of 0 to about 30 degree, or greater, from normal (perpendicular) to the substrate, to provide a halo implant which extends slightly under the gate.
  • the implant of the co-implantation may be implanted in the substrate at a place substantially the same as that of the halo implant, in addition to the place substantially the same as that of the light dopant in the lightly doped region or that of the heavy dopant in the source/drain.
  • FIG. 8 shows an embodiment in which the halo implanted region 230 comprises an implant from the co-implantation, in addition to the halo implant.
  • the diffusion of the halo implant can be well controlled to form a better junction profile.
  • the diffusion of the dopants can be controlled because the implant occupies the interstitials of the silicon crystalline structure. This situation facilitates the control for the diffusion of dopants, and thus a good junction profile can be obtained.
  • the method of forming a MOS transistor according to the present invention can be used to form a pPFET or an nFET.
  • an NLDD can be formed using, for example, As or P as a light dopant in the source region and the drain region
  • a PLDD can be formed using, for example, B or BF 2 as a light dopant in the source region and the drain region.
  • a PLDD can be formed using, for example, B, BF 2 , B w H z +, or (B w H z ) m + as a light dopant in the source region and the drain region.
  • the process flow is the same as that shown in FIG. 2 or 7 , except that the pre-amorphization 301 and the co-implantation 302 are performed by one step. That is, C x H y + or (C x H y ) n + is used as the implant for the pre-amorphization instead of Si, Ge, etc.
  • the equal energy for such co-implant is preferably less than the implant energy for the source/drain, and for example less than 40 KeV.
  • the dose may be 1 ⁇ 10 15 atoms/cm 3 to 3 ⁇ 10 15 atoms/cm 3 .
  • the dopant for the light doped drain/source region may be boron hydride or a derivative thereof, such as B w H z + or (B w H z ) m + to obtain a more preferred shallow junction profile.
  • FIG. 9 shows the B profile comparison by a secondary ion mass spectroscopy (SIMS) of PLDD made in one embodiment of the method of forming a MOS transistor according to the present invention, with a co-implantation using a C implant, and PLDD made by a conventional method, without a co-implantation.
  • SIMS secondary ion mass spectroscopy
  • FIG. 10 shows the As profile comparison by a secondary ion mass spectroscopy (SIMS) of NLDD made in one embodiment of the method of forming a MOS transistor according to the present invention, with a co-implantation using a C implant, and PLDD made by a conventional method, without a co-implantation.
  • SIMS secondary ion mass spectroscopy

Abstract

A method of forming a MOS transistor, in which a co-implantation is performed to implant an implant into a source region and a drain region or a halo implanted region to effectively prevent dopants from over diffusion in the source region and the drain region or the halo implanted region, for obtaining a good junction profile and improving short channel effect. The implant comprises carbon, a hydrocarbon, or a derivative of the hydrocarbon, such as one selected from a group consisting of C, CxHy+, and (CxHy)n+, wherein x is a number of 1 to 10, y is a number of 4 to 20, and n is a number of 1 to 1000.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This is a divisional application of U.S. patent application Ser. No. 11/278,434 filed on Apr. 3, 2006, and the contents of which are included herein by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates a method of forming a MOS transistor, and particularly a method of forming a MOS transistor having an improved short channel effect, comprising a step of co-implantation using an implant comprising carbon, hydrocarbon, or a derivative thereof.
  • 2. Description of the Prior Art
  • Field effect transistors (FETs) are important electronic devices in the fabrication of integrated circuits, and as the size of the semiconductor device becomes smaller and smaller, the fabrication of the transistors also improves and is constantly enhanced for fabricating transistors with smaller sizes and higher quality.
  • In the conventional method of fabricating transistors, a gate structure is first formed on a substrate, and a lightly doped drain (LDD) is formed on the two corresponding sides of the gate structure. Next, a spacer is formed on the sidewall of the gate structure and an ion implantation process is performed to form a source/drain region within the substrate by utilizing the gate structure and spacer as a mask. Finally, an anneal process is performed.
  • Refer to FIG. 1, a schematic diagram showing a conventional field effect transistor. As shown in FIG. 1, a gate structure 106 having a gate dielectric layer 102 and a gate electrode 104 is first formed on a substrate 100. Next, an ion implantation process is performed to form a lightly doped drain 110 in the substrate 100. Next, a spacer 108 is formed on the sidewall of the gate structure 106 and another ion implantation is performed to form a source/drain region 112 in the substrate 100. Subsequently, a rapid thermal process (RTP) is performed to obtain a FET.
  • With the device scaling down, it's difficult to control the junction depth (Xj) and also reduce the access resistance. The short channel effect (SCE) noticeably depends on the junction depth. The junction depth for the source/drain of a transistor must be reduced to avoid the short channel effect of the MOS arisen from the shrinkage of the MOS size to increase the integration of the device. A lot of papers have demonstrated many approaches to improve the pFET SCE. But from 65 nm node and beyond, the conventional As implantation and spike RTP can hardly meet the nFET SCE requirement.
  • From the above viewpoint, the shallower as-implant depth by heavy ions or less diffusion activation tool is needed. Unfortunately the advanced activation tools (for example, flash or laser anneal) are under development and not mature.
  • A method of manufacturing a PMOS transistor has been disclosed to implant fluorine in a source/drain extension region or source/drain region to be with the dopants thereat together. The diffusion for the dopants can be improved during a subsequent annealing process, to alleviate the SCE.
  • However, due to transistors with smaller sizes and higher quality are constantly desired, an FET with an improved SCE and a good junction profile and a method of manufacturing it are still needed.
  • SUMMARY OF THE INVENTION
  • One object of the present invention is to provide a method of forming a MOS transistor with an improved short channel effect.
  • The method of forming a MOS transistor according to the present invention comprises the steps as follows. First, a substrate having a gate thereon, a source region and a drain region therein with a channel region under the gate therebetween is provided. Next, the source region and the drain region are pre-amorphized to form amorphized regions. Subsequently, a first ion implantation is performed to implant a first dopant in the source region and the drain region to form a first doped region. At least a spacer is formed on the sidewalls of the gate. A second ion implantation is performed to implant a second dopant in the source region and the drain region to form a second doped region. The source region and the drain region are annealed to activate the first dopant, regrow the amorphized regions to a substantially crystalline form, and form a junction profile. Among these steps, a co-implantation process is performed after pre-amorphizing the source region and the drain region and before annealing the source region and the drain region, to implant an implant in the source region and the drain region, wherein the implant comprises carbon, a hydrocarbon compound, or a derivative of the hydrocarbon compound.
  • In another embodiment of the present invention, the method of forming a MOS transistor comprises the steps as follows. First, a substrate having a gate thereon, a source region and a drain region therein with a channel region under the gate therebetween is provided. Next, an implant is implanted into the source region and the drain region for achieving both pre-amorphization and co-implantation of the source region and the drain region to form amorphized regions with the implant, wherein the implant comprises carbon, a hydrocarbon compound, or a derivative of the hydrocarbon compound. Next, a first ion implantation is performed to implant a first dopant in the source region and the drain region to form a first doped region. At least one spacer is formed on the sidewalls of the gate. A second ion implantation is performed to implant a second dopant in the source region and the drain region to form a second doped region. Finally, the source region and the drain region are annealed to activate the first dopant, regrow the amorphized regions to a substantially crystalline form, and form a junction profile.
  • Furthermore, the MOS transistor according to the present invention comprises a substrate having a gate thereon, a source region and a drain region therein with a channel region under the gate therebetween; at least a spacer disposed on a side wall of the gate; a light doped source region and a light doped drain region disposed in the source region and the drain region; a source and a drain disposed respectively in the source region and the drain region at a side of the light doped source region and a side the light doped drain region; and a halo implanted region formed between the channel region and the source region and between the channel region and the drain region, wherein the halo implanted region comprises an implant comprising carbon, a hydrocarbon compound, or a derivative of the hydrocarbon compound.
  • In another embodiment according to the present invention, the MOS transistor comprises a substrate having a gate thereon, a source region and a drain region therein with a channel region under the gate therebetween; at least a spacer disposed on a side wall of the gate; a light doped source region and a light doped drain region disposed in the source region and the drain region; a source and a drain disposed respectively in the source region and the drain region at a side of the light doped source region and a side the light doped drain region; and a halo implanted region formed between the channel region and the source region and between the channel region and the drain region, wherein the halo implanted region comprises an implant comprising carbon, a hydrocarbon compound, or a derivative of the hydrocarbon compound.
  • The method of forming a MOS transistor comprises a step of co-implantation to implant carbon, a hydrocarbon compound, or a derivative of the hydrocarbon compound, such as one selected from a group consisting of C, CxHy+, and (CxHy)n+, wherein x is a number of 1 to 10, y is a number of 4 to 20, and n is a number of 1 to 1000, within substantially the same place as that of the lightly doped drain or source, the source region and the drain region, or the halo implanted region. Therefore, after a rapid thermal process is performed, for example, by a conventional implanter and a spike annealing tool, the diffusion of dopants co-existing with the implants from the co-implantation can be reduced. That is, the diffusion of the dopants within the lightly doped drain or source, the source region and the drain region, or the halo implanted region can be effectively controlled, to obtain a good junction profile and an improved short channel effect.
  • These and other objectives of the present invention will no doubt become obvious to those of ordinary skill in the art after reading the following detailed description of the preferred embodiment that is illustrated in the various figures and drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a schematic structural diagram showing a conventional field effect transistor;
  • FIG. 2 is a flow chart showing the method of forming a MOS transistor according to the present invention;
  • FIGS. 3 to 6 show cross sectional views for the method of forming a MOS transistor according to the present invention;
  • FIG. 7 is a flow chart showing another embodiment of the method of forming a MOS transistor according to the present invention;
  • FIG. 8 shows a cross sectional view for the method of forming a MOS transistor according to the present invention, in which the halo implanted region comprises dopants and implants;
  • FIG. 9 shows the B profile comparison by a secondary ion mass spectroscopy of PLDD made using the method according to the present invention and PLDD made by a conventional method; and
  • FIG. 10 shows the As profile comparison by a secondary ion mass spectroscopy of NLDD made using the method according to the present invention and NLDD made by a conventional method.
  • DETAILED DESCRIPTION
  • Refer to FIGS. 2 to 6 for illustration of an embodiment according to the present invention. FIG. 2 is a flow chart showing the method of forming a MOS transistor according to the present invention. The method of forming a MOS transistor of the embodiment according to the present invention comprises the steps of follows. A substrate having a gate, a source region and a drain region, and a channel region is provided. A pre-amorphization 301 is performed to form an amorphized region in the source region and the drain region, respectively. A co-implantation 302 is performed to implant an implant within the source region and the drain region. A light ion implantation 303 is performed to form a doped region in the source region and the drain region. A spacer is formed on the sidewall of the gate. A source/drain ion implantation 304 is performed to form a doped region. An anneal process 305 is performed to activate the dopants, regrow the amorphized regions to a substantially crystalline form, and form a junction profile.
  • FIGS. 3 to 6 show cross sectional views for the method of forming a MOS transistor according to the present invention. As shown in FIG. 3, first, a substrate 200 is provided. A gate structure 206 comprises a gate dielectric layer 202 and a gate electrode 204 on the substrate 200. The gate dielectric layer 202 comprises dielectric material such as silicon oxide. The gate electrode 204 comprises a conductive material such as doped polysilicon. A source region and a drain region are defined at two sides of the gate structure 206, and a channel region 201 is under the gate structure 206. Next, the pre-amorphization 301 is performed to form an amorphized region 212 in the source region and the drain region, respectively, to destroy the lattice of the silicon crystalline into an amorphous structure. The pre-amorphizing implantation is performed by an ion implantation 210 through implant an implant into the source region and a drain region. The dopant may be, but not limited to, for example, silicon (Si), antimony (Sb), germanium (Ge), or arsenic (As). For example, a Ge implant having a dose of about 5.0×1014 atoms/cm2 with an implantation energy of about 40 KeV may be employed, or an As implant having a dose of about 3.0×1015 atoms/cm2 with an implantation energy of about 40 KeV may be employed. The implantation may be perpendicular to the implanted surface of with an angle as desired. An implantation angle of about 3 to 10 degrees may be used to generate an amorphized region extending to under the gate.
  • The co-implantation 302 is performed to implant an implant into the source region and the drain region. For example, as shown in FIG. 4, the co-implantation is performed by an ion implantation 214 to form a co-doped region 216 in the light doped drain/source predetermined region. The place for the co-implant in the substrate is not limited to the substantially same place as that of the subsequent dopant implanted by an LDD implantation, and it may be the substantially same place as that of the subsequent dopant implanted by a source/drain implantation. Thus, the short channel effect due to over diffusion of the dopants implanted by the subsequent processes during the anneal process such as the rapid thermal process can be reduced. The implantation energy may depend on the implant position in the substrate, such as 3 KeV to 20 KeV. The dose may be 1×1015 to 3×1015 atoms/cm3. The implant may comprise carbon, hydrocarbon compound, or a derivative of the hydrocarbon compound, such as one selected from C, CxHy+, and (CxHy)n+, wherein x is a number of 1 to 10, and preferably 1; y is a number of 4 to 20, and preferably 4; and n is a number of 1 to 1000, and preferably 800. The implantation may be perpendicular to the implanted surface or with an angle as desired.
  • Referring to FIG. 5, the LDD implantation 303 is performed by an ion implantation 218 using the gate 204 as a mask to implant a light dopant into the amorphized region 212 to form a light source/drain region (LDD) 220. In this embodiment, there is an implant from the co-implantation in LDD 220. The dopant used in the light ion implantation may be described as follows. When C is used as an implant, a dopant such as As or P can be used as a light dopant for the source/drain region, to form an n-type LDD (NLDD), and a dopant such as B or BF2 can be used as a light dopant for the source/drain region, to form an p-type LDD (PLDD). When CxHy+ or (CxHy)n+ is used as an implant, a dopant such as B, BF2, boron hydride, or a derivative thereof (such as BwHz+, or (BwHz)m+) can be used as a light dopant for the source/drain region, to form an PLDD, in which, w is a number of 2 to 30, and preferably 18, z is a number of 2 to 40, and preferably 22, and m is a number of 10 to 1000, and preferably 800. The dose for the light dopant may be, for example, 1017 to 1020 atoms/cm3.
  • After the LDD implantation, a spike rapid thermal process may be further performed to activate dopants. Alternatively, the spike rapid thermal process is not performed at this manufacturing stage, and an anneal process is performed after the source/drain implantation.
  • Subsequently, the source/drain implantation 304 is performed. As shown in FIG. 6, a spacer 222 is formed on the sidewall of the gate structure 206. The spacer may be a single layer or a multi-layered structure. For example, the spacer may be composed of a lining layer (such as silicon oxide) and a silicon nitride layer or the spacer may be composed of a silicon oxide offset spacer and a silicon nitride spacer. Thereafter, an ion implantation 224 is performed to implant a heavy dopant within the source region and the drain region to form a heavily doped source/drain 226. The implantation dose of the heavy dopant may be for example 1020 to 1021 atoms/cm3.
  • Finally, the anneal process 305 is performed, such as a rapid thermal process, or a spike anneal process to activate the dopant in the substrate 200 at a high temperature of, for example, 1000 to 1050° C. to form a desired junction profile and regrow the damaged lattice structure of the surface of the substrate 200 caused by ion implantations to a substantially crystalline form.
  • In the flow chart shown in FIG. 2, the co-implantation 302 is performed after the pre-amorphization 301 and before the light ion implantation 303; however, it is noted that the diffusion of dopants in the substrate can be well controlled as long as the co-implantation 302 is performed before the anneal process 305. Accordingly, the co-implantation 302 may be performed after the pre-amorphization 301 and before the light ion implantation 303; or after the light ion implantation 303 and before the source/drain implantation 304; or after the source/drain implantation 304 and before the anneal process 305. Therefore, the implant is implanted in the substrate 200 at a place substantially the same as that of the light dopant in the lightly doped region or that of the heavy dopant in the source/drain.
  • Referring to FIG. 7, a flow chart showing another embodiment of the method of forming a MOS transistor according to the present invention has the same steps as the above-described embodiment, except that a halo implantation is further comprised. A halo implant, also called a “pocket implant,” has been used to reduce “punch through”, i.e., to limit lateral diffusion of the source and the drain dopants in MOS transistors. It is generally performed after the gate is defined and before the source/drain diffusion. Due to the masking effect of the gate, the halo implant peak concentration is near the source/drain region. Away from the source/drain edge, under the gate, the depth of the peak halo concentration falls quickly.
  • As shown in FIG. 7, the halo implantation 306 is performed after the co-implantation 302 and before the light ion implantation 303. Nevertheless, it also can be performed after the pre-amorphization 301 and before the co-implantation 302. The halo implant is of the conductivity type opposite to that of the source and drain of the MOS device. For example, As is used as a dopant in LDD and B or BF2 may be used as a halo implant to form an nFET. B or BH2 is used as a dopant in LDD and As or P may be used as a halo implant to form a pFET. The concentration of the halo implant in the halo implanted region depends on the device size. The concentration is higher as the size is larger. The concentration may be between 1×1017 atoms/cm3 and 1×1018 atoms/cm3, for example. The ion flux may be at an implant angle of 0 to about 30 degree, or greater, from normal (perpendicular) to the substrate, to provide a halo implant which extends slightly under the gate.
  • In case the halo implantation is included to form the transistor, the implant of the co-implantation may be implanted in the substrate at a place substantially the same as that of the halo implant, in addition to the place substantially the same as that of the light dopant in the lightly doped region or that of the heavy dopant in the source/drain. FIG. 8 shows an embodiment in which the halo implanted region 230 comprises an implant from the co-implantation, in addition to the halo implant. Thus, the diffusion of the halo implant can be well controlled to form a better junction profile.
  • When carbon, hydrocarbon, or a derivative thereof is implanted as an implant into the doped region and exists with dopants together, the diffusion of the dopants (such as, B or P) can be controlled because the implant occupies the interstitials of the silicon crystalline structure. This situation facilitates the control for the diffusion of dopants, and thus a good junction profile can be obtained.
  • The method of forming a MOS transistor according to the present invention can be used to form a pPFET or an nFET. For example, when carbon is used as a co-implant in the light doped drain/source, an NLDD can be formed using, for example, As or P as a light dopant in the source region and the drain region, and a PLDD can be formed using, for example, B or BF2 as a light dopant in the source region and the drain region. When CxHy+ or (CxHy)n+ is used as a co-implant in the light doped drain/source, a PLDD can be formed using, for example, B, BF2, BwHz+, or (BwHz)m+ as a light dopant in the source region and the drain region.
  • According to still another embodiment according to the present invention, using hydrocarbon or a derivative thereof (such as CxHy+ or (CxHy)n+) as a co-implant, and in such situation, the process flow is the same as that shown in FIG. 2 or 7, except that the pre-amorphization 301 and the co-implantation 302 are performed by one step. That is, CxHy+ or (CxHy)n+ is used as the implant for the pre-amorphization instead of Si, Ge, etc. and as a co-implant in the implanted regions subsequently formed, to simultaneously achieve the amorphization of the source/drain region in the substrate and the control for the diffusion of the dopants implanted in the subsequent processes, to obtain a good junction profile. The equal energy for such co-implant is preferably less than the implant energy for the source/drain, and for example less than 40 KeV. The dose may be 1×1015 atoms/cm3 to 3×1015 atoms/cm3. The dopant for the light doped drain/source region may be boron hydride or a derivative thereof, such as BwHz+ or (BwHz)m+ to obtain a more preferred shallow junction profile.
  • FIG. 9 shows the B profile comparison by a secondary ion mass spectroscopy (SIMS) of PLDD made in one embodiment of the method of forming a MOS transistor according to the present invention, with a co-implantation using a C implant, and PLDD made by a conventional method, without a co-implantation. Both used BF2 of 3 KeV as a dopant for the PLDD implantation, but in the embodiment according to the present invention, a co-implantation was further performed using a C implant 0 f 6 KeV. As shown in FIG. 9, C was used as a co-implant with B to reduce the diffusion of B for forming a shallower and a sharper junction. In comparison with the conventional co-implantation using fluorine, the method of the present invention is more effective on the reduction of B diffusion.
  • FIG. 10 shows the As profile comparison by a secondary ion mass spectroscopy (SIMS) of NLDD made in one embodiment of the method of forming a MOS transistor according to the present invention, with a co-implantation using a C implant, and PLDD made by a conventional method, without a co-implantation. Both used As of 4 KeV as a dopant in a dose of 1.5×1015 atoms/cm3 for the NLDD implantation, but in the embodiment according to the present invention, a co-implantation was further performed using a C implant 0 f 3 KeV. As shown in FIG. 10, C was used as a co-implant with As to reduce the diffusion of As for forming a shallower and a sharper junction.
  • Those skilled in the art will readily observe that numerous modifications and alterations of the device and method may be made while retaining the teachings of the invention. Accordingly, the above disclosure should be construed as limited only by the metes and bounds of the appended claims.

Claims (9)

1. A MOS transistor, comprising:
a substrate having a gate thereon, a source region and a drain region therein with a channel region under the gate therebetween;
at least a spacer disposed on a side wall of the gate;
a light doped source region and a light doped drain region disposed in the source region and the drain region; and
a source and a drain disposed respectively in the source region and the drain region at a side of the light doped source region and a side the light doped drain region;
wherein one of the light doped source region, the light doped drain region, the source region, and the drain region comprises an implant comprising carbon, a hydrocarbon compound, or a derivative of the hydrocarbon compound.
2. The MOS transistor as claimed in claim 1, further comprising a halo implanted region formed between the channel region and the source region and between the channel region and the drain region.
3. The MOS transistor as claimed in claim 1, wherein the implant comprises one selected from the group consisting of C, CxHy+, and (CxHy)n+, wherein x is a number of 1 to 10, y is a number of 4 to 20, and n is a number of 1 to 1000.
4. The MOS transistor as claimed in claim 1, wherein the implant comprises C and the light doped source region and the light doped drain region comprise As or P.
5. The MOS transistor as claimed in claim 1, wherein the implant comprises C and the light doped source region and the light doped drain region comprise B or BF2.
6. The MOS transistor as claimed in claim 1, wherein the implant comprises CxHy+ or (CxHy)n+ and the light doped source region and the light doped drain region comprise B, BF2, BwHz+, or (BwHz)m+, wherein w is a number of 2 to 30, z is a number of 2 to 40, and m is a number of 10 to 1000.
7. A MOS transistor, comprising:
a substrate having a gate thereon, a source region and a drain region therein with a channel region under the gate therebetween;
at least a spacer disposed on a side wall of the gate;
a light doped source region and a light doped drain region disposed in the source region and the drain region;
a source and a drain disposed respectively in the source region and the drain region at a side of the light doped source region and a side the light doped drain region; and
a halo implanted region formed between the channel region and the source region and between the channel region and the drain region, wherein the halo implanted region comprises an implant comprising carbon, a hydrocarbon compound, or a derivative of the hydrocarbon compound.
8. The MOS transistor as claimed in claim 7, wherein the implant comprises one selected from the group consisting of C, CxHy+, and (CxHy)n+, wherein x is a number of 1 to 10, y is a number of 4 to 20, and n is a number of 1 to 1000.
9. The MOS transistor as claimed in claim 7, wherein the light doped source region and the light doped drain region comprise B, BF2, BwHz+, or (BwHz)m+, wherein w is a number of 2 to 30, z is a number of 2 to 40, and m is a number of 10 to 1000.
US11/748,479 2006-04-03 2007-05-14 MOS transistor Abandoned US20070228464A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/748,479 US20070228464A1 (en) 2006-04-03 2007-05-14 MOS transistor

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/278,434 US7396717B2 (en) 2006-04-03 2006-04-03 Method of forming a MOS transistor
US11/748,479 US20070228464A1 (en) 2006-04-03 2007-05-14 MOS transistor

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/278,434 Division US7396717B2 (en) 2006-04-03 2006-04-03 Method of forming a MOS transistor

Publications (1)

Publication Number Publication Date
US20070228464A1 true US20070228464A1 (en) 2007-10-04

Family

ID=38557542

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/278,434 Active US7396717B2 (en) 2006-04-03 2006-04-03 Method of forming a MOS transistor
US11/748,479 Abandoned US20070228464A1 (en) 2006-04-03 2007-05-14 MOS transistor

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/278,434 Active US7396717B2 (en) 2006-04-03 2006-04-03 Method of forming a MOS transistor

Country Status (1)

Country Link
US (2) US7396717B2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102097379A (en) * 2009-12-10 2011-06-15 中芯国际集成电路制造(上海)有限公司 Method for manufacturing semiconductor device layer
JP2013545289A (en) * 2010-10-15 2013-12-19 インターナショナル・ビジネス・マシーンズ・コーポレーション Method and structure for pFET junction profile with SiGe channel
US8846527B2 (en) 2013-01-10 2014-09-30 Semiconductor Manufacturing International Corp. Method for fabricating MOS transistors
CN108630535A (en) * 2018-06-20 2018-10-09 长江存储科技有限责任公司 Semiconductor structure and forming method thereof

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7557022B2 (en) * 2006-06-13 2009-07-07 Texas Instruments Incorporated Implantation of carbon and/or fluorine in NMOS fabrication
JP5034332B2 (en) * 2006-06-14 2012-09-26 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
KR100835519B1 (en) * 2006-07-04 2008-06-04 동부일렉트로닉스 주식회사 Method for fabricating a semiconductor device
US7485528B2 (en) * 2006-07-14 2009-02-03 Micron Technology, Inc. Method of forming memory devices by performing halogen ion implantation and diffusion processes
US7700450B2 (en) * 2006-10-25 2010-04-20 United Microelectronics Corp. Method for forming MOS transistor
US7888223B2 (en) * 2007-03-28 2011-02-15 United Microelectronics Corp. Method for fabricating P-channel field-effect transistor (FET)
US8664073B2 (en) 2007-03-28 2014-03-04 United Microelectronics Corp. Method for fabricating field-effect transistor
US8394687B2 (en) * 2007-03-30 2013-03-12 Intel Corporation Ultra-abrupt semiconductor junction profile
KR100877673B1 (en) * 2007-06-26 2009-01-08 주식회사 동부하이텍 Semiconductor device fabricating method
US7678637B2 (en) * 2007-09-21 2010-03-16 Texas Instruments Incorporated CMOS fabrication process
US8278197B2 (en) * 2008-05-30 2012-10-02 International Business Machines Corporation Method to tailor location of peak electric field directly underneath an extension spacer for enhanced programmability of a prompt-shift device
CN101621006B (en) * 2008-07-03 2011-01-12 中芯国际集成电路制造(上海)有限公司 Method for forming P-type light doping drain electrode region by pre-noncrystallization processing of germanium
US8343860B1 (en) 2010-03-23 2013-01-01 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude High C content molecules for C implant
US8564063B2 (en) 2010-12-07 2013-10-22 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US8343825B2 (en) 2011-01-19 2013-01-01 International Business Machines Corporation Reducing dislocation formation in semiconductor devices through targeted carbon implantation
US8471249B2 (en) 2011-05-10 2013-06-25 International Business Machines Corporation Carbon field effect transistors having charged monolayers to reduce parasitic resistance
US8513081B2 (en) 2011-10-13 2013-08-20 International Business Machines Corporation Carbon implant for workfunction adjustment in replacement gate transistor
US8648412B1 (en) 2012-06-04 2014-02-11 Semiconductor Components Industries, Llc Trench power field effect transistor device and method
US8822297B2 (en) * 2013-01-23 2014-09-02 United Microelectronics Corp. Method of fabricating MOS device
CN104779161A (en) * 2014-01-14 2015-07-15 中芯国际集成电路制造(上海)有限公司 Semiconductor device and preparation method thereof

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5908313A (en) * 1996-12-31 1999-06-01 Intel Corporation Method of forming a transistor
US5959333A (en) * 1997-05-30 1999-09-28 Advanced Micro Devices, Inc. Reduction of dopant diffusion by the co-implantation of impurities into the transistor gate conductor
US6232166B1 (en) * 1998-11-06 2001-05-15 Advanced Micro Devices, Inc. CMOS processing employing zero degree halo implant for P-channel transistor
US6303450B1 (en) * 2000-11-21 2001-10-16 International Business Machines Corporation CMOS device structures and method of making same
US6391731B1 (en) * 2001-02-15 2002-05-21 Chartered Semiconductor Manufacturing Ltd. Activating source and drain junctions and extensions using a single laser anneal
US20030207542A1 (en) * 2002-05-06 2003-11-06 P.R. Chidambaram Fabrication of abrupt ultra-shallow junctions using angled pai and fluorine implant
US20040132260A1 (en) * 2002-11-14 2004-07-08 Stmicroelectronics Sa Process for fabricating a short-gate-length MOS transistor and integrated circuit comprising such a transistor
US20060252239A1 (en) * 2005-05-05 2006-11-09 Matthias Hierlemann Implantation process in semiconductor fabrication
US20070037326A1 (en) * 2005-08-09 2007-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Shallow source/drain regions for CMOS transistors
US20070148888A1 (en) * 2005-12-09 2007-06-28 Krull Wade A System and method for the manufacture of semiconductor devices by the implantation of carbon clusters

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5908313A (en) * 1996-12-31 1999-06-01 Intel Corporation Method of forming a transistor
US5959333A (en) * 1997-05-30 1999-09-28 Advanced Micro Devices, Inc. Reduction of dopant diffusion by the co-implantation of impurities into the transistor gate conductor
US6232166B1 (en) * 1998-11-06 2001-05-15 Advanced Micro Devices, Inc. CMOS processing employing zero degree halo implant for P-channel transistor
US6303450B1 (en) * 2000-11-21 2001-10-16 International Business Machines Corporation CMOS device structures and method of making same
US6391731B1 (en) * 2001-02-15 2002-05-21 Chartered Semiconductor Manufacturing Ltd. Activating source and drain junctions and extensions using a single laser anneal
US20030207542A1 (en) * 2002-05-06 2003-11-06 P.R. Chidambaram Fabrication of abrupt ultra-shallow junctions using angled pai and fluorine implant
US6682980B2 (en) * 2002-05-06 2004-01-27 Texas Instruments Incorporated Fabrication of abrupt ultra-shallow junctions using angled PAI and fluorine implant
US20040132260A1 (en) * 2002-11-14 2004-07-08 Stmicroelectronics Sa Process for fabricating a short-gate-length MOS transistor and integrated circuit comprising such a transistor
US20060252239A1 (en) * 2005-05-05 2006-11-09 Matthias Hierlemann Implantation process in semiconductor fabrication
US20070037326A1 (en) * 2005-08-09 2007-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Shallow source/drain regions for CMOS transistors
US20070148888A1 (en) * 2005-12-09 2007-06-28 Krull Wade A System and method for the manufacture of semiconductor devices by the implantation of carbon clusters

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102097379A (en) * 2009-12-10 2011-06-15 中芯国际集成电路制造(上海)有限公司 Method for manufacturing semiconductor device layer
JP2013545289A (en) * 2010-10-15 2013-12-19 インターナショナル・ビジネス・マシーンズ・コーポレーション Method and structure for pFET junction profile with SiGe channel
US8846527B2 (en) 2013-01-10 2014-09-30 Semiconductor Manufacturing International Corp. Method for fabricating MOS transistors
CN108630535A (en) * 2018-06-20 2018-10-09 长江存储科技有限责任公司 Semiconductor structure and forming method thereof

Also Published As

Publication number Publication date
US7396717B2 (en) 2008-07-08
US20070238234A1 (en) 2007-10-11

Similar Documents

Publication Publication Date Title
US7396717B2 (en) Method of forming a MOS transistor
US7795101B2 (en) Method of forming a MOS transistor
US6225176B1 (en) Step drain and source junction formation
US6682980B2 (en) Fabrication of abrupt ultra-shallow junctions using angled PAI and fluorine implant
US7700450B2 (en) Method for forming MOS transistor
US7682892B2 (en) MOS device and process having low resistance silicide interface using additional source/drain implant
US7109099B2 (en) End of range (EOR) secondary defect engineering using substitutional carbon doping
US8785286B2 (en) Techniques for FinFET doping
US7867851B2 (en) Methods of forming field effect transistors on substrates
US7498642B2 (en) Profile confinement to improve transistor performance
US8076210B2 (en) Method for fabricating metal-oxide semiconductor transistors
US7358167B2 (en) Implantation process in semiconductor fabrication
US20060284249A1 (en) Impurity co-implantation to improve transistor performance
US8318571B2 (en) Method for forming P-type lightly doped drain region using germanium pre-amorphous treatment
US8273633B2 (en) Method of enhancing dopant activation without suffering additional dopant diffusion
US6399452B1 (en) Method of fabricating transistors with low thermal budget
US7071069B2 (en) Shallow amorphizing implant for gettering of deep secondary end of range defects
US6235599B1 (en) Fabrication of a shallow doped junction having low sheet resistance using multiple implantations
US9735012B2 (en) Short-channel nFET device
US8173503B2 (en) Fabrication of source/drain extensions with ultra-shallow junctions
US20080258178A1 (en) Method of forming a MOS transistor
US7151032B2 (en) Methods of fabricating semiconductor devices
US20050285191A1 (en) Semiconductor device and method of fabricating the same
US7348229B2 (en) Method of manufacturing a semiconductor device and semiconductor device obtained with such a method
US8664073B2 (en) Method for fabricating field-effect transistor

Legal Events

Date Code Title Description
AS Assignment

Owner name: UNITED MICROELECTRONICS CORP., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:WANG, HSIANG-YING;CHIEN, CHIN-CHENG;HSIAO, TSAI-FU;AND OTHERS;REEL/FRAME:019292/0353

Effective date: 20060329

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION