US20070228425A1 - Method and manufacturing low leakage MOSFETs and FinFETs - Google Patents

Method and manufacturing low leakage MOSFETs and FinFETs Download PDF

Info

Publication number
US20070228425A1
US20070228425A1 US11/397,784 US39778406A US2007228425A1 US 20070228425 A1 US20070228425 A1 US 20070228425A1 US 39778406 A US39778406 A US 39778406A US 2007228425 A1 US2007228425 A1 US 2007228425A1
Authority
US
United States
Prior art keywords
region
substrate
silicon
source
semiconducting material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/397,784
Inventor
Gayle Miller
Volker Dudek
Michael Graf
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Atmel Corp
Original Assignee
Atmel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Atmel Corp filed Critical Atmel Corp
Priority to US11/397,784 priority Critical patent/US20070228425A1/en
Assigned to ATMEL CORPORATION reassignment ATMEL CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: DUDEK, VOLKER, GRAF, MICHAEL, MILLER, GAYLE W.
Publication of US20070228425A1 publication Critical patent/US20070228425A1/en
Priority to US13/174,398 priority patent/US8378414B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Definitions

  • the present invention relates to the fabrication of integrated circuits and, more specifically, a method for fabricating field effect transistors (FETs) wherein source-drain current flows along a (100) crystal plane.
  • FETs field effect transistors
  • CZ wafers are preferred for VLSI applications as they can withstand high thermal stresses and are able to offer an internal gettering mechanism that can remove unwanted impurities from device structures on a wafer surface. This also gives the wafer a uniform internal structure based on silicon's diamond cubic lattice structure.
  • FIG. 1A A schematic representation of the diamond cubic lattice structure of silicon is depicted in FIG. 1A .
  • the atoms in a crystal lattice structure of a silicon wafer align with each other to form planes traversing the wafer in multiple directions.
  • Three principal planes, and their respective orientations, (100), (110), and (111), are shown in FIGS. 1B-1D .
  • Equivalent planes are designated by braces, for example, ⁇ 111 ⁇ , ⁇ 110 ⁇ , and ⁇ 100 ⁇ , represent equivalents to the (111), (110), and (100) principal planes, respectively. In many applications, orienting the crystal to an equivalent plane will achieve the same result as aligning it to its principal plane.
  • Plane (111) has the highest number of atoms per unit of surface area and is said to be packed very tightly.
  • wafers are typically fabricated with a notch or flat relative to a selected crystalline plane.
  • automated wafer handling equipment utilize these notches or flats, fabricated in the wafers, to align the wafer, allowing devices on a wafer to be aligned with a specific crystal plane.
  • a development in the art has been the shift to formation of semiconductor devices on a silicon wafer wherein the devices are aligned so that source-drain current in those devices travel along a ⁇ 110 ⁇ plane, usually the (110) plane.
  • a ⁇ 110 ⁇ plane has a more closely packed atomic structure than a ⁇ 100 ⁇ plane, which coincides with a higher charge mobility in devices aligned such that current flows along the (110) plane, as compared to devices aligned such that current flows along the (100) plane.
  • a result of this characteristic of silicon crystals is faster data throughput where device current is aligned along the (110) plane.
  • U.S. patents teach the alignment of devices to a (110) plane, for example, U.S. Pat. No. 5,729,045, to Buynosik, entitled “Field Effect Transistor With Higher Mobility,” discloses a method of increasing the performance of an FET by aligning channel current with the (110) crystal plane of a (100) wafer.
  • the Buynosik device is inappropriate for contemporary high-density device fabrication since any defects present in the crystal lattice can have severe deleterious effects on an electronic device. Buynosik teaches neither how to eliminate or deal with the lattice defects.
  • One approach to reducing the problems associated with the defects discussed above is to improve the quality of the wafer itself.
  • One method of improving the wafer is through an epitaxial deposition wherein a thin layer of single crystal silicon material is deposited on the surface of a silicon crystal substrate. These wafers are commonly known as epi wafers. Experimentation has shown that these types of wafers have higher yields than standard wafers.
  • a silicon wafer 201 is shown with a single MOSFET device including a source 205 , a drain 207 , and a gate 209 , wherein a source-drain current channel is aligned to a primary flat 203 .
  • the primary flat is typically aligned with the (110) plane and the arrow (vector) indicates a [110] direction, which is normal to the (110) plane.
  • Most commercially available epitaxial wafers are manufactured with the primary flat aligned with the (110) plane.
  • fabrication equipment aligns a wafer using a primary flat (or notch) as a reference.
  • devices By aligning the primary flat (or notch) of, for example, an epi wafer with a (100) plane rather than a (110) plane, devices can be formed with primary currents flowing along the (100) plane.
  • the device will intersect the (111) plane at approximately 54.7 degrees. This intersect angle significantly reduces stress propagation/relief along the (111) direction and consequently reduces defects as well as leakage and parasitic currents.
  • Leakage current reduction is a direct consequence of the change in the dislocation length required to short the source-drain junction.
  • the leakage current is reduced by up to two orders of magnitude for an n-channel CMOS device.
  • Defects such as slip dislocation and gettering points for impurities, are also reduced by employing the techniques presented herein.
  • MOSFET metal-oxide-semiconductor field effect transistors
  • MOSFET technology is a dominant electronic device technology in use today. Performance enhancement between generations of devices is generally achieved by reducing an overall size of the device, resulting in an enhancement in device speed. This size reduction is generally referred to as device scaling. As MOSFETs are scaled to channel lengths below about 200 nm, conventional MOSFETs suffer from several problems.
  • a FinFET is a MOSFET with a raised current channel (fin) that utilizes a gate electrode on at least three sides of the channel. Aligning the fin with the (100) plane results in a reduction in capacitance between the gate electrode and FinFET channel and body, and superior electrical isolation between the gate electrode and FinFET channel and body.
  • a further benefit of this fabrication method utilizing a (100) channel direction is that the corners of the gate electrode are inherently rounded, reducing local electric fields and consequently increasing the breakdown voltage and improving uniformity of an electric field in a gate dielectric. Additionally, the (100) channel direction fabrication method described herein reduces stress in silicon “corners.” This benefit is especially pronounced during high temperature processing (e.g., during growth of a thermal silicon dioxide gate dielectric). One result of the reduction in stress is that, for example, less boron p-type doping atoms diffuse out of corner regions into any adjacent existing oxide or growing oxide. There is thus less segregation of the boron into the silicon dioxide.
  • Silicon corner regions maintain a higher doping concentration and, hence, a higher MOS threshold voltage for formation of a parasitic channel in the finished device. Reduction or elimination in the formation of the parasitic channel at low MOS gate voltages produces a substantial reduction in leakage current of the device.
  • FIG. 1A shows a schematic of a unit cell for a diamond cubic lattice crystal as known in the prior art.
  • FIGS. 1B-1D show various crystal plane orientations as known in the prior art.
  • FIG. 2 shows prior art alignment of a primary flat and device orientation on a commercially available epitaxial wafer.
  • FIG. 3 shows the alignment of a primary flat and device orientation on a wafer with a (100) primary flat orientation.
  • FIGS. 4A-4O show exemplary process steps for one embodiment of the present invention.
  • FIGS. 5A-5I show exemplary process steps for another embodiment of the present invention.
  • FIGS. 6A-6K show an exemplary FinFET device fabricated using various process steps of various embodiments of the present invention.
  • an epi wafer 301 is shown with a single MOSFET device, including a source 305 , a drain 307 , and a gate 309 wherein a source-drain current channel is aligned to a primary flat 303 .
  • the primary flat 303 is aligned with the (100) plane. Fabricating devices with a primary current path aligned with the (100) plane reduces defects in and parallel to primary current paths and consequently reduces leakage and parasitic currents, as well as increases device yields.
  • An exemplary embodiment utilizing the present invention is an n-channel MOSFET device with source-drain current that flows along the (100) plane. While the (100) plane is referred to throughout, a skilled artisan will recognize that many equivalent planes will result in a similar advantageous intersect angle with ⁇ 110 ⁇ , and ⁇ 111 ⁇ planes. As MOSFET fabrication technology is well known in the art, the description that follows with reference to FIGS. 4A-4O and FIGS. 5A-5I is accordingly brief.
  • a substrate 401 has a dielectric layer 403 formed thereon.
  • An active layer 405 A is formed over the dielectric layer 403 .
  • a combination of the substrate 401 , the dielectric layer 403 , and the active layer 405 A is a silicon-on-insulator (SOI) wafer.
  • the dielectric layer 403 is a buried oxide layer having a nominal thickness of 500 nm with a practical range of thicknesses being about 15 nm to 1 ⁇ m.
  • a thickness of the SOI active layer is nominally 2 ⁇ m with a practical range of thicknesses being about 0.3 ⁇ to 25 ⁇ m.
  • the substrate 401 could be virtually any material capable of withstanding process temperatures and common chemicals encountered during semiconductor fabrication processes. Such materials would include quartz reticles or glass or plastic substrates (i.e., backplanes) used for flat panel displays.
  • the dielectric layer 403 may not be required.
  • the active layer 405 A could be a deposited polysilicon layer that is deposited and then annealed (e.g., by rapid thermal annealing (RTA) or excimer laser annealing (ELA)) to regain a monocrystalline form.
  • RTA rapid thermal annealing
  • ESA excimer laser annealing
  • the active layer 405 A could be a thinned wafer bonded to a suitable substrate.
  • the bonded wafer is a doped p-type wafer with an epitaxial silicon layer formed thereon, although one skilled in the art will recognize that an n-type doped semiconductor wafer may be used to fabricate a p-type integrated circuit.
  • a group III-V or II-VI bonded semiconductor substrate or an oxygen-implanted silicon (SIMOX) substrate may be used.
  • first dielectric layer 407 A Overlying the active layer 405 A is a first dielectric layer 407 A, a second dielectric layer 409 A, and a first photoresist layer 411 A.
  • the two dielectric layers 407 A, 409 A are a pad oxide with a 20 nm nominal thickness (having a practical range of about 16 nm to 50 nm) and a 120 nm nitride layer (having a practical range of about 100 nm to 200 nm), respectively.
  • the first photoresist layer 411 A is patterned to produce an etched first photoresist layer 411 B.
  • the etched first photoresist layer 411 B serves as a mask to etch exposed areas of the underlying active layer 405 A.
  • the active layer 405 A is comprised of silicon
  • silicon may be wet-etched, for example, with potassium hydroxide (KOH) or tetra-methyl ammonium hydroxide (TMAH). Dry-etch techniques, such as a reactive ion etch (RIE) with a fluorine rich plasma (e.g., SF 6 ) are also known in the art for etching silicon.
  • RIE reactive ion etch
  • fluorine rich plasma e.g., SF 6
  • an etched active layer 405 B is produced having a plurality of etched trenches 413 .
  • the plurality of trenches 413 may be, for example, approximately 500 nm in depth but a useful range may span from 150 nm to 800 nm in depth.
  • the etched first photoresist layer 411 B is then removed ( FIG. 4C ) and a liner dielectric layer 415 is formed over exposed areas of the etched active layer 405 B (i.e., sidewalls and bottoms of the plurality of etched trenches 413 ).
  • the liner dielectric layer 415 may be, for example, a thermal oxide grown to approximately 30 nm in thickness.
  • the liner dielectric layer 415 may also be deposited by techniques known in the art (e.g., by chemical vapor deposition (CVD) or atomic layer deposition (ALD)).
  • a second patterned and etched photoresist layer 417 serves as a mask for an ion implant 419 step.
  • the ion implant 419 step produces a plurality of doped regions 421 .
  • the ion implant 419 step may readily be substituted with a dopant diffusion step.
  • a shallow trench isolation (STI) blanket dielectric fill layer 423 A is formed (e.g., oxide formed by CVD or high density plasma (HDP) assisted deposition) so as to cover the etched second dielectric layer 409 B ( FIG. 4E ).
  • a precise thickness of additional coverage is not critical but typically ranges from 50 nm to 300 nm over the etched second dielectric layer 409 B.
  • the dielectric fill layer 423 A is then planarized ( FIG. 4F ) to be roughly coplanar with an uppermost portion of the etched second dielectric layer 409 B.
  • the planarization step may be accomplished by a chemical-mechanical planarization (CMP) step using an appropriate abrasive slurry.
  • CMP chemical-mechanical planarization
  • a planarized STI dielectric fill 423 B may be approximately 700 nm in thickness. Any remaining portion of the planarized STI dielectric fill 423 B overlying the etched second dielectric layer 409 B may be removed with a selective etchant, leaving an etched planarized STI dielectric fill 423 C ( FIG. 4G ).
  • planarized STI dielectric fill 423 B is comprised of oxide and the etched second dielectric layer 409 B is nitride
  • a chemical etchant such as hydrofluoric acid (commonly contained in a standard buffered oxide etch (BOE)), or orthophosphoric acid, or alternatively a selective dry etch technique (e.g., reactive-ion-etching (RIE)) will effectively remove the oxide while having little effect on the nitride.
  • the etched second dielectric layer 409 B is then removed ( FIG. 4H ) followed by removal of the etched first dielectric layer 407 B ( FIG. 4I ). Assuming the etched first dielectric layer 407 B and the etched planarized STI dielectric fill 423 C are fabricated of materials having similar etch characteristics, removing the first dielectric layer 407 B also produces a secondarily-etched planarized STI dielectric fill 423 D.
  • a thin (e.g., approximately 20 nm) sacrificial dielectric layer 425 is either grown (e.g., if the material chosen for the sacrificial layer is silicon dioxide) or deposited on exposed areas of the etched active layer.
  • the sacrificial dielectric layer 425 serves at least two purposes: (1) to remove contaminants, thereby cleaning exposed active regions; and (2) to act as a screening layer for subsequent dopant diffusions or implants (not shown).
  • various device specific dopant regions may be added to the etched active layer 405 B with additional photoresist masking steps added as required. For example, a doped channel region for an MOS device could be added at this point in the process flow. Such techniques are device dependent and are known to one of skill in the art.
  • the sacrificial dielectric layer 425 is then stripped ( FIG. 4K ).
  • the sacrificial dielectric layer 425 may be stripped by various wet etch or dry etch techniques as described herein with reference to other similar film layers. If the sacrificial dielectric layer 425 and the STI dielectric fill 423 D are formed from a similar material (e.g., both are comprised of oxide), then stripping the sacrificial dielectric layer 425 slightly thins the STI dielectric fill 423 D as well, leaving a final STI dielectric 423 E as indicated in FIG. 4K .
  • a gate dielectric 427 is formed (e.g., by thermal oxidation or deposition) over now-cleaned and exposed areas of the etched active layer 405 B.
  • the gate dielectric 427 is approximately 80 ⁇ in thickness, although gate thicknesses from 20 ⁇ -300 ⁇ are known in the art.
  • a semiconductor gate layer 429 A (e.g., polysilicon) is deposited ( FIG. 4M ).
  • the semiconductor gate layer is approximately 350 nm thick but may range in thickness from 150 nm to 600 nm.
  • the semiconductor gate layer 429 A will serve various purposes, depending upon a type of device being fabricated. For example, in a Flash memory device, the semiconductor gate layer 429 A could serve as a floating gate. In an MOS transistor, the semiconductor gate layer 429 A could serve as a control gate.
  • a third patterned and etched photoresist layer 431 is formed, allowing etching and formation of an etched gate layer 429 B.
  • a physical width, “w,” of a final transistor fabricated from such a structure is indicated in FIG. 4N 1 . In this arrangement, current flow in a final transistor form would be normal to the page view.
  • FIG. 4N 2 A magnified area “A” is shown in more detail in FIG. 4N 2 .
  • the etched active layer 405 B has an orientation on, for example a wafer where the primary flat (or notch) is in a (100) plane (also referred to as a “C-Flat wafer, see FIG. 3 )
  • upper corners (i.e., proximate to STI top corners) of the etched active layer 405 B are necessarily rounded during fabrication. This rounding produces a much more uniform electric field than the sharp corner produced by the prior art.
  • leakage current is suppressed by as much as two orders of magnitude between a MOSFET source and drain region. Consequently, leakage of charge into or through the gate dielectric 427 is diminished.
  • a final gate 429 C overlays a channel region of a MOS transistor (only a single gate is shown for clarity; a skilled artisan will recognize that gates may be located over each of the active areas overlaying the gate dielectric areas 427 ).
  • the gate 429 C is surrounded on either side by source and drain dopant regions. (Note: actual doped regions are not shown but merely indicated by areas 433 where such doped region will occur after subsequent processing. Such subsequent process steps are well-known to a skilled artisan.)
  • FIGS. 5A through 5I additional and alternative fabrication techniques are depicted. Similar feature types defined in FIGS. 5A through 5I share similar range thicknesses as discussed with reference to FIGS. 4A through 4O , supra. A skilled artisan will also recognize that process steps may be intermixed between fabrication steps outlined between the various sets of figures.
  • a substrate 500 has a dielectric layer 501 formed thereon.
  • the substrate 500 is a doped p-type wafer with an epitaxial silicon layer formed thereon, although one skilled in the art will recognize that an n-type doped semiconductor wafer may be used to fabricate a p-type integrated circuit. Alternatively, any of the substrate variations described with reference to FIG. 4A , supra, may be used.
  • the epitaxial silicon layer is doped with a lower concentration of a dopant of the same type as the substrate 500 .
  • a polysilicon layer 503 is then formed by, for example, chemical vapor deposition (CVD).
  • the dielectric layer 501 is silicon dioxide, formed by, for example, thermal oxidation.
  • a second dielectric layer 504 is formed over the polysilicon layer 503 .
  • the second dielectric layer 504 is silicon dioxide and is formed by, for example, CVD.
  • a photoresist mask 505 with patterned apertures exposing a source region aperture 507 , and a drain region aperture 509 in the second dielectric layer 504 is formed over the polysilicon layer 503 . Both a plan view and cross section are shown.
  • the second dielectric layer 504 has been etched to reveal a source window 511 , and a drain window 513 ; the source window 511 and drain window 513 being aligned along a (100) plane so as to result in current flow along the (100) plane.
  • the photoresist mask 505 has been removed.
  • the second dielectric layer 504 is etched using hydrofluoric acid, which attacks silicon dioxide rapidly with respect to the photoresist layer 504 and the polysilicon layer 503 .
  • the source and drain windows 511 , 513 are further doped with an n-type dopant, for example, phosphorous, creating a source region 515 , and a drain region 517 .
  • an n-type dopant for example, phosphorous
  • the doping is achieved by ion-implantation, although alternative means of doping, such as diffusion, can be used. As is known to a skilled artisan, concentration levels will vary dependent on device type.
  • the second dielectric layer 504 is used to mask the area which is doped.
  • a third dielectric layer 519 is formed over the second dielectric layer 504 by, for example, physical vapor deposition (PVD). While some of the dopant will diffuse into the epitaxial semiconductor layer 503 and the third dielectric layer 519 , the concentration of dopant will remain much higher in the implanted region with respect to the epitaxial semiconductor layer 503 .
  • PVD physical vapor deposition
  • the central portion of the third dielectric layer 519 has been etched to a level coplanar with the surface of the source and drain regions 515 , 517 .
  • the etched area defines a gate region (discussed below).
  • a gate dielectric 520 is formed over the gate region.
  • a thin oxide layer is formed by, for example, thermal oxidization.
  • contact holes 521 , 523 are formed using a photoresist to define the area to be etched, then etching the oxide layer 519 by, for example, hydrofluoric acid.
  • FIG. 5G includes a top view as well.
  • a metallization layer 525 is conformally formed by, for example, ion beam deposition.
  • the metallization layer 525 is aluminum.
  • a photoresist layer (not shown) is applied to the metallization layer 525 and is patterned to result in electrical separation of a source contact 527 , a drain contact 529 , and a gate contact 531 .
  • the metallization layer is etched by, for example, ion beam milling. A top view is included.
  • FIG. 1 Another exemplary embodiment utilizing the present invention is a FinFET device with source-drain current that flows along a (100) plane.
  • a silicon substrate with a commercially available epitaxial silicon layer grown on the surface is used. While the (100) plane is referred to throughout, a skilled artisan will recognize many equivalent planes that will result in an advantageous intersect angle with ⁇ 110 ⁇ , and ⁇ 111 ⁇ planes.
  • a silicon portion 601 A of a silicon-on-insulator (SOI) substrate has a thin silicon dioxide layer 603 A, a thicker silicon nitride layer 605 A, and a patterned photoresist mask layer 607 .
  • the substrate is a silicon-on-insulator wafer.
  • semiconductor materials include, for example, elemental semiconductors such as germanium, compound semiconductors such as group III-V, and II-VI materials, and semiconducting alloys (e.g., Al x Ga 1-x As, HG 1-x CD x Te). If elemental semiconductors other than silicon, or compound semiconductors are employed, an atomic layer deposition (ALD) process may be employed for producing thin, high quality oxide layers.
  • ALD atomic layer deposition
  • the silicon dioxide layer 603 A is a pad oxide to prevent thermally-induced stresses from developing between particular dissimilar materials, such as between silicon and the silicon nitride layer 605 A.
  • the silicon dioxide layer 603 A may be thermally grown or deposited.
  • the silicon nitride layer 605 A is then formed over the silicon dioxide layer 603 A by, for example, chemical vapor deposition (CVD).
  • CVD chemical vapor deposition
  • the silicon dioxide layer 605 A is between 50 ⁇ and 200 ⁇ while the silicon nitride layer 605 A is between 400 ⁇ and 2000 ⁇ .
  • the patterned photoresist mask layer 607 may be repeated a number of times and disposed laterally over a surface of the substrate 601 A to fabricate multiple surrounded-gate devices. For clarity, only one such device will be shown and described herein.
  • FIG. 6B indicates a fin area 602 being fabricated from the silicon portion of an SOI wafer, exposing an insulating portion 601 B.
  • the photoresist mask layer 607 defines an area for which underlying areas will not be etched.
  • These layers i.e., the silicon nitride layer 605 A and silicon dioxide layer 603 A
  • etching may be accomplished through various wet etch (e.g., in hydrofluoric acid, such as contained in a standard buffered oxide etch, or orthophosphoric acid) or dry-etch techniques (e.g., reactive-ion etch (RIE)).
  • wet etch e.g., in hydrofluoric acid, such as contained in a standard buffered oxide etch, or orthophosphoric acid
  • dry-etch techniques e.g., reactive-ion etch (RIE)
  • the underlying substrate 601 A is etched, defining the etched substrate 601 B.
  • silicon may be wet-etched, for example, with potassium hydroxide (KOH) or tetra-methyl ammonium hydroxide (TMAH). Dry-etch techniques, such as a reactive ion etch (RIE) with a fluorine rich plasma (e.g., SF 6 ) are also known in the art for etching silicon.
  • RIE reactive ion etch
  • SF 6 fluorine rich plasma
  • a sidewall slope of the fin area 602 may be controlled through a choice of the chemistry used in a dry-etch recipe and/or through a choice of the substrate 601 A if a monocrystalline semiconductor is used. If a silicon wafer is chosen for the substrate 601 A, a dry-etch process may be chosen to etch approximately 90° sidewalls on the fin area 602 . Therefore, the fin area 602 can be fabricated in such a way so as to maximize a given surface area to volume ratio of the fin 602 thereby allowing electrical characteristics (e.g., carrier mobility) of the FET device to be modified and tuned.
  • electrical characteristics e.g., carrier mobility
  • the photoresist mask layer 607 is removed ( FIG. 6C ) and a gate oxide layer 609 is thermally grown ( FIG. 6D ) after an appropriate pre-oxidation clean.
  • the gate oxide layer may be conformally deposited by CVD. Thinner layers of gate oxide (e.g., 20 ⁇ to 30 ⁇ ) may be deposited by techniques such as atomic layer deposition (ALD).
  • a dielectric fill layer 611 A is deposited over the gate oxide layer 609 .
  • the dielectric fill process may be similar to shallow-trench isolation (STI) type fills known in the art, for example, a high density plasma (HDP) oxide fill.
  • the dielectric fill layer 611 A may be comprised of any insulative material such as silicon dioxide, sapphire, borophosphosilicate glass (BPSG), or any of a number of other materials deposited or otherwise formed over the gate oxide layer 609 .
  • the dielectric fill layer 611 A may also be comprised of oxynitride or a high-k dielectric material.
  • dielectric fill layer 611 A may be brought to a level roughly coplanar with an uppermost part of the etched silicon nitride layer 605 B through, for example, chemical mechanical planarization (CMP).
  • CMP chemical mechanical planarization
  • the dielectric fill layer 611 A is then etched, producing an etched dielectric fill layer 611 B ( FIG. 6F ).
  • a high-selectivity etchant prevents the etched silicon nitride layer 605 B from being substantially etched away during the dielectric fill layer 611 A etch.
  • the gate oxide layer 609 is comprised of thermally grown silicon dioxide, the gate oxide layer 609 will etch more slowly than an HDP layer used for the dielectric fill layer 611 A (i.e., an etch rate of thermal oxide is lower than HDP oxide as HDP is less dense). If an exposed portion of the gate oxide layer 609 (i.e., the portion above the etched dielectric fill layer 611 B) is not etched completely, it will be removed prior to a final gate oxidation step described infra.
  • the etched silicon nitride layer 605 B, the etched silicon dioxide layer 603 B, and exposed portions of the gate oxide layer 609 are substantially etched away using techniques known to a skilled artisan.
  • An exposed section of the fin area 602 defines an active region of the FET device.
  • the active region has a given height, H, length, L 1 , and width, W.
  • the active region height, H is approximately 100 nm to 450 nm.
  • the width of the active region, W is approximately 100 nm or less and the length, L 1 , is dependent on a number of factors such as device design rules and gate widths.
  • a thermal oxidation, ALD, or high-k oxide deposition process forms a final thin gate oxide 613 A ( FIG. 6H ) over the active region.
  • the final thin gate oxide is grown or deposited to a thickness of approximately 20 ⁇ to 30 ⁇ .
  • a polysilicon layer 615 A is then conformally deposited ( FIG. 6H ).
  • the polysilicon layer 615 A will form a gate region, described infra.
  • a patterned second photoresist layer 617 is formed and patterned to define the gate; the gate having a width commensurate with a length, L 2 , of the patterned second photoresist layer 617 .
  • FIG. 6I is a plan view of the FET device and thus provides clarity in understanding a layout of the device after the second photoresist layer 617 is added.
  • the hidden section is an uppermost portion of the channel active region of FIG. 6G .
  • a selective etch process (either wet-etch or dry-etch) is used to fully define a gate region 615 B of the device.
  • a high selectivity to either silicon or silicon dioxide is accomplished using CHF 3 /O 2 , CH 2 F 2 , or CH 3 F chemistry.
  • Doped areas are added (e.g., by diffusion or implantation) to define a drain contact region 619 and a source contact region 621 of the device.
  • the patterned second photoresist layer 617 is then removed.
  • FIG. 6K provides a plan view—showing source, gate, and drain contact areas—of a completed FinFET device fabricated according to exemplary embodiments descried herein.

Abstract

By aligning the primary flat of a wafer with a (100) plane rather than a (110) plane, devices can be formed with primary currents flowing along the (100) plane. In this case, the device will intersect the (111) plane at approximately 54.7 degrees. This intersect angle significantly reduces stress propagation/relief along the (111) direction and consequently reduces defects as well as leakage and parasitic currents. The leakage current reduction is a direct consequence of the change in the dislocation length required to short the source-drain junction. By using this technique the leakage current is reduced by up to two orders of magnitude for an N-channel CMOS device.

Description

    FIELD OF THE INVENTION
  • The present invention relates to the fabrication of integrated circuits and, more specifically, a method for fabricating field effect transistors (FETs) wherein source-drain current flows along a (100) crystal plane.
  • BACKGROUND
  • Semiconductor integrated circuit chips are constructed as dice on wafers. A typical wafer material is crystalline silicon. Wafers are cut from single crystal silicon ingots grown from polysilicon by means of, for example, Czochralski method (CZ) crystal growth. CZ wafers are preferred for VLSI applications as they can withstand high thermal stresses and are able to offer an internal gettering mechanism that can remove unwanted impurities from device structures on a wafer surface. This also gives the wafer a uniform internal structure based on silicon's diamond cubic lattice structure. Although the diamond cubic lattice provides strength and rigidity to the wafer, defects in the crystal lattice, for example, slip dislocations, can adversely affect fabricated circuit electrical properties leading to a reduction in the number of good dice per wafer. A schematic representation of the diamond cubic lattice structure of silicon is depicted in FIG. 1A.
  • The atoms in a crystal lattice structure of a silicon wafer align with each other to form planes traversing the wafer in multiple directions. Three principal planes, and their respective orientations, (100), (110), and (111), are shown in FIGS. 1B-1D. Equivalent planes are designated by braces, for example, {111}, {110}, and {100}, represent equivalents to the (111), (110), and (100) principal planes, respectively. In many applications, orienting the crystal to an equivalent plane will achieve the same result as aligning it to its principal plane. Many structural properties of silicon depend on its planar orientation. Plane (111) has the highest number of atoms per unit of surface area and is said to be packed very tightly. This high atomic density results in a greater number of available charge carriers, which allows for faster current propagation. Concurrently, the more tightly a crystal plane is packed, the higher the probability that slip dislocations and other defects will occur. These defects can cause parasitic currents as well as charge leaks that can lead to poor performance and device failure.
  • To help identify crystalline planes, wafers are typically fabricated with a notch or flat relative to a selected crystalline plane. Throughout the integrated circuit (IC) manufacturing industry, automated wafer handling equipment utilize these notches or flats, fabricated in the wafers, to align the wafer, allowing devices on a wafer to be aligned with a specific crystal plane. A development in the art has been the shift to formation of semiconductor devices on a silicon wafer wherein the devices are aligned so that source-drain current in those devices travel along a {110} plane, usually the (110) plane. As indicated above, a {110} plane has a more closely packed atomic structure than a {100} plane, which coincides with a higher charge mobility in devices aligned such that current flows along the (110) plane, as compared to devices aligned such that current flows along the (100) plane. A result of this characteristic of silicon crystals is faster data throughput where device current is aligned along the (110) plane. Several U.S. patents teach the alignment of devices to a (110) plane, for example, U.S. Pat. No. 5,729,045, to Buynosik, entitled “Field Effect Transistor With Higher Mobility,” discloses a method of increasing the performance of an FET by aligning channel current with the (110) crystal plane of a (100) wafer. However, the Buynosik device is inappropriate for contemporary high-density device fabrication since any defects present in the crystal lattice can have severe deleterious effects on an electronic device. Buynosik teaches neither how to eliminate or deal with the lattice defects.
  • In fact, an ongoing trend in microelectronics devices is a reduction in device size. Concurrently, with the scaling down of IC devices, device current paths are smaller and device currents are decreased. One result is that crystal defects and unintentional currents are proportionally larger as IC devices become smaller.
  • One approach to reducing the problems associated with the defects discussed above is to improve the quality of the wafer itself. One method of improving the wafer is through an epitaxial deposition wherein a thin layer of single crystal silicon material is deposited on the surface of a silicon crystal substrate. These wafers are commonly known as epi wafers. Experimentation has shown that these types of wafers have higher yields than standard wafers.
  • In FIG. 2, a silicon wafer 201 is shown with a single MOSFET device including a source 205, a drain 207, and a gate 209, wherein a source-drain current channel is aligned to a primary flat 203. The primary flat is typically aligned with the (110) plane and the arrow (vector) indicates a [110] direction, which is normal to the (110) plane. Most commercially available epitaxial wafers are manufactured with the primary flat aligned with the (110) plane. Traditionally, fabrication equipment aligns a wafer using a primary flat (or notch) as a reference. With a primary flat aligned with a (110) plane, devices constructed from these epitaxial wafers have current channels that are aligned along the (110) plane. With larger scale devices, this has not been a problem since any defects formed had little influence on device performance and could be ignored. However, with design rules ever decreasing, any defects present in the crystal lattice can start to have severe deleterious effects on an electronic device.
  • SUMMARY
  • By aligning the primary flat (or notch) of, for example, an epi wafer with a (100) plane rather than a (110) plane, devices can be formed with primary currents flowing along the (100) plane. In this case, the device will intersect the (111) plane at approximately 54.7 degrees. This intersect angle significantly reduces stress propagation/relief along the (111) direction and consequently reduces defects as well as leakage and parasitic currents. Leakage current reduction is a direct consequence of the change in the dislocation length required to short the source-drain junction. By using this technique, the leakage current is reduced by up to two orders of magnitude for an n-channel CMOS device.
  • Defects, such as slip dislocation and gettering points for impurities, are also reduced by employing the techniques presented herein.
  • One application of an embodiment of the present invention relates to the fabrication of metal-oxide-semiconductor field effect transistors (MOSFETs). MOSFET technology is a dominant electronic device technology in use today. Performance enhancement between generations of devices is generally achieved by reducing an overall size of the device, resulting in an enhancement in device speed. This size reduction is generally referred to as device scaling. As MOSFETs are scaled to channel lengths below about 200 nm, conventional MOSFETs suffer from several problems.
  • An improvement in MOSFET performance and yield has been observed by incorporating the present invention into the MOSFET fabrication process. By aligning the MOSFET channel so that source-drain channel current flows in the (100) plane, manufacturing related defects and related leakage and parasitic currents are reduced. Another application of various embodiments of the present invention is in the fabrication of a specific type of MOSFET device called a FinFET. A FinFET is a MOSFET with a raised current channel (fin) that utilizes a gate electrode on at least three sides of the channel. Aligning the fin with the (100) plane results in a reduction in capacitance between the gate electrode and FinFET channel and body, and superior electrical isolation between the gate electrode and FinFET channel and body. A further benefit of this fabrication method utilizing a (100) channel direction is that the corners of the gate electrode are inherently rounded, reducing local electric fields and consequently increasing the breakdown voltage and improving uniformity of an electric field in a gate dielectric. Additionally, the (100) channel direction fabrication method described herein reduces stress in silicon “corners.” This benefit is especially pronounced during high temperature processing (e.g., during growth of a thermal silicon dioxide gate dielectric). One result of the reduction in stress is that, for example, less boron p-type doping atoms diffuse out of corner regions into any adjacent existing oxide or growing oxide. There is thus less segregation of the boron into the silicon dioxide. Silicon corner regions maintain a higher doping concentration and, hence, a higher MOS threshold voltage for formation of a parasitic channel in the finished device. Reduction or elimination in the formation of the parasitic channel at low MOS gate voltages produces a substantial reduction in leakage current of the device.
  • Concepts and techniques discussed herein may be added to various electronic devices as a mechanism by which leakage current is reduced. A skilled artisan will recognize that the present invention may be incorporated into other embodiments where parasitic device current, defects, and leakage current reduction is desired.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1A shows a schematic of a unit cell for a diamond cubic lattice crystal as known in the prior art.
  • FIGS. 1B-1D show various crystal plane orientations as known in the prior art.
  • FIG. 2 shows prior art alignment of a primary flat and device orientation on a commercially available epitaxial wafer.
  • FIG. 3 shows the alignment of a primary flat and device orientation on a wafer with a (100) primary flat orientation.
  • FIGS. 4A-4O show exemplary process steps for one embodiment of the present invention.
  • FIGS. 5A-5I show exemplary process steps for another embodiment of the present invention.
  • FIGS. 6A-6K show an exemplary FinFET device fabricated using various process steps of various embodiments of the present invention.
  • DETAILED DESCRIPTION
  • As device dimensions continue to shrink and thermal cycling continues to increase due to an increase in fabrication steps, defects (e.g., crystalline, contamination, etc.) have a more significant impact on device yield and performance. By aligning the primary flat (or notch) of, for example, an epi wafer with the (100) plane rather than the (110) plane, devices can be formed with traditional fabrication equipment wherein primary currents flow along the (100) plane rather than the (110) plane. In FIG. 3, an epi wafer 301, is shown with a single MOSFET device, including a source 305, a drain 307, and a gate 309 wherein a source-drain current channel is aligned to a primary flat 303. The primary flat 303 is aligned with the (100) plane. Fabricating devices with a primary current path aligned with the (100) plane reduces defects in and parallel to primary current paths and consequently reduces leakage and parasitic currents, as well as increases device yields.
  • An exemplary embodiment utilizing the present invention is an n-channel MOSFET device with source-drain current that flows along the (100) plane. While the (100) plane is referred to throughout, a skilled artisan will recognize that many equivalent planes will result in a similar advantageous intersect angle with {110}, and {111} planes. As MOSFET fabrication technology is well known in the art, the description that follows with reference to FIGS. 4A-4O and FIGS. 5A-5I is accordingly brief.
  • With reference to FIG. 4A, a substrate 401 has a dielectric layer 403 formed thereon. An active layer 405A is formed over the dielectric layer 403. In one specific exemplary embodiment, a combination of the substrate 401, the dielectric layer 403, and the active layer 405A is a silicon-on-insulator (SOI) wafer. In this embodiment, the dielectric layer 403 is a buried oxide layer having a nominal thickness of 500 nm with a practical range of thicknesses being about 15 nm to 1 μm. A thickness of the SOI active layer is nominally 2 μm with a practical range of thicknesses being about 0.3μ to 25 μm.
  • In another specific exemplary embodiment, the substrate 401 could be virtually any material capable of withstanding process temperatures and common chemicals encountered during semiconductor fabrication processes. Such materials would include quartz reticles or glass or plastic substrates (i.e., backplanes) used for flat panel displays. In this exemplary embodiment, the dielectric layer 403 may not be required. The active layer 405A could be a deposited polysilicon layer that is deposited and then annealed (e.g., by rapid thermal annealing (RTA) or excimer laser annealing (ELA)) to regain a monocrystalline form.
  • In another specific exemplary embodiment, the active layer 405A could be a thinned wafer bonded to a suitable substrate. In this embodiment, the bonded wafer is a doped p-type wafer with an epitaxial silicon layer formed thereon, although one skilled in the art will recognize that an n-type doped semiconductor wafer may be used to fabricate a p-type integrated circuit. Alternatively, a group III-V or II-VI bonded semiconductor substrate or an oxygen-implanted silicon (SIMOX) substrate may be used.
  • Overlying the active layer 405A is a first dielectric layer 407A, a second dielectric layer 409A, and a first photoresist layer 411A. In a specific exemplary embodiment, the two dielectric layers 407A, 409A are a pad oxide with a 20 nm nominal thickness (having a practical range of about 16 nm to 50 nm) and a 120 nm nitride layer (having a practical range of about 100 nm to 200 nm), respectively.
  • In FIG. 4B, the first photoresist layer 411A is patterned to produce an etched first photoresist layer 411B. The etched first photoresist layer 411B serves as a mask to etch exposed areas of the underlying active layer 405A. If the active layer 405A is comprised of silicon, silicon may be wet-etched, for example, with potassium hydroxide (KOH) or tetra-methyl ammonium hydroxide (TMAH). Dry-etch techniques, such as a reactive ion etch (RIE) with a fluorine rich plasma (e.g., SF6) are also known in the art for etching silicon. Once etched, an etched active layer 405B is produced having a plurality of etched trenches 413. The plurality of trenches 413 may be, for example, approximately 500 nm in depth but a useful range may span from 150 nm to 800 nm in depth.
  • The etched first photoresist layer 411B is then removed (FIG. 4C) and a liner dielectric layer 415 is formed over exposed areas of the etched active layer 405B (i.e., sidewalls and bottoms of the plurality of etched trenches 413). The liner dielectric layer 415 may be, for example, a thermal oxide grown to approximately 30 nm in thickness. The liner dielectric layer 415 may also be deposited by techniques known in the art (e.g., by chemical vapor deposition (CVD) or atomic layer deposition (ALD)).
  • In FIG. 4D, a second patterned and etched photoresist layer 417 serves as a mask for an ion implant 419 step. The ion implant 419 step produces a plurality of doped regions 421. As one of skill in the art recognizes, the ion implant 419 step may readily be substituted with a dopant diffusion step.
  • A shallow trench isolation (STI) blanket dielectric fill layer 423A is formed (e.g., oxide formed by CVD or high density plasma (HDP) assisted deposition) so as to cover the etched second dielectric layer 409B (FIG. 4E). A precise thickness of additional coverage is not critical but typically ranges from 50 nm to 300 nm over the etched second dielectric layer 409B. The dielectric fill layer 423A is then planarized (FIG. 4F) to be roughly coplanar with an uppermost portion of the etched second dielectric layer 409B. The planarization step may be accomplished by a chemical-mechanical planarization (CMP) step using an appropriate abrasive slurry. Based on film thicknesses given various specific exemplary embodiments, supra, a planarized STI dielectric fill 423B may be approximately 700 nm in thickness. Any remaining portion of the planarized STI dielectric fill 423B overlying the etched second dielectric layer 409B may be removed with a selective etchant, leaving an etched planarized STI dielectric fill 423C (FIG. 4G). For example, if the planarized STI dielectric fill 423B is comprised of oxide and the etched second dielectric layer 409B is nitride, a chemical etchant such as hydrofluoric acid (commonly contained in a standard buffered oxide etch (BOE)), or orthophosphoric acid, or alternatively a selective dry etch technique (e.g., reactive-ion-etching (RIE)) will effectively remove the oxide while having little effect on the nitride.
  • The etched second dielectric layer 409B is then removed (FIG. 4H) followed by removal of the etched first dielectric layer 407B (FIG. 4I). Assuming the etched first dielectric layer 407B and the etched planarized STI dielectric fill 423C are fabricated of materials having similar etch characteristics, removing the first dielectric layer 407B also produces a secondarily-etched planarized STI dielectric fill 423D.
  • With reference to FIG. 4J, a thin (e.g., approximately 20 nm) sacrificial dielectric layer 425 is either grown (e.g., if the material chosen for the sacrificial layer is silicon dioxide) or deposited on exposed areas of the etched active layer. The sacrificial dielectric layer 425 serves at least two purposes: (1) to remove contaminants, thereby cleaning exposed active regions; and (2) to act as a screening layer for subsequent dopant diffusions or implants (not shown). After the sacrificial dielectric layer 425 is formed, various device specific dopant regions may be added to the etched active layer 405B with additional photoresist masking steps added as required. For example, a doped channel region for an MOS device could be added at this point in the process flow. Such techniques are device dependent and are known to one of skill in the art.
  • The sacrificial dielectric layer 425 is then stripped (FIG. 4K). The sacrificial dielectric layer 425 may be stripped by various wet etch or dry etch techniques as described herein with reference to other similar film layers. If the sacrificial dielectric layer 425 and the STI dielectric fill 423D are formed from a similar material (e.g., both are comprised of oxide), then stripping the sacrificial dielectric layer 425 slightly thins the STI dielectric fill 423D as well, leaving a final STI dielectric 423E as indicated in FIG. 4K.
  • In FIG. 4L, a gate dielectric 427 is formed (e.g., by thermal oxidation or deposition) over now-cleaned and exposed areas of the etched active layer 405B. In a specific exemplary embodiment, the gate dielectric 427 is approximately 80 Å in thickness, although gate thicknesses from 20 Å-300 Å are known in the art.
  • A semiconductor gate layer 429A (e.g., polysilicon) is deposited (FIG. 4M). In a specific exemplary embodiment, the semiconductor gate layer is approximately 350 nm thick but may range in thickness from 150 nm to 600 nm. Functionally, the semiconductor gate layer 429A will serve various purposes, depending upon a type of device being fabricated. For example, in a Flash memory device, the semiconductor gate layer 429A could serve as a floating gate. In an MOS transistor, the semiconductor gate layer 429A could serve as a control gate.
  • With reference to FIG. 4N 1, a third patterned and etched photoresist layer 431 is formed, allowing etching and formation of an etched gate layer 429B. A physical width, “w,” of a final transistor fabricated from such a structure is indicated in FIG. 4N 1. In this arrangement, current flow in a final transistor form would be normal to the page view.
  • A magnified area “A” is shown in more detail in FIG. 4N 2. Where the etched active layer 405B has an orientation on, for example a wafer where the primary flat (or notch) is in a (100) plane (also referred to as a “C-Flat wafer, see FIG. 3), upper corners (i.e., proximate to STI top corners) of the etched active layer 405B are necessarily rounded during fabrication. This rounding produces a much more uniform electric field than the sharp corner produced by the prior art. When the electric field is more uniform, leakage current is suppressed by as much as two orders of magnitude between a MOSFET source and drain region. Consequently, leakage of charge into or through the gate dielectric 427 is diminished.
  • In FIG. 4O, a final gate 429C overlays a channel region of a MOS transistor (only a single gate is shown for clarity; a skilled artisan will recognize that gates may be located over each of the active areas overlaying the gate dielectric areas 427). The gate 429C is surrounded on either side by source and drain dopant regions. (Note: actual doped regions are not shown but merely indicated by areas 433 where such doped region will occur after subsequent processing. Such subsequent process steps are well-known to a skilled artisan.)
  • In another exemplary embodiment of the present invention and with reference to FIGS. 5A through 5I, additional and alternative fabrication techniques are depicted. Similar feature types defined in FIGS. 5A through 5I share similar range thicknesses as discussed with reference to FIGS. 4A through 4O, supra. A skilled artisan will also recognize that process steps may be intermixed between fabrication steps outlined between the various sets of figures.
  • In FIG. 5A, a substrate 500 has a dielectric layer 501 formed thereon. In a specific exemplary embodiment, the substrate 500 is a doped p-type wafer with an epitaxial silicon layer formed thereon, although one skilled in the art will recognize that an n-type doped semiconductor wafer may be used to fabricate a p-type integrated circuit. Alternatively, any of the substrate variations described with reference to FIG. 4A, supra, may be used. The epitaxial silicon layer is doped with a lower concentration of a dopant of the same type as the substrate 500. A polysilicon layer 503, is then formed by, for example, chemical vapor deposition (CVD). In a specific exemplary embodiment, the dielectric layer 501 is silicon dioxide, formed by, for example, thermal oxidation.
  • In FIG. 5B, a second dielectric layer 504 is formed over the polysilicon layer 503. In a specific exemplary embodiment, the second dielectric layer 504 is silicon dioxide and is formed by, for example, CVD.
  • With reference to FIG. 5C, a photoresist mask 505, with patterned apertures exposing a source region aperture 507, and a drain region aperture 509 in the second dielectric layer 504 is formed over the polysilicon layer 503. Both a plan view and cross section are shown.
  • With reference to FIG. 5D, the second dielectric layer 504 has been etched to reveal a source window 511, and a drain window 513; the source window 511 and drain window 513 being aligned along a (100) plane so as to result in current flow along the (100) plane. The photoresist mask 505 has been removed. In a specific exemplary embodiment, the second dielectric layer 504 is etched using hydrofluoric acid, which attacks silicon dioxide rapidly with respect to the photoresist layer 504 and the polysilicon layer 503. In a subsequent step, the source and drain windows 511, 513, are further doped with an n-type dopant, for example, phosphorous, creating a source region 515, and a drain region 517. In a specific exemplary embodiment, the doping is achieved by ion-implantation, although alternative means of doping, such as diffusion, can be used. As is known to a skilled artisan, concentration levels will vary dependent on device type. The second dielectric layer 504 is used to mask the area which is doped.
  • With reference to FIG. 5E, a third dielectric layer 519, is formed over the second dielectric layer 504 by, for example, physical vapor deposition (PVD). While some of the dopant will diffuse into the epitaxial semiconductor layer 503 and the third dielectric layer 519, the concentration of dopant will remain much higher in the implanted region with respect to the epitaxial semiconductor layer 503.
  • With reference to FIG. 5F, the central portion of the third dielectric layer 519 has been etched to a level coplanar with the surface of the source and drain regions 515, 517. The etched area defines a gate region (discussed below).
  • With reference to FIG. 5G, a gate dielectric 520, is formed over the gate region. In an exemplary embodiment, a thin oxide layer is formed by, for example, thermal oxidization. In a subsequent step, contact holes 521, 523, are formed using a photoresist to define the area to be etched, then etching the oxide layer 519 by, for example, hydrofluoric acid. FIG. 5G includes a top view as well.
  • With reference to FIG. 5H, a metallization layer 525, is conformally formed by, for example, ion beam deposition. In a specific embodiment, the metallization layer 525 is aluminum.
  • With reference to FIG. 5I, a photoresist layer (not shown) is applied to the metallization layer 525 and is patterned to result in electrical separation of a source contact 527, a drain contact 529, and a gate contact 531. The metallization layer is etched by, for example, ion beam milling. A top view is included.
  • By fabricating the device with the source and drain aligned with the (100) plane so that source-drain channel current flows along the (100) plane, fabrication induced crystal defects and resultant leakage and parasitic device currents can be reduced.
  • Another exemplary embodiment utilizing the present invention is a FinFET device with source-drain current that flows along a (100) plane. In a specific embodiment, a silicon substrate with a commercially available epitaxial silicon layer grown on the surface is used. While the (100) plane is referred to throughout, a skilled artisan will recognize many equivalent planes that will result in an advantageous intersect angle with {110}, and {111} planes.
  • With reference to FIG. 6A, a silicon portion 601A of a silicon-on-insulator (SOI) substrate has a thin silicon dioxide layer 603A, a thicker silicon nitride layer 605A, and a patterned photoresist mask layer 607. In a specific exemplary embodiment, the substrate is a silicon-on-insulator wafer. However, a skilled artisan will recognize that other semiconductor materials may be used instead of an SOI wafer for the substrate. Other semiconductor materials include, for example, elemental semiconductors such as germanium, compound semiconductors such as group III-V, and II-VI materials, and semiconducting alloys (e.g., AlxGa1-xAs, HG1-xCDxTe). If elemental semiconductors other than silicon, or compound semiconductors are employed, an atomic layer deposition (ALD) process may be employed for producing thin, high quality oxide layers.
  • The silicon dioxide layer 603A is a pad oxide to prevent thermally-induced stresses from developing between particular dissimilar materials, such as between silicon and the silicon nitride layer 605A. The silicon dioxide layer 603A may be thermally grown or deposited. The silicon nitride layer 605A is then formed over the silicon dioxide layer 603A by, for example, chemical vapor deposition (CVD). In a specific exemplary embodiment, the silicon dioxide layer 605A is between 50 Å and 200 Å while the silicon nitride layer 605A is between 400 Å and 2000 Å. The patterned photoresist mask layer 607 may be repeated a number of times and disposed laterally over a surface of the substrate 601A to fabricate multiple surrounded-gate devices. For clarity, only one such device will be shown and described herein.
  • FIG. 6B indicates a fin area 602 being fabricated from the silicon portion of an SOI wafer, exposing an insulating portion 601B. To form the fin area 602, the photoresist mask layer 607 defines an area for which underlying areas will not be etched. These layers (i.e., the silicon nitride layer 605A and silicon dioxide layer 603A) are etched in accordance with methods well-known in the semiconductor arts. For example, depending upon a chemical composition of a given layer, etching may be accomplished through various wet etch (e.g., in hydrofluoric acid, such as contained in a standard buffered oxide etch, or orthophosphoric acid) or dry-etch techniques (e.g., reactive-ion etch (RIE)). Once an etched silicon nitride layer 605B and an etched silicon dioxide layer 603B are formed, the underlying substrate 601A is etched, defining the etched substrate 601B. If the substrate 601A is comprised of silicon, silicon may be wet-etched, for example, with potassium hydroxide (KOH) or tetra-methyl ammonium hydroxide (TMAH). Dry-etch techniques, such as a reactive ion etch (RIE) with a fluorine rich plasma (e.g., SF6) are also known in the art for etching silicon. The fin is aligned along the (100) plane so that source-drain current will flow along the (100) plane.
  • A sidewall slope of the fin area 602 may be controlled through a choice of the chemistry used in a dry-etch recipe and/or through a choice of the substrate 601A if a monocrystalline semiconductor is used. If a silicon wafer is chosen for the substrate 601A, a dry-etch process may be chosen to etch approximately 90° sidewalls on the fin area 602. Therefore, the fin area 602 can be fabricated in such a way so as to maximize a given surface area to volume ratio of the fin 602 thereby allowing electrical characteristics (e.g., carrier mobility) of the FET device to be modified and tuned.
  • After producing the fin area 602, the photoresist mask layer 607 is removed (FIG. 6C) and a gate oxide layer 609 is thermally grown (FIG. 6D) after an appropriate pre-oxidation clean. In other exemplary embodiments, the gate oxide layer may be conformally deposited by CVD. Thinner layers of gate oxide (e.g., 20 Å to 30 Å) may be deposited by techniques such as atomic layer deposition (ALD).
  • In FIG. 6E, a dielectric fill layer 611A is deposited over the gate oxide layer 609. The dielectric fill process may be similar to shallow-trench isolation (STI) type fills known in the art, for example, a high density plasma (HDP) oxide fill. The dielectric fill layer 611A may be comprised of any insulative material such as silicon dioxide, sapphire, borophosphosilicate glass (BPSG), or any of a number of other materials deposited or otherwise formed over the gate oxide layer 609. The dielectric fill layer 611A may also be comprised of oxynitride or a high-k dielectric material. However, if oxynitride is used for the dielectric fill layer 611A, then an additional masking step will be used to prevent the etched oxynitride layer 605B from being etched away in subsequent process steps. The dielectric fill layer 611A may be brought to a level roughly coplanar with an uppermost part of the etched silicon nitride layer 605B through, for example, chemical mechanical planarization (CMP).
  • The dielectric fill layer 611A is then etched, producing an etched dielectric fill layer 611B (FIG. 6F). A high-selectivity etchant prevents the etched silicon nitride layer 605B from being substantially etched away during the dielectric fill layer 611A etch. Further, if the gate oxide layer 609 is comprised of thermally grown silicon dioxide, the gate oxide layer 609 will etch more slowly than an HDP layer used for the dielectric fill layer 611A (i.e., an etch rate of thermal oxide is lower than HDP oxide as HDP is less dense). If an exposed portion of the gate oxide layer 609 (i.e., the portion above the etched dielectric fill layer 611B) is not etched completely, it will be removed prior to a final gate oxidation step described infra.
  • With reference to FIG. 6G, the etched silicon nitride layer 605B, the etched silicon dioxide layer 603B, and exposed portions of the gate oxide layer 609 are substantially etched away using techniques known to a skilled artisan. An exposed section of the fin area 602 defines an active region of the FET device. The active region has a given height, H, length, L1, and width, W. In a specific exemplary embodiment, the active region height, H, is approximately 100 nm to 450 nm. The width of the active region, W, is approximately 100 nm or less and the length, L1, is dependent on a number of factors such as device design rules and gate widths.
  • A thermal oxidation, ALD, or high-k oxide deposition process forms a final thin gate oxide 613A (FIG. 6H) over the active region. In a specific exemplary embodiment, the final thin gate oxide is grown or deposited to a thickness of approximately 20 Å to 30 Å. A polysilicon layer 615A is then conformally deposited (FIG. 6H). The polysilicon layer 615A will form a gate region, described infra. A patterned second photoresist layer 617 is formed and patterned to define the gate; the gate having a width commensurate with a length, L2, of the patterned second photoresist layer 617. FIG. 6I is a plan view of the FET device and thus provides clarity in understanding a layout of the device after the second photoresist layer 617 is added. The hidden section is an uppermost portion of the channel active region of FIG. 6G.
  • With reference to FIG. 6J, a selective etch process (either wet-etch or dry-etch) is used to fully define a gate region 615B of the device. Generally, a high selectivity to either silicon or silicon dioxide is accomplished using CHF3/O2, CH2F2, or CH3F chemistry. Doped areas are added (e.g., by diffusion or implantation) to define a drain contact region 619 and a source contact region 621 of the device. The patterned second photoresist layer 617 is then removed. FIG. 6K provides a plan view—showing source, gate, and drain contact areas—of a completed FinFET device fabricated according to exemplary embodiments descried herein.
  • In the foregoing specification, the present invention has been described with reference to specific embodiments thereof. It will, however, be evident to a skilled artisan that various modifications and changes can be made thereto without departing from the broader spirit and scope of the invention as set forth in the appended claims. For example, skilled artisans will appreciate that other types of semiconducting (e.g., any crystalline semiconducting material) and insulating materials other than those listed may be employed. Additional particular process fabrication and deposition techniques, such as low pressure chemical vapor deposition (LPCVD), ultra-high vacuum CVD (UHCVD), and low pressure tetra-ethoxysilane (LPTEOS) may be readily employed for various layers and still be within the scope of the present invention. Although the exemplary embodiments are described in terms of MOS integrated circuit devices, a person of ordinary skill in the art will recognize that other fabrication techniques, such as bipolar or BiCMOS techniques, may readily be employed as well.
  • While fabrication methods aligning primary device current with the (100) plane is referred to with respect to the exemplary embodiments included herein, a skilled artisan will recognize the use of many equivalent planes that will result in an advantageous intersect angle with {110}, and {111} planes without departing from the scope of the present invention. Additionally, concepts and techniques discussed herein may be added to various electronic devices as a mechanism by which leakage current is reduced. The specification and drawings are, accordingly, to be regarded in an illustrative rather than a restrictive sense.

Claims (51)

1. A method of fabricating an integrated circuit (IC) device, the method comprising:
providing a substrate having at least an uppermost portion comprised of a crystalline semiconducting material;
forming a source region on the uppermost portion of the substrate, the source region being doped with a first dopant having a first type of majority carrier;
forming a drain region, the drain region being doped with a second dopant supporting a same-type majority carrier as the first dopant, the drain region being aligned with the source region such that any source-drain current flows substantially parallel to a {100} plane of the crystalline semiconducting material portion of the substrate, the drain region being coupled to the source region by a channel region within the uppermost portion of the substrate; and
forming a gate region, the gate region having a third dopant, the third dopant supporting a majority carrier of opposite polarity to that of the first dopant, the gate region overlying the channel region, the gate region further being coupled to the channel region by a dielectric layer.
2. The method of claim 1 wherein the substrate is selected to be an elemental semiconductor.
3. The method of claim 2 wherein the elemental semiconductor is selected to be silicon.
4. The method of claim 1 wherein the substrate is selected to be a compound semiconductor.
5. The method of claim 1 wherein the substrate is selected to be silicon-on-insulator (SOI).
6. The method of claim 1 wherein the substrate is selected to be oxygen-implanted silicon (SIMOX).
7. The method of claim 1 wherein the crystalline semiconducting material is selected to be comprised substantially of silicon.
8. An integrated circuit (IC) device comprising:
a substrate having at least an uppermost portion comprised of a crystalline semiconducting material;
a source region on the uppermost portion of the substrate having a first doped region, the first doped region supporting a first type of majority carrier;
a drain region having a second doped region, the second doped region supporting the first type of majority carrier, the drain region being aligned with the source region such that any source-drain current flows substantially parallel to a {100} plane of the crystalline material portion of the substrate; and
a gate region, the gate region being coupled to the channel region by a dielectric layer.
9. The IC device of claim 8 wherein the substrate is an elemental semiconductor.
10. The IC device of claim 9 wherein the elemental semiconductor is silicon.
11. The IC device of claim 8 wherein the substrate is a compound semiconductor.
12. The IC device of claim 8 wherein the substrate is silicon-on-insulator (SOI).
13. The IC device of claim 8 wherein the substrate is oxygen-implanted silicon (SIMOX).
14. The IC device of claim 8 wherein the crystalline semiconducting material is comprised substantially of silicon.
15. A method for forming an integrated circuit (IC) device, the method comprising:
providing a substrate having at least an uppermost portion comprised of a crystalline semiconducting material;
forming a fin on the uppermost portion of the substrate, the fin having a given width and length, the fin arranged such that the length of the fin is aligned substantially parallel to a {100} plane of the crystalline semiconducting material portion of the substrate;
forming a dielectric layer over the fin;
forming a gate region over the dielectric layer, the gate region covering a channel, the channel being doped with a material to support a first type of majority carrier; and
doping portions of the fin not covered by the gate region, the dopant supporting a second type of majority carrier.
16. The method of claim 15 wherein the substrate is selected to be an elemental semiconductor.
17. The method of claim 16 wherein the elemental semiconductor is selected to be silicon.
18. The method of claim 15 wherein the substrate is selected to be a compound semiconductor.
19. The method of claim 15 wherein the substrate is selected to be silicon-on-insulator (SOI).
20. The method of claim 15 wherein the substrate is selected to be oxygen-implanted silicon (SIMOX).
21. The method of claim 15 wherein the crystalline semiconductor material is selected to be comprised substantially of silicon.
22. An integrated circuit (IC) device, comprising:
a substrate having at least an uppermost portion comprised of a crystalline semiconducting material;
a fin, the fin having a given width and length, the fin arranged such that a current path of the fin is substantially parallel to a {100} plane of the crystalline semiconducting material, the fin further comprising:
a source region, the source region being doped with a first dopant which supports a first type of majority carrier;
a drain region, the drain region being doped with a second dopant which supports the first type of majority carrier; and
a channel region, the channel region being interposed between the source region and the drain region; and
a gate region, the gate region being formed on at least three sides of the channel region, the gate region being separated from the channel region by a thin dielectric layer, the gate region being doped with a dopant which supports a second type of majority carrier.
23. The IC device of claim 22 wherein the substrate is silicon-on-insulator (SOI).
24. The IC device of claim 22 wherein the substrate is oxygen-implanted silicon (SIMOX).
25. The IC device of claim 22 wherein the crystalline semiconducting material is comprised substantially of silicon.
26. A method of fabricating an integrated circuit (IC) device, the method comprising:
providing a substrate having at least an uppermost portion comprised of a crystalline semiconducting material;
providing an area for forming a source region on a first surface of the uppermost portion of the substrate;
providing an area for forming a drain region in proximity to the source region area, the drain region area being aligned with the source region area such that any source-drain current generated flows substantially parallel to a {100} plane of the crystalline semiconducting material portion of the substrate, the drain region being coupled to the source region by a channel region area within the first surface of the uppermost portion of the substrate; and
providing an area for forming a gate region, the gate region area overlying the channel region, the gate region area being coupled to the channel region by a dielectric layer.
27. The method of claim 26 further comprising forming shallow trench isolation features on the first surface of the semiconducting material.
28. The method of claim 27 wherein the shallow trench isolation features are formed by:
etching a trench into the uppermost portion of the crystalline semiconducting material; and
filling the trench with a dielectric fill material.
29. The method of claim 27 further comprising locating the shallow trench isolation feature between active areas located on the first surface of the uppermost portion of the substrate.
30. The method of claim 26 wherein the substrate is selected to be an elemental semiconductor.
31. The method of claim 30 wherein the elemental semiconductor is selected to be silicon.
32. The method of claim 26 wherein the substrate is selected to be silicon-on-insulator (SOI).
33. A method of fabricating an integrated circuit (IC) device, the method comprising:
providing a substrate having at least an uppermost portion comprised of a crystalline semiconducting material;
providing an area for forming a source region on a first surface of the uppermost portion of the substrate;
providing an area for forming a drain region in proximity to the source region area; and
locating the source area and the drain area with respect to each other such that a line drawn between them is substantially orthogonal to a [100] direction of the crystalline semiconducting material.
34. The method of claim 33 wherein the step of locating the source area and the drain area further includes aligning the drain region area with the source region area such that any source-drain current generated flows substantially parallel to a {100} plane of the crystalline semiconducting material portion of the substrate.
35. The method of claim 34 further comprising:
coupling the drain region to the source region by forming a channel region within the first surface of the uppermost portion of the substrate; and
providing an area for forming a gate region, the gate region overlying the channel region, the gate region area being coupled to the channel region by forming a dielectric layer.
36. The method of claim 33 further comprising forming shallow trench isolation features on the first surface of the semiconducting material.
37. The method of claim 36 wherein the shallow trench isolation features are formed by:
etching a trench into the uppermost portion of the crystalline semiconducting material; and
filling the trench with a dielectric fill material.
38. The method of claim 36 further comprising locating the shallow trench isolation feature between active areas located on the first surface of the uppermost portion of the substrate.
39. An integrated circuit (IC) device comprising:
a substrate having at least an uppermost portion comprised of a crystalline semiconducting material;
a source region on the uppermost portion of the substrate; and
a drain region located in proximity to the source region and aligned with the source region such that a line drawn between the source region and the drain region is substantially orthogonal to a [100] direction of the crystalline semiconducting material.
40. The integrated circuit (IC) device of claim 39, further comprising a channel region interposed between the source region and the drain region, the channel region being aligned that any source-drain current flowing through the channel region flows substantially parallel to a {100} plane of the crystalline material portion of the substrate.
41. The integrated circuit (IC) device of claim 39 further comprising a gate region coupled to the channel region by a dielectric layer.
42. The integrated circuit (IC) device of claim 39 wherein the substrate is an elemental semiconductor.
43. The integrated circuit (IC) device of claim 42 wherein the elemental semiconductor is silicon.
44. The integrated circuit (IC) device of claim 39 wherein the substrate is a compound semiconductor.
45. The IC integrated circuit (IC) device of claim 39 wherein the substrate is silicon-on-insulator (SOI).
46. The IC integrated circuit (IC) device of claim 39 wherein the substrate is oxygen-implanted silicon (SIMOX).
47. The integrated circuit (IC) device of claim 39 wherein the crystalline semiconducting material is comprised substantially of silicon.
48. An integrated circuit (IC) device, comprising:
a substrate having at least an uppermost portion comprised of a crystalline semiconducting material;
a fin, the fin having a given width and length with rounded uppermost edges, the fin arranged such that a current path of the fin is substantially parallel to a {100} plane of the crystalline semiconducting material, the fin further comprising:
a source region, a drain region, and a channel region, the channel region being interposed between the source region and the drain region; and
a gate region, the gate region being formed on at least three sides of the channel region, the gate region being separated from the channel region by a thin dielectric layer.
49. The integrated circuit (IC) device of claim 48 wherein the substrate is silicon-on-insulator (SOI).
50. The integrated circuit (IC) device of claim 48 wherein the substrate is oxygen-implanted silicon (SIMOX).
51. The IC device of claim 48 wherein the crystalline semiconducting material is comprised substantially of silicon.
US11/397,784 2006-04-04 2006-04-04 Method and manufacturing low leakage MOSFETs and FinFETs Abandoned US20070228425A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US11/397,784 US20070228425A1 (en) 2006-04-04 2006-04-04 Method and manufacturing low leakage MOSFETs and FinFETs
US13/174,398 US8378414B2 (en) 2006-04-04 2011-06-30 Low leakage FINFETs

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/397,784 US20070228425A1 (en) 2006-04-04 2006-04-04 Method and manufacturing low leakage MOSFETs and FinFETs

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/174,398 Division US8378414B2 (en) 2006-04-04 2011-06-30 Low leakage FINFETs

Publications (1)

Publication Number Publication Date
US20070228425A1 true US20070228425A1 (en) 2007-10-04

Family

ID=38557516

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/397,784 Abandoned US20070228425A1 (en) 2006-04-04 2006-04-04 Method and manufacturing low leakage MOSFETs and FinFETs
US13/174,398 Active 2026-05-11 US8378414B2 (en) 2006-04-04 2011-06-30 Low leakage FINFETs

Family Applications After (1)

Application Number Title Priority Date Filing Date
US13/174,398 Active 2026-05-11 US8378414B2 (en) 2006-04-04 2011-06-30 Low leakage FINFETs

Country Status (1)

Country Link
US (2) US20070228425A1 (en)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080076256A1 (en) * 2006-09-22 2008-03-27 Disco Corporation Via hole forming method
US20080085587A1 (en) * 2006-10-04 2008-04-10 Micron Technology, Inc. Epitaxial silicon growth
WO2009116015A1 (en) * 2008-03-20 2009-09-24 Nxp B.V. Finfet transistor with high-voltage capability and cmos-compatible method for fabricating the same
CN102074572A (en) * 2009-10-28 2011-05-25 台湾积体电路制造股份有限公司 Integrated circuit structure
US20110169101A1 (en) * 2008-09-16 2011-07-14 Gerben Doornbos Fin Field Effect Transistor (FINFET)
US20120061740A1 (en) * 2006-07-14 2012-03-15 Micron Technology, Inc. Subresolution silicon features and methods for forming the same
US20130221413A1 (en) * 2012-02-27 2013-08-29 International Business Machines Corporation Divot-free planarization dielectric layer for replacement gate
US20140197465A1 (en) * 2013-01-14 2014-07-17 Samsung Electronics Co., Ltd. Nonvolatile memory devices with aligned trench isolation regions
US9048120B2 (en) 2012-11-26 2015-06-02 Samsung Electronics Co., Ltd. Integrated junction and junctionless nanotransistors
US10121878B1 (en) 2017-09-21 2018-11-06 Globalfoundries Inc. LDMOS finFET structures with multiple gate structures
US10164006B1 (en) 2017-10-30 2018-12-25 Globalfoundries Inc. LDMOS FinFET structures with trench isolation in the drain extension
US10290712B1 (en) 2017-10-30 2019-05-14 Globalfoundries Inc. LDMOS finFET structures with shallow trench isolation inside the fin
US10644149B1 (en) 2018-10-26 2020-05-05 Globalfoundries Inc. LDMOS fin-type field-effect transistors including a dummy gate
US11195947B2 (en) 2019-10-24 2021-12-07 Globalfoundries U.S. Inc. Semiconductor device with doped region adjacent isolation structure in extension region
US11437514B2 (en) * 2007-05-14 2022-09-06 Intel Corporation Semiconductor device having tipless epitaxial source/drain regions

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8445947B2 (en) * 2008-07-04 2013-05-21 Stmicroelectronics (Rousset) Sas Electronic circuit having a diode-connected MOS transistor with an improved efficiency
US8889494B2 (en) * 2010-12-29 2014-11-18 Globalfoundries Singapore Pte. Ltd. Finfet
US8815742B2 (en) 2012-12-12 2014-08-26 Globalfoundries Inc. Methods of forming bulk FinFET semiconductor devices by performing a liner recessing process to define fin heights and FinFET devices with such a recessed liner
US8835262B2 (en) * 2013-01-08 2014-09-16 Globalfoundries Inc. Methods of forming bulk FinFET devices by performing a recessing process on liner materials to define different fin heights and FinFET devices with such recessed liner materials
US9892922B1 (en) 2013-07-05 2018-02-13 Altera Corporation Methods for fabricating integrated circuits with triple gate oxide devices
KR102105363B1 (en) 2013-11-21 2020-04-28 삼성전자 주식회사 Semiconductor device and fabricating method thereof
KR102150969B1 (en) 2013-12-05 2020-10-26 삼성전자주식회사 Semiconductor device and method of manufacturing the same
US9257556B2 (en) * 2014-01-03 2016-02-09 Qualcomm Incorporated Silicon germanium FinFET formation by Ge condensation
US10347720B2 (en) 2017-10-30 2019-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Doping for semiconductor device with conductive feature

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5729045A (en) * 1996-04-02 1998-03-17 Advanced Micro Devices, Inc. Field effect transistor with higher mobility
US6107661A (en) * 1995-09-29 2000-08-22 Nippondenso Co., Ltd. Semiconductor device and method of manufacturing same
US6188104B1 (en) * 1997-03-27 2001-02-13 Samsung Electronics Co., Ltd Trench DMOS device having an amorphous silicon and polysilicon gate
US6417107B1 (en) * 1998-06-18 2002-07-09 Kabushiki Kaisha Toshiba Method for manufacturing a functional device by forming 45-degree-surface on (100) silicon
US6537893B2 (en) * 2001-06-07 2003-03-25 Cypress Semiconductor Corp. Substrate isolated transistor
US20030173333A1 (en) * 2000-03-27 2003-09-18 Applied Materials, Inc. Two-stage etching process
US6630389B2 (en) * 2001-02-06 2003-10-07 Denso Corporation Method for manufacturing semiconductor device
US20040150096A1 (en) * 2003-02-03 2004-08-05 International Business Machines Corporation Capping coating for 3D integration applications
US6864534B2 (en) * 2000-10-25 2005-03-08 Renesas Technology Corp. Semiconductor wafer
US6870226B2 (en) * 2002-10-17 2005-03-22 Renesas Technology Corp. Semiconductor device and method of manufacturing same
US20050142800A1 (en) * 2003-12-30 2005-06-30 Choi Chee H. Semiconductor device isolation method

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6657259B2 (en) * 2001-12-04 2003-12-02 International Business Machines Corporation Multiple-plane FinFET CMOS
KR100585111B1 (en) * 2003-11-24 2006-06-01 삼성전자주식회사 Non-planar transistor having germanium channel region and method for forming the same
US7385247B2 (en) * 2004-01-17 2008-06-10 Samsung Electronics Co., Ltd. At least penta-sided-channel type of FinFET transistor
KR100591770B1 (en) * 2004-09-01 2006-06-26 삼성전자주식회사 Flash memory device using a semiconductor fin and method for fabricating the same

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6107661A (en) * 1995-09-29 2000-08-22 Nippondenso Co., Ltd. Semiconductor device and method of manufacturing same
US5729045A (en) * 1996-04-02 1998-03-17 Advanced Micro Devices, Inc. Field effect transistor with higher mobility
US5970330A (en) * 1996-04-02 1999-10-19 Advanced Micro Services, Inc. Method of making field effect transistor with higher mobility
US6188104B1 (en) * 1997-03-27 2001-02-13 Samsung Electronics Co., Ltd Trench DMOS device having an amorphous silicon and polysilicon gate
US6417107B1 (en) * 1998-06-18 2002-07-09 Kabushiki Kaisha Toshiba Method for manufacturing a functional device by forming 45-degree-surface on (100) silicon
US20030173333A1 (en) * 2000-03-27 2003-09-18 Applied Materials, Inc. Two-stage etching process
US6864534B2 (en) * 2000-10-25 2005-03-08 Renesas Technology Corp. Semiconductor wafer
US6630389B2 (en) * 2001-02-06 2003-10-07 Denso Corporation Method for manufacturing semiconductor device
US6537893B2 (en) * 2001-06-07 2003-03-25 Cypress Semiconductor Corp. Substrate isolated transistor
US6870226B2 (en) * 2002-10-17 2005-03-22 Renesas Technology Corp. Semiconductor device and method of manufacturing same
US20040150096A1 (en) * 2003-02-03 2004-08-05 International Business Machines Corporation Capping coating for 3D integration applications
US20050142800A1 (en) * 2003-12-30 2005-06-30 Choi Chee H. Semiconductor device isolation method

Cited By (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8981444B2 (en) * 2006-07-14 2015-03-17 Round Rock Research, Llc Subresolution silicon features and methods for forming the same
US20120061740A1 (en) * 2006-07-14 2012-03-15 Micron Technology, Inc. Subresolution silicon features and methods for forming the same
US20080076256A1 (en) * 2006-09-22 2008-03-27 Disco Corporation Via hole forming method
US7906830B2 (en) 2006-10-04 2011-03-15 Micron Technology, Inc. Epitaxial silicon growth
US7498265B2 (en) * 2006-10-04 2009-03-03 Micron Technology, Inc. Epitaxial silicon growth
US20110163354A1 (en) * 2006-10-04 2011-07-07 Micron Technology, Inc. Epitaxial silicon growth
US20080085587A1 (en) * 2006-10-04 2008-04-10 Micron Technology, Inc. Epitaxial silicon growth
US8445387B2 (en) 2006-10-04 2013-05-21 Micron Technology, Inc. Epitaxial silicon growth
US8759944B2 (en) 2006-10-04 2014-06-24 Micron Technology, Inc. Epitaxial silicon growth
US11437514B2 (en) * 2007-05-14 2022-09-06 Intel Corporation Semiconductor device having tipless epitaxial source/drain regions
WO2009116015A1 (en) * 2008-03-20 2009-09-24 Nxp B.V. Finfet transistor with high-voltage capability and cmos-compatible method for fabricating the same
US20110006369A1 (en) * 2008-03-20 2011-01-13 Nxp B.V. Finfet transistor with high-voltage capability and cmos-compatible method for fabricating the same
US8541267B2 (en) 2008-03-20 2013-09-24 Nxp B.V. FinFET transistor with high-voltage capability and CMOS-compatible method for fabricating the same
US20110169101A1 (en) * 2008-09-16 2011-07-14 Gerben Doornbos Fin Field Effect Transistor (FINFET)
US20150200302A1 (en) * 2008-09-16 2015-07-16 Taiwan Semiconductor Manufacturing Co., Ltd Fin field effect transistor (finfet)
CN102217074B (en) * 2008-09-16 2015-08-12 台湾积体电路制造股份有限公司 Fin formula field effect transistor (FINFET)
CN102217074A (en) * 2008-09-16 2011-10-12 台湾积体电路制造股份有限公司 Fin field effect transistor (FIN FET)
US8994112B2 (en) * 2008-09-16 2015-03-31 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (finFET)
US9793408B2 (en) * 2008-09-16 2017-10-17 Taiwan Semiconductor Manufacturing Co., Ltd Fin field effect transistor (FinFET)
CN102074572A (en) * 2009-10-28 2011-05-25 台湾积体电路制造股份有限公司 Integrated circuit structure
TWI424528B (en) * 2009-10-28 2014-01-21 Taiwan Semiconductor Mfg Integrated circuit structure
US20130221413A1 (en) * 2012-02-27 2013-08-29 International Business Machines Corporation Divot-free planarization dielectric layer for replacement gate
US9876091B2 (en) 2012-02-27 2018-01-23 International Business Machines Corporation Divot-free planarization dielectric layer for replacement gate
US9356121B2 (en) 2012-02-27 2016-05-31 International Business Machines Corporation Divot-free planarization dielectric layer for replacement gate
US9048120B2 (en) 2012-11-26 2015-06-02 Samsung Electronics Co., Ltd. Integrated junction and junctionless nanotransistors
US9171845B2 (en) 2012-11-26 2015-10-27 Samsung Electronics Co., Ltd. Integrated junction and junctionless nanotransistors
US20140197465A1 (en) * 2013-01-14 2014-07-17 Samsung Electronics Co., Ltd. Nonvolatile memory devices with aligned trench isolation regions
US9190464B2 (en) * 2013-01-14 2015-11-17 Samsung Electronics Co., Ltd. Nonvolatile memory devices with aligned trench isolation regions
KR102046761B1 (en) * 2013-01-14 2019-12-02 삼성전자 주식회사 Nonvolatile memory device
KR20140091960A (en) * 2013-01-14 2014-07-23 삼성전자주식회사 Nonvolatile memory device
US10121878B1 (en) 2017-09-21 2018-11-06 Globalfoundries Inc. LDMOS finFET structures with multiple gate structures
US10164006B1 (en) 2017-10-30 2018-12-25 Globalfoundries Inc. LDMOS FinFET structures with trench isolation in the drain extension
US10290712B1 (en) 2017-10-30 2019-05-14 Globalfoundries Inc. LDMOS finFET structures with shallow trench isolation inside the fin
US10644149B1 (en) 2018-10-26 2020-05-05 Globalfoundries Inc. LDMOS fin-type field-effect transistors including a dummy gate
US11195947B2 (en) 2019-10-24 2021-12-07 Globalfoundries U.S. Inc. Semiconductor device with doped region adjacent isolation structure in extension region

Also Published As

Publication number Publication date
US20110260250A1 (en) 2011-10-27
US8378414B2 (en) 2013-02-19

Similar Documents

Publication Publication Date Title
US8378414B2 (en) Low leakage FINFETs
US11133387B2 (en) FinFETs having dielectric punch-through stoppers
US9735042B2 (en) Dielectric punch-through stoppers for forming FinFETs having dual Fin heights
US9721829B2 (en) FinFETs with different fin height and EPI height setting
US7612405B2 (en) Fabrication of FinFETs with multiple fin heights
KR100440508B1 (en) Integrated cmos circuit arrangement and method for the manufacture thereof"
US7154118B2 (en) Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
KR100699839B1 (en) Semiconductor device having multi-channel and Method of manufacturing the same
US7422956B2 (en) Semiconductor device and method of making semiconductor device comprising multiple stacked hybrid orientation layers
CN100334741C (en) Strained fin fets structure and method
KR101115091B1 (en) Semiconductor structure with different lattice constant materials and method for forming the same
US20070221956A1 (en) Semiconductor device and method of fabricating the same
US6787423B1 (en) Strained-silicon semiconductor device
KR100340878B1 (en) Method for fabricating soi device
US10121870B1 (en) Semiconductor device structure with strain-relaxed buffer
US20120205742A1 (en) Semiconductor-on-insulator (soi) structure and method of forming the soi structure using a bulk semiconductor starting wafer
US8389391B2 (en) Triple-gate transistor with reverse shallow trench isolation
US6617202B2 (en) Method for fabricating a full depletion type SOI device
US7118973B1 (en) Method of forming a transistor with a channel region in a layer of composite material
WO2007077540A1 (en) Method of manufacturing a semiconductor device and semiconductor device obtained with such a method
US7541258B2 (en) Method of manufacturing semiconductor substrate and method of manufacturing semiconductor device
US7098095B1 (en) Method of forming a MOS transistor with a layer of silicon germanium carbon
KR940010920B1 (en) Manufacturing method of soi structure semiconductor
KR950003900B1 (en) Semiconductor device manufacturing method for soi structure
KR20010004601A (en) Method of manufacturing SOI device having double gate

Legal Events

Date Code Title Description
AS Assignment

Owner name: ATMEL CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:MILLER, GAYLE W.;DUDEK, VOLKER;GRAF, MICHAEL;REEL/FRAME:018025/0052;SIGNING DATES FROM 20060321 TO 20060327

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION