US20070222000A1 - Method of forming silicided gate structure - Google Patents

Method of forming silicided gate structure Download PDF

Info

Publication number
US20070222000A1
US20070222000A1 US11/756,131 US75613107A US2007222000A1 US 20070222000 A1 US20070222000 A1 US 20070222000A1 US 75613107 A US75613107 A US 75613107A US 2007222000 A1 US2007222000 A1 US 2007222000A1
Authority
US
United States
Prior art keywords
gate
gate electrode
silicide
forming
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/756,131
Inventor
Bor-Wen Chan
Jyu-Horng Shieh
Hun-Jan Tao
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US11/756,131 priority Critical patent/US20070222000A1/en
Publication of US20070222000A1 publication Critical patent/US20070222000A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • H01L29/66507Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide providing different silicide thicknesses on the gate and on source or drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28097Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a metallic silicide

Definitions

  • the present invention relates to semiconductor fabrication and more particularly to methods of forming field effect transistors having silicided regions.
  • the principle way of reducing contact resistance between polysilicon gates and source/drain regions and interconnect lines is by forming a metal silicide atop the source/drain regions and the gate electrodes prior to application of the conductive film for formation of the various conductive interconnect lines.
  • the most common metal silicide materials are CoSi 2 and TiSi 2 , typically formed by the so called salicide (self-aligned silicide) process.
  • salicide self-aligned silicide
  • a thin layer of a metal, such as titanium is blanket deposited over the semiconductor substrate, specifically over exposed source/drain and gate electrode regions.
  • the wafer is then subjected to one or more annealing steps, for example at a temperature of 800° C. or higher for titanium.
  • This annealing process causes the metal to selectively react with the exposed silicon of the source/drain regions and the gate electrodes, thereby forming a metal silicide (e.g., TiSi 2 ).
  • a metal silicide e.g., TiSi 2
  • the process is referred to as the self-aligned silicide process because the silicide layer is formed only where the metal material directly contacts the silicon source/drain regions and the polycrystalline silicon (polysilicon) gate electrode.
  • the unreacted metal is removed and an interconnect process is performed to provide conductive paths, such as by forming via holes through a deposited interlayer dielectric and filling the via holes with a conductive material, e.g., tungsten.
  • the thickness of the silicide layer is an important parameter because a thin silicide layer is more resistive than a thicker silicide layer of the same material. Therefore, a thicker silicide layer increases semiconductor speed.
  • the formation of a thick silicide layer may cause a high junction leakage current in the active regions and low reliability, particularly when forming ultra-shallow junctions.
  • the formation of a thick silicide layer consumes silicon from the underlying semiconductor substrate such that the thick silicide layer approaches and even shorts the ultra-shallow junction, thereby generating a high junction leakage current.
  • Silicided gate electrodes also eliminate problems associated with boron penetration from the polysilicon gate electrode into the gate oxide of PMOS devices and avoid device performance degradation due to the depletion effect. Formation of silicide in the gate electrode simultaneously with the source/drain regions leads to the risk of spiking in the source/drain regions if the complete silicidation of the gate electrode is attempted.
  • the conventional salicide process therefore, suffers from a very narrow process window due to the strong likelihood that exposure of the metal and silicon to rapid thermal annealing conditions sufficient to completely silicidize a gate electrode will also cause the silicide in the source/drain region to spike and reach the bottom of the junction, undesirably causing leakage.
  • the CMP step adversely effects process control. Specifically, the CMP step is not highly selective between the oxide shielding layer and the polysilicon gate. Therefore, the height of the polysilicon gate cannot be controlled effectively using the process of Xiang et al. There remains a need for a method of forming fully silicided gate electrodes that affords greater process control.
  • a method of forming a silicided gate on a substrate having active regions comprises forming silicide in the active regions and a portion of the gate, leaving a remaining portion of the gate unsilicided; forming a shielding layer over the active regions and gate after the forming step; forming a coating layer over portions of the shielding layer over the active regions; opening the shielding layer to expose the gate, wherein the coating layer protects the portions of the shielding layer over the active regions during the opening step; depositing a metal layer over the exposed gate; and annealing to cause the metal to react with the gate to silicidize at least a part of the remaining portion of the gate.
  • FIGS. 1-11 schematically illustrate sequential phases of forming a fully silicided gate in accordance with an embodiment of the present invention.
  • a conventional transistor structure comprising a substrate 10 doped with either an N-type impurity or P-type impurity and source/drain regions 11 comprising shallow extension regions 11 A and heavily doped regions 11 B doped with either a P-type impurity or an N-type impurity.
  • the substrate comprises crystalline silicon, e.g., monocrystalline silicon.
  • the substrate 10 can be, for example, silicon-germanium substrate, III-V compound substrate, silicon on insulator (SOI) substrate or other substrate.
  • the source/drain regions 11 have a conductivity opposite to that of the substrate.
  • the source/drain regions 11 are formed by forming gate electrode 13 on the substrate 10 with gate dielectric layer 12 , e.g., a gate oxide such as silicon dioxide, therebetween.
  • gate dielectric layer 12 e.g., a gate oxide such as silicon dioxide
  • shallow extension regions 11 A are formed.
  • Dielectric sidewall spacers 14 are then formed on the side surfaces of the gate electrode 13 .
  • Dielectric sidewall spacers may comprise any suitable dielectric material, such as silicon dioxide, silicon nitride, or a composite of silicon dioxide and silicon nitride. Ion implantation is then conducted, using the gate electrode 13 and sidewall spacers 14 as a mask to form heavily doped regions 11 B.
  • metal layer 20 such as nickel is blanket deposited over at least the exposed portions of the active source/drain regions 11 , i.e., on the heavily doped regions 11 B, and, in one embodiment, on the upper surface of the gate electrode 13 , which may comprise polycrystalline silicon or amorphous silicon.
  • the gate electrode has a height between about 500-2800 ⁇ , more preferably 1000-2000 ⁇ .
  • the metal layer 20 can be deposited in any manner, such as by chemical vapor deposition (CVD) or sputtering.
  • An exemplary thickness of metal layer 20 is between about 80-200 ⁇ , which will produce silicide in the substrate 10 to a depth of about 150-400 ⁇ after annealing.
  • an annealing step is performed, typically a rapid thermal annealing (RTA) step.
  • the annealing step may take place at a temperature of about 350° C. to about 700° C. for about 10 to about 90 seconds when nickel is employed as the metal.
  • RTA rapid thermal annealing
  • metal silicide layers 30 , 31 e.g., nickel silicide
  • the thickness of the silicide layers 30 , 31 in the source/drain regions can be tailored or optimized by controlling the thickness of the deposited nickel layer 20 and the RTA parameters (e.g., temperature and time).
  • any unreacted metal 32 ( FIG. 3 ) is removed from the substrate 10 , leaving a clean upper surface 60 on the gate.
  • the unreacted metal is removed by a wet chemical etch, such as with a sulfuric peroxide mixture H 2 SO 4 :H 2 O (3:1) with deionized H 2 O at a temperature of 100° C.
  • the removal rate of nickel at the 3:1 ratio is about 10,000 ⁇ /minute.
  • This exemplary wet chemical etch exhibits high selectivity for the unreacted nickel 32 relative to the nickel silicide layers 30 , 31 .
  • shielding layer 50 is deposited over the semiconductor device.
  • shielding layer 50 comprises silicon oxide or other material that will not react with the subsequently deposited metal, thereby protecting the silicidized source/drain regions 30 from further silicidation during subsequent completion of the silicidation of the gate.
  • Shielding layer 50 may be formed by chemical vapor deposition, for example.
  • the layer 50 is formed to a thickness between about 50-500 ⁇ .
  • the coating layer 100 comprises a polymer, preferably an organic polymer such as a 193 nm or 248 nm photoresist.
  • the coating layer is preferably formed to a thickness so as to not cover the shielding layer 50 in a region over the gate but to be sufficiently thick so as to substantially protect (i.e., prevent etch through) of the shielding layer 50 over silicided regions 30 during opening of the shielding layer 50 over the gate electrode (as described below).
  • the coating layer 100 has a thickness between about 300-1500 ⁇ .
  • an opening in the shielding layer 50 is formed, thereby exposing the top surface 60 of the gate electrode.
  • the opening is formed through the shielding layer 50 using an etch back process, such as: pressure: 4-500 mTorr; power: 20-1000 W; chemistry: CF based (e.g., CF 4 or CHF 3 or CH 2 F 2 or CH 3 F) at 10-200 sccm, Argon at 10-500 sccm, and O 2 at 1-50 sccm.
  • etch back process such as: pressure: 4-500 mTorr; power: 20-1000 W; chemistry: CF based (e.g., CF 4 or CHF 3 or CH 2 F 2 or CH 3 F) at 10-200 sccm, Argon at 10-500 sccm, and O 2 at 1-50 sccm.
  • CF based e.g., CF 4 or CHF 3 or CH 2 F 2 or CH 3 F
  • the photoresist layer 100 is then removed to leave the remainder of shielding layer 50 , which includes an opening that exposes the top surface 60 of the gate electrode.
  • the photoresist layer 100 is removed using an oxygen (O 2 ) ashing process.
  • the flow parameters are as follows: N 2 or N 2 H 2 or H 2 at 30-500 sccm and O 2 at 100-500 sccm.
  • a metal layer 70 such as nickel is then blanket deposited over the semiconductor device including the exposed surface 60 of the gate electrode 13 and the remaining portions of shielding layer 50 .
  • the deposited metal layer 70 is deposited to a thickness to, once annealed, silicidize at least a part of the remaining portion of the gate electrode.
  • the deposited metal layer 70 is at least of sufficient thickness that, once annealed, the layer 70 reacts with the gate electrode to silicidize the remaining portions of the gate electrode, thereby forming a fully silicidized gate.
  • the ratio of nickel to silicide is about 1:3 or 1:4, for a 1000 ⁇ gate, about 250-400 ⁇ layer of nickel is sufficient to fully silicidize the gate electrode 13 in this embodiment. This thickness may be reduced somewhat, depending on the depth of the initial silicidation 31 in the gate electrode 13 prior to this second silicidation step. Forming the metal layer to a greater thickness, however, ensures a sufficient source of metal for silicidizing the gate electrode 13 . Because the gate electrode height can be more easily controlled, compared with exposing the gate electrode by chemical mechanical polishing, the silicidation of the remaining portions of the gate electrode may also be more easily controlled.
  • a rapid thermal anneal process is again applied to the substrate causing the metal 70 to react with the gate electrode 13 .
  • the metal 70 diffuses into the gate electrode 13 to silicidize the remaining portion of the gate, thereby fully silicidizing the gate electrode 13 .
  • the gate electrode is substantially silicided, meaning, in one embodiment, silicide forms in at least 90-100 percentage of the height gate, and more preferably at least 95-100 percentage of the height of the gate.
  • nickel has been described as a preferred metal for the embodiments described herein, other metals or alloys that form silicides and are predominant diffusion species may be used, such as Palladium (Pd), Chromium (Cr), Cobalt (Co), Titanium (Ti), etc. Annealing process parameters and metal thickness may change in dependence on the metal 70 selected.
  • the unreacted metal layer 81 and the shielding layer 50 are removed, thereby providing a fully silicidized gate electrode 80 and silicided source/drain regions 30 .
  • Etching may be performed, for example, in a two-step etching process.
  • the shield layer 50 may then be removed using conventional etching techniques suitable for removing that material relative to the spacers 14 and silicide regions, for example, phosphoric acid etching may be employed.
  • a single etch that etches both the unreacted metal 81 and the shield layer 50 selectively relative to the silicide regions 80 , 30 may be employed.
  • polymer layer 100 can be formed in several ways.
  • a low viscosity polymer such as an organic polymer comprising a 193 nm or 248 nm photoresist, is flowed over the substrate in a volume sufficient to form a layer 100 that cover the source/drain regions but not the top surface of the gate electrode.
  • the polymer is applied by spin coating and the thickness can be controlled by volume and spin speed.
  • a polymer layer is deposited over the substrate in a thickness sufficient to cover both source/drain regions and the gate electrode. The polymer layer is then etched back to the desired thickness, exposing the shielding layer 50 over the gate electrode top surface.
  • the polymer layer comprises a 193 nm or 248 nm photoresist or other organic polymer that can be removed by a wet or dry sapping process, preferably an oxygen plasma etching.
  • the layer is then etched back at a pressure between about 5-100 mTorr, power between about 50-1000 Watts, using a O 2 base with an additional etchant gas, such as HBr, Cl 2 , He, or Argon at a temperature between about 20-100° C.
  • layer 100 may be formed to the desired thickness through a baking and developing process.
  • the organic polymer will react with the development solution at a high temperature, providing at least two parameters that can be used to control the polymer thickness—the amount of development solution and temperature.
  • only the silicide regions 30 are initially formed as shown in FIG. 3 , leaving gate 30 initially unsilicided. This may be accomplished by selectively depositing metal layer 20 or using a shielding layer over gate 13 that is etched to expose the active regions, or, in one embodiment, using a removable “dummy” gate electrode during silicidation of the active regions. Subsequently, the process steps described above in connection with FIGS. 5-11 are employed, only metal layer 70 is deposited to a thickness sufficient to fully silicidize gate 13 upon annealing. This embodiment allows for the use of different metals in forming silicide regions 30 and 80 if desired.
  • the manufacturing process described herein is adaptable to manufacturing any of the various types of semiconductor devices, particularly advanced deep-submicron CMOS devices, such as 0.1 microns with ultra-shallow junctions, e.g., above 500 ⁇ to about 2000 ⁇ in depth, while significantly improving the reliability of ultra-shallow junctions.
  • Parasitic, sheet and contact resistance between the active regions and the gate electrode and interconnects is achieved without increasing junction leakage current.
  • the method described herein also provides for excellent control of the gate electrode height during the silicidation process.
  • the process has an improved process window for exposing the top surface of the gate electrode in a two-step silicidation formation process, thereby facilitating improved control of the silicidation process, which provides improved fully silicided gates, and consequent benefits thereof, such as lower gate electrode resistance, improved device speed, prevention or reduction of boron migration into the gate electrode and reduction or elimination of the depletion effect, without high junction leakage current or spiking.
  • the method provides for excellent control of the gate electrode height in forming increased silicidation in gate electrodes, particularly when compared to a process using a chemical mechanical polish as described above in the Background of the Invention section.
  • Substantially uniform gate electrode heights can be achieved across regions in an integrated circuit.
  • the present method described above utilizes an etch process in exposing gates for silicidation. The etch process provides a substantially uniform etch rate with respect to both areas of dense and non-dense (e.g., isolated) patterns with these regions.
  • CMP polishing rates tend to depend on pattern density, thereby providing different polishing rates for areas of dense and less dense patterning within both OD and STI regions.
  • the gate height difference between gates in dense and less dense or non-dense areas in the OD and/or STI regions is less than 10%. In this embodiment, at least some, if not all, of the gates in these regions are fully silicided.

Abstract

A method of forming a silicided gate on a substrate having active regions is provided. The method comprises forming silicide in the active regions and a portion of the gate, leaving a remaining portion of the gate unsilicided; forming a shielding layer over the active regions and gate after the forming step; forming a coating layer over portions of the shielding layer over the active regions; opening the shielding layer to expose the gate, wherein the coating layer protects the portions of the shielding layer over the active regions during the opening step; depositing a metal layer over the exposed gate; and annealing to cause the metal to react with the gate to silicidize at least a part of the remaining portion of the gate.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This application is a divisional of U.S. patent application Ser. No. 10/846,278, filed May 13, 2004, entitled “Method of Forming Silicided Gate Structure”, now U.S. Pat. No. ______, the entirety of which is hereby incorporated by reference herein.
  • FIELD OF THE INVENTION
  • The present invention relates to semiconductor fabrication and more particularly to methods of forming field effect transistors having silicided regions.
  • BACKGROUND OF THE INVENTION
  • The principle way of reducing contact resistance between polysilicon gates and source/drain regions and interconnect lines is by forming a metal silicide atop the source/drain regions and the gate electrodes prior to application of the conductive film for formation of the various conductive interconnect lines. Presently, the most common metal silicide materials are CoSi2 and TiSi2, typically formed by the so called salicide (self-aligned silicide) process. In the salicide process, a thin layer of a metal, such as titanium, is blanket deposited over the semiconductor substrate, specifically over exposed source/drain and gate electrode regions. The wafer is then subjected to one or more annealing steps, for example at a temperature of 800° C. or higher for titanium. This annealing process causes the metal to selectively react with the exposed silicon of the source/drain regions and the gate electrodes, thereby forming a metal silicide (e.g., TiSi2). The process is referred to as the self-aligned silicide process because the silicide layer is formed only where the metal material directly contacts the silicon source/drain regions and the polycrystalline silicon (polysilicon) gate electrode. Following the formation of the silicide layer, the unreacted metal is removed and an interconnect process is performed to provide conductive paths, such as by forming via holes through a deposited interlayer dielectric and filling the via holes with a conductive material, e.g., tungsten.
  • The thickness of the silicide layer is an important parameter because a thin silicide layer is more resistive than a thicker silicide layer of the same material. Therefore, a thicker silicide layer increases semiconductor speed. The formation of a thick silicide layer, however, may cause a high junction leakage current in the active regions and low reliability, particularly when forming ultra-shallow junctions. The formation of a thick silicide layer consumes silicon from the underlying semiconductor substrate such that the thick silicide layer approaches and even shorts the ultra-shallow junction, thereby generating a high junction leakage current.
  • It is desirable to also lower the resistance of the gate electrode to increase the speed of the device. The greater the amount of silicon converted into silicide in the gate electrode, the lower the resistance will be in the gate electrode. Silicided gate electrodes also eliminate problems associated with boron penetration from the polysilicon gate electrode into the gate oxide of PMOS devices and avoid device performance degradation due to the depletion effect. Formation of silicide in the gate electrode simultaneously with the source/drain regions leads to the risk of spiking in the source/drain regions if the complete silicidation of the gate electrode is attempted. The conventional salicide process, therefore, suffers from a very narrow process window due to the strong likelihood that exposure of the metal and silicon to rapid thermal annealing conditions sufficient to completely silicidize a gate electrode will also cause the silicide in the source/drain region to spike and reach the bottom of the junction, undesirably causing leakage.
  • Various methods have been suggested for forming fully silicided gate electrodes. U.S. Pat. No. 6,562,718 to Xiang et al. describes a method of forming a fully silicided gate electrode. Xiang et al. propose simultaneously forming a silicide region in the source/drain regions and partially within the gate electrode. A silicon oxide shielding layer is then deposited over the substrate and opened by chemical mechanical polishing (CMP) to expose the gate electrode, leaving the source/drain regions covered by the remaining portion of the shielding layer. Silicidation of the gate electrode is then completed. The Xiang et al. process suffers from several problems. The CMP step adds significant process costs to the fabrication method. Further, the CMP step adversely effects process control. Specifically, the CMP step is not highly selective between the oxide shielding layer and the polysilicon gate. Therefore, the height of the polysilicon gate cannot be controlled effectively using the process of Xiang et al. There remains a need for a method of forming fully silicided gate electrodes that affords greater process control.
  • SUMMARY OF THE INVENTION
  • A method of forming a silicided gate on a substrate having active regions is provided. The method comprises forming silicide in the active regions and a portion of the gate, leaving a remaining portion of the gate unsilicided; forming a shielding layer over the active regions and gate after the forming step; forming a coating layer over portions of the shielding layer over the active regions; opening the shielding layer to expose the gate, wherein the coating layer protects the portions of the shielding layer over the active regions during the opening step; depositing a metal layer over the exposed gate; and annealing to cause the metal to react with the gate to silicidize at least a part of the remaining portion of the gate.
  • The above and other features of the present invention will be better understood from the following detailed description of the preferred embodiments of the invention that is provided in connection with the accompanying drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The accompanying drawings illustrate preferred embodiments of the invention, as well as other information pertinent to the disclosure, in which:
  • FIGS. 1-11 schematically illustrate sequential phases of forming a fully silicided gate in accordance with an embodiment of the present invention.
  • DETAILED DESCRIPTION
  • The method steps described below do not form a complete process flow for manufacturing integrated circuits. The present embodiments can be practiced in conjunction with integrated circuit fabrication techniques currently used or known in the art or later developed, and only so much of the commonly practiced process steps are included as are necessary for an understanding of the described embodiments. The figures represent cross-section portions of a semiconductor chip or a substrate during fabrication and are not drawn to scale, but instead are drawn to illustrate the features of the described embodiments.
  • Sequential steps of an exemplary embodiment of a method of forming silicide regions in a semiconductor substrate, specifically a fully silicided gate, are described below with respect to the schematic illustrations of FIGS. 1-11. Similar reference numerals denote similar features. Referring first to FIG. 1, a conventional transistor structure is provided comprising a substrate 10 doped with either an N-type impurity or P-type impurity and source/drain regions 11 comprising shallow extension regions 11A and heavily doped regions 11B doped with either a P-type impurity or an N-type impurity. In an exemplary embodiment, the substrate comprises crystalline silicon, e.g., monocrystalline silicon. The substrate 10 can be, for example, silicon-germanium substrate, III-V compound substrate, silicon on insulator (SOI) substrate or other substrate. As is common, the source/drain regions 11 have a conductivity opposite to that of the substrate. The source/drain regions 11 are formed by forming gate electrode 13 on the substrate 10 with gate dielectric layer 12, e.g., a gate oxide such as silicon dioxide, therebetween. Using the gate electrode 13 as a mask, shallow extension regions 11A are formed. Dielectric sidewall spacers 14 are then formed on the side surfaces of the gate electrode 13. Dielectric sidewall spacers may comprise any suitable dielectric material, such as silicon dioxide, silicon nitride, or a composite of silicon dioxide and silicon nitride. Ion implantation is then conducted, using the gate electrode 13 and sidewall spacers 14 as a mask to form heavily doped regions 11B.
  • Referring to FIG. 2, metal layer 20, such as nickel, is blanket deposited over at least the exposed portions of the active source/drain regions 11, i.e., on the heavily doped regions 11B, and, in one embodiment, on the upper surface of the gate electrode 13, which may comprise polycrystalline silicon or amorphous silicon. In one embodiment, the gate electrode has a height between about 500-2800 Å, more preferably 1000-2000 Å. The metal layer 20 can be deposited in any manner, such as by chemical vapor deposition (CVD) or sputtering. An exemplary thickness of metal layer 20 is between about 80-200 Å, which will produce silicide in the substrate 10 to a depth of about 150-400 Å after annealing.
  • Referring to FIG. 3, an annealing step is performed, typically a rapid thermal annealing (RTA) step. The annealing step may take place at a temperature of about 350° C. to about 700° C. for about 10 to about 90 seconds when nickel is employed as the metal. Other rapid thermal anneal parameters may be used depending on the selected metal and the silicide quantities desired. Upon annealing, metal silicide layers 30, 31, e.g., nickel silicide, form in the source/drain regions 11 and the gate electrode 13, respectively. Preferably, the thickness of the silicide layers 30, 31 in the source/drain regions can be tailored or optimized by controlling the thickness of the deposited nickel layer 20 and the RTA parameters (e.g., temperature and time).
  • Referring to FIG. 4, any unreacted metal 32 (FIG. 3) is removed from the substrate 10, leaving a clean upper surface 60 on the gate. In one embodiment, the unreacted metal is removed by a wet chemical etch, such as with a sulfuric peroxide mixture H2SO4:H2O (3:1) with deionized H2O at a temperature of 100° C. The removal rate of nickel at the 3:1 ratio is about 10,000 Å/minute. This exemplary wet chemical etch exhibits high selectivity for the unreacted nickel 32 relative to the nickel silicide layers 30, 31.
  • Referring to FIG. 5, a shielding layer 50 is deposited over the semiconductor device. In one embodiment, shielding layer 50 comprises silicon oxide or other material that will not react with the subsequently deposited metal, thereby protecting the silicidized source/drain regions 30 from further silicidation during subsequent completion of the silicidation of the gate. Shielding layer 50 may be formed by chemical vapor deposition, for example. In one embodiment, the layer 50 is formed to a thickness between about 50-500 Å.
  • Referring to FIG. 6, a coating layer 100 is formed over the substrate 10 shown. In an exemplary embodiment, the coating layer 100 comprises a polymer, preferably an organic polymer such as a 193 nm or 248 nm photoresist. The coating layer is preferably formed to a thickness so as to not cover the shielding layer 50 in a region over the gate but to be sufficiently thick so as to substantially protect (i.e., prevent etch through) of the shielding layer 50 over silicided regions 30 during opening of the shielding layer 50 over the gate electrode (as described below). In one embodiment, for a gate electrode (i.e., polysilicon regions 13 and silicide regions 31 in FIG. 6) having a thickness between about 300-1500 Å, the coating layer 100 has a thickness between about 300-1500 Å.
  • Referring to FIG. 7, an opening in the shielding layer 50 is formed, thereby exposing the top surface 60 of the gate electrode. In one embodiment, the opening is formed through the shielding layer 50 using an etch back process, such as: pressure: 4-500 mTorr; power: 20-1000 W; chemistry: CF based (e.g., CF4 or CHF3 or CH2F2 or CH3F) at 10-200 sccm, Argon at 10-500 sccm, and O2 at 1-50 sccm. Using an etch process eliminates the need for a chemical mechanical polishing step to expose the gate electrode for further silicidation as well as the cleaning steps that typically follow the CMP process. Excellent alignment can also be achieved using the masks utilized in formation of the gate
  • Referring to FIG. 8, the photoresist layer 100 is then removed to leave the remainder of shielding layer 50, which includes an opening that exposes the top surface 60 of the gate electrode. In one embodiment, the photoresist layer 100 is removed using an oxygen (O2) ashing process. In one embodiment, the flow parameters are as follows: N2 or N2H2 or H2 at 30-500 sccm and O2 at 100-500 sccm.
  • Referring to FIG. 9, a metal layer 70, such as nickel, is then blanket deposited over the semiconductor device including the exposed surface 60 of the gate electrode 13 and the remaining portions of shielding layer 50. The deposited metal layer 70 is deposited to a thickness to, once annealed, silicidize at least a part of the remaining portion of the gate electrode. In a preferred embodiment, the deposited metal layer 70 is at least of sufficient thickness that, once annealed, the layer 70 reacts with the gate electrode to silicidize the remaining portions of the gate electrode, thereby forming a fully silicidized gate. As the ratio of nickel to silicide is about 1:3 or 1:4, for a 1000 Å gate, about 250-400 Å layer of nickel is sufficient to fully silicidize the gate electrode 13 in this embodiment. This thickness may be reduced somewhat, depending on the depth of the initial silicidation 31 in the gate electrode 13 prior to this second silicidation step. Forming the metal layer to a greater thickness, however, ensures a sufficient source of metal for silicidizing the gate electrode 13. Because the gate electrode height can be more easily controlled, compared with exposing the gate electrode by chemical mechanical polishing, the silicidation of the remaining portions of the gate electrode may also be more easily controlled.
  • Referring to FIG. 10, a rapid thermal anneal process is again applied to the substrate causing the metal 70 to react with the gate electrode 13. The metal 70 diffuses into the gate electrode 13 to silicidize the remaining portion of the gate, thereby fully silicidizing the gate electrode 13. By “fully silicidize” or “fully silicided” it is meant that the gate electrode is substantially silicided, meaning, in one embodiment, silicide forms in at least 90-100 percentage of the height gate, and more preferably at least 95-100 percentage of the height of the gate. Although nickel has been described as a preferred metal for the embodiments described herein, other metals or alloys that form silicides and are predominant diffusion species may be used, such as Palladium (Pd), Chromium (Cr), Cobalt (Co), Titanium (Ti), etc. Annealing process parameters and metal thickness may change in dependence on the metal 70 selected.
  • Referring to FIG. 11, the unreacted metal layer 81 and the shielding layer 50 are removed, thereby providing a fully silicidized gate electrode 80 and silicided source/drain regions 30. Etching may be performed, for example, in a two-step etching process. A wet chemical etch that is highly selective for unreacted metal relative to the silicide, such as previously described for nickel, is employed to remove the unreacted metal 81. The shield layer 50 may then be removed using conventional etching techniques suitable for removing that material relative to the spacers 14 and silicide regions, for example, phosphoric acid etching may be employed. Alternatively, a single etch that etches both the unreacted metal 81 and the shield layer 50 selectively relative to the silicide regions 80, 30 may be employed.
  • Referring again to FIG. 6, polymer layer 100 can be formed in several ways. In a first embodiment, a low viscosity polymer, such as an organic polymer comprising a 193 nm or 248 nm photoresist, is flowed over the substrate in a volume sufficient to form a layer 100 that cover the source/drain regions but not the top surface of the gate electrode. In one embodiment, the polymer is applied by spin coating and the thickness can be controlled by volume and spin speed. In a second embodiment, a polymer layer is deposited over the substrate in a thickness sufficient to cover both source/drain regions and the gate electrode. The polymer layer is then etched back to the desired thickness, exposing the shielding layer 50 over the gate electrode top surface. In one embodiment, the polymer layer comprises a 193 nm or 248 nm photoresist or other organic polymer that can be removed by a wet or dry sapping process, preferably an oxygen plasma etching. The layer is then etched back at a pressure between about 5-100 mTorr, power between about 50-1000 Watts, using a O2 base with an additional etchant gas, such as HBr, Cl2, He, or Argon at a temperature between about 20-100° C. In a third embodiment, layer 100 may be formed to the desired thickness through a baking and developing process. Generally, the organic polymer will react with the development solution at a high temperature, providing at least two parameters that can be used to control the polymer thickness—the amount of development solution and temperature.
  • In a possible alternative embodiment, only the silicide regions 30 are initially formed as shown in FIG. 3, leaving gate 30 initially unsilicided. This may be accomplished by selectively depositing metal layer 20 or using a shielding layer over gate 13 that is etched to expose the active regions, or, in one embodiment, using a removable “dummy” gate electrode during silicidation of the active regions. Subsequently, the process steps described above in connection with FIGS. 5-11 are employed, only metal layer 70 is deposited to a thickness sufficient to fully silicidize gate 13 upon annealing. This embodiment allows for the use of different metals in forming silicide regions 30 and 80 if desired.
  • The manufacturing process described herein is adaptable to manufacturing any of the various types of semiconductor devices, particularly advanced deep-submicron CMOS devices, such as 0.1 microns with ultra-shallow junctions, e.g., above 500 Å to about 2000 Å in depth, while significantly improving the reliability of ultra-shallow junctions. Parasitic, sheet and contact resistance between the active regions and the gate electrode and interconnects is achieved without increasing junction leakage current.
  • The method described herein also provides for excellent control of the gate electrode height during the silicidation process. The process has an improved process window for exposing the top surface of the gate electrode in a two-step silicidation formation process, thereby facilitating improved control of the silicidation process, which provides improved fully silicided gates, and consequent benefits thereof, such as lower gate electrode resistance, improved device speed, prevention or reduction of boron migration into the gate electrode and reduction or elimination of the depletion effect, without high junction leakage current or spiking.
  • As noted, the method provides for excellent control of the gate electrode height in forming increased silicidation in gate electrodes, particularly when compared to a process using a chemical mechanical polish as described above in the Background of the Invention section. Substantially uniform gate electrode heights can be achieved across regions in an integrated circuit. In a typical integrated circuit, there are both areas of dense lines and isolated lines (iso lines) within both active (OD) and shallow trench isolation (STI) regions. In one embodiment, the present method described above utilizes an etch process in exposing gates for silicidation. The etch process provides a substantially uniform etch rate with respect to both areas of dense and non-dense (e.g., isolated) patterns with these regions. Conversely, CMP polishing rates tend to depend on pattern density, thereby providing different polishing rates for areas of dense and less dense patterning within both OD and STI regions. In one embodiment, the gate height difference between gates in dense and less dense or non-dense areas in the OD and/or STI regions is less than 10%. In this embodiment, at least some, if not all, of the gates in these regions are fully silicided.
  • Although the invention has been described in terms of exemplary embodiments, it is not limited thereto. Rather, the appended claims should be construed broadly to include other variants and embodiments of the invention that may be made by those skilled in the art without departing from the scope and range of equivalents of the invention

Claims (2)

1. An integrated circuit comprising a substrate having a plurality of gates formed thereon in a patterned region, at least some of said gates formed in an area of relative dense patterning in said patterned region and at least some of said gates formed in an area of relative non-dense patterning in said patterned region, and associated active regions formed therein, said active regions having a silicide formed therein and said gates having a silicide formed therein, wherein said gate silicide is thicker than said silicide formed in said active regions, wherein a gate height difference between said gates in said patterned region is less than 10%.
2. The integrated circuit of claim 1, wherein at least some of said gates are fully silicided.
US11/756,131 2004-05-13 2007-05-31 Method of forming silicided gate structure Abandoned US20070222000A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/756,131 US20070222000A1 (en) 2004-05-13 2007-05-31 Method of forming silicided gate structure

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/846,278 US7241674B2 (en) 2004-05-13 2004-05-13 Method of forming silicided gate structure
US11/756,131 US20070222000A1 (en) 2004-05-13 2007-05-31 Method of forming silicided gate structure

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/846,278 Division US7241674B2 (en) 2004-05-13 2004-05-13 Method of forming silicided gate structure

Publications (1)

Publication Number Publication Date
US20070222000A1 true US20070222000A1 (en) 2007-09-27

Family

ID=35308604

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/846,278 Expired - Fee Related US7241674B2 (en) 2004-05-13 2004-05-13 Method of forming silicided gate structure
US11/756,131 Abandoned US20070222000A1 (en) 2004-05-13 2007-05-31 Method of forming silicided gate structure

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/846,278 Expired - Fee Related US7241674B2 (en) 2004-05-13 2004-05-13 Method of forming silicided gate structure

Country Status (2)

Country Link
US (2) US7241674B2 (en)
TW (1) TWI306622B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110151655A1 (en) * 2009-12-18 2011-06-23 Bor-Wen Chan Metal gate fill and method of making

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7268065B2 (en) * 2004-06-18 2007-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing metal-silicide features
US7399702B2 (en) * 2005-02-01 2008-07-15 Infineon Technologies Ag Methods of forming silicide
JP5114881B2 (en) * 2005-07-26 2013-01-09 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof
US7338910B2 (en) * 2005-09-29 2008-03-04 United Microelectronics Corp. Method of fabricating semiconductor devices and method of removing a spacer
US20070178683A1 (en) * 2006-02-02 2007-08-02 Texas Instruments, Incorporated Semiconductive device fabricated using a two step approach to silicide a gate and source/drains
US7618891B2 (en) * 2006-05-01 2009-11-17 International Business Machines Corporation Method for forming self-aligned metal silicide contacts
US20080003755A1 (en) * 2006-06-30 2008-01-03 Uday Shah Sacrificial oxide layer which enables spacer over-etch in tri-gate architectures
KR100823707B1 (en) * 2006-07-21 2008-04-21 삼성전자주식회사 Method of manufacturing a semiconductor device
US20080233703A1 (en) * 2007-03-21 2008-09-25 Atmel Corporation Polysilicon conductivity improvement in a salicide process technology
US7833891B2 (en) * 2008-07-23 2010-11-16 International Business Machines Corporation Semiconductor device manufacturing method using oxygen diffusion barrier layer between buried oxide layer and high K dielectric layer

Citations (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5100820A (en) * 1990-06-14 1992-03-31 Oki Electric Industry Co., Ltd. MOSFET fabrication process with lightly-doped drain using local oxidation step to pattern gate electrode
US5624869A (en) * 1994-04-13 1997-04-29 International Business Machines Corporation Method of forming a film for a multilayer Semiconductor device for improving thermal stability of cobalt silicide using platinum or nitrogen
US5645887A (en) * 1994-01-14 1997-07-08 Lg Semicon Co., Ltd. Method for forming platinum silicide plugs
US5739563A (en) * 1995-03-15 1998-04-14 Kabushiki Kaisha Toshiba Ferroelectric type semiconductor device having a barium titanate type dielectric film and method for manufacturing the same
US5780362A (en) * 1996-06-04 1998-07-14 Wang; Qingfeng CoSi2 salicide method
US5780896A (en) * 1995-12-21 1998-07-14 Nec Corporation Semiconductor device having shallow impurity region without short-circuit between gate electrode and source and drain regions and process of fabrication thereof
US5937299A (en) * 1997-04-21 1999-08-10 Advanced Micro Devices, Inc. Method for forming an IGFET with silicide source/drain contacts in close proximity to a gate with sloped sidewalls
US6008124A (en) * 1996-02-22 1999-12-28 Matsushita Electric Industrial Co., Ltd. Semiconductor device having improved lamination-structure reliability for buried layers, silicide films and metal films, and a method for forming the same
US6015748A (en) * 1998-05-21 2000-01-18 Samsung Electronics Co., Ltd. Methods of fabricating integrated circuit memory devices including silicide blocking layers on memory cell transistor source and drain regions
US6143617A (en) * 1998-02-23 2000-11-07 Taiwan Semiconductor Manufacturing Company Composite capacitor electrode for a DRAM cell
US6159781A (en) * 1998-10-01 2000-12-12 Chartered Semiconductor Manufacturing, Ltd. Way to fabricate the self-aligned T-shape gate to reduce gate resistivity
US6162675A (en) * 1999-04-22 2000-12-19 Samsung Electronics Co., Ltd. Method of preventing misalignment of selective silicide layer in the manufacture of a DRAM device and the DRAM device formed thereby
US6197645B1 (en) * 1997-04-21 2001-03-06 Advanced Micro Devices, Inc. Method of making an IGFET with elevated source/drain regions in close proximity to gate with sloped sidewalls
US6201303B1 (en) * 1999-10-14 2001-03-13 Advanced Micro Devices, Inc. Method of forming a local interconnect with improved etch selectivity of silicon dioxide/silicide
US6204133B1 (en) * 2000-06-02 2001-03-20 Advanced Micro Devices, Inc. Self-aligned extension junction for reduced gate channel
US6204177B1 (en) * 1998-11-04 2001-03-20 Advanced Micro Devices, Inc. Method of forming junction leakage free metal silicide in a semiconductor wafer by alloying refractory metal
US6214680B1 (en) * 1999-12-13 2001-04-10 Chartered Semiconductor Manufacturing, Ltd. Method to fabricate a sub-quarter-micron MOSFET with lightly doped source/drain regions
US6225216B1 (en) * 1999-10-15 2001-05-01 Advanced Micro Devices, Inc. Method of forming a local interconnect with improved etch selectivity of silicon dioxide/silicide
US6228761B1 (en) * 1999-10-14 2001-05-08 Advanced Micro Devices, Inc. Method of forming a local interconnect with improved etch selectivity of silicon dioxide/silicide
US6232224B1 (en) * 1999-04-20 2001-05-15 Nec Corporation Method of manufacturing semiconductor device having reliable contact structure
US6236091B1 (en) * 1999-09-30 2001-05-22 Advanced Micro Devices, Inc. Method of forming a local interconnect with improved etch selectivity of silicon dioxide/silicide
US6249010B1 (en) * 1998-08-17 2001-06-19 National Semiconductor Corporation Dielectric-based anti-fuse cell with polysilicon contact plug and method for its manufacture
US6268257B1 (en) * 2000-04-25 2001-07-31 Advanced Micro Devices, Inc. Method of forming a transistor having a low-resistance gate electrode
US6284664B1 (en) * 1998-09-25 2001-09-04 Mitsubishi Denki Kabushiki Kaisha Semiconductor device, and manufacturing method therefor
US6320213B1 (en) * 1997-12-19 2001-11-20 Advanced Technology Materials, Inc. Diffusion barriers between noble metal electrodes and metallization layers, and integrated circuit and semiconductor devices comprising same
US6326290B1 (en) * 2000-03-21 2001-12-04 Taiwan Semiconductor Manufacturing Company Low resistance self aligned extended gate structure utilizing A T or Y shaped gate structure for high performance deep submicron FET
US6326270B1 (en) * 1998-10-16 2001-12-04 Samsung Electronics Co., Ltd. Methods of forming integrated circuit memory devices using masking layers to inhibit overetching of impurity regions and conductive lines
US20020019119A1 (en) * 2000-07-07 2002-02-14 Dinesh Saigal HIgh temperature metal deposition for reducing lateral silicidation
US6350688B1 (en) * 2000-08-01 2002-02-26 Taiwan Semiconductor Manufacturing Company Via RC improvement for copper damascene and beyond technology
US6351016B1 (en) * 1998-03-05 2002-02-26 Taiwan Semiconductor Manufacturing Company Technology for high performance buried contact and tungsten polycide gate integration
US6350636B1 (en) * 1999-01-25 2002-02-26 Taiwan Semiconductor Manufacturing Company Junction leakage monitor for MOSFETs with silicide contacts
US6362086B2 (en) * 1998-02-26 2002-03-26 Micron Technology, Inc. Forming a conductive structure in a semiconductor device
US6380578B1 (en) * 1999-08-30 2002-04-30 Mitsubishi Denki Kabushiki Kaisha High-speed stacked capacitor in SOI structure
US6380014B1 (en) * 1996-09-06 2002-04-30 Fujitsu Limited Manufacture method of semiconductor device with suppressed impurity diffusion from gate electrode
US20020064918A1 (en) * 2000-11-29 2002-05-30 Lee Pooi See Method and apparatus for performing nickel salicidation
US20020081794A1 (en) * 2000-12-26 2002-06-27 Nec Corporation Enhanced deposition control in fabricating devices in a semiconductor wafer
US6444578B1 (en) * 2001-02-21 2002-09-03 International Business Machines Corporation Self-aligned silicide process for reduction of Si consumption in shallow junction and thin SOI electronic devices
US6465309B1 (en) * 2000-12-12 2002-10-15 Advanced Micro Devices, Inc. Silicide gate transistors
US6475908B1 (en) * 2001-10-18 2002-11-05 Chartered Semiconductor Manufacturing Ltd. Dual metal gate process: metals and their silicides
US6514859B1 (en) * 2000-12-08 2003-02-04 Advanced Micro Devices, Inc. Method of salicide formation with a double gate silicide
US6524916B1 (en) * 2000-01-29 2003-02-25 Advanced Micro Devices, Inc. Controlled gate length and gate profile semiconductor device and manufacturing method therefor
US6562718B1 (en) * 2000-12-06 2003-05-13 Advanced Micro Devices, Inc. Process for forming fully silicided gates
US6576548B1 (en) * 2002-02-22 2003-06-10 Advanced Micro Devices, Inc. Method of manufacturing a semiconductor device with reliable contacts/vias
US6582718B2 (en) * 1992-05-13 2003-06-24 Novartis Ag Cyclosporin compositions
US6602781B1 (en) * 2000-12-12 2003-08-05 Advanced Micro Devices, Inc. Metal silicide gate transistors
US6602434B1 (en) * 1998-03-27 2003-08-05 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6630712B2 (en) * 1999-08-11 2003-10-07 Advanced Micro Devices, Inc. Transistor with dynamic source/drain extensions
US6689688B2 (en) * 2002-06-25 2004-02-10 Advanced Micro Devices, Inc. Method and device using silicide contacts for semiconductor processing
US6846734B2 (en) * 2002-11-20 2005-01-25 International Business Machines Corporation Method and process to make multiple-threshold metal gates CMOS technology

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1996030946A1 (en) 1995-03-29 1996-10-03 Hitachi, Ltd. Semiconductor device and its manufacture

Patent Citations (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5100820A (en) * 1990-06-14 1992-03-31 Oki Electric Industry Co., Ltd. MOSFET fabrication process with lightly-doped drain using local oxidation step to pattern gate electrode
US6582718B2 (en) * 1992-05-13 2003-06-24 Novartis Ag Cyclosporin compositions
US5645887A (en) * 1994-01-14 1997-07-08 Lg Semicon Co., Ltd. Method for forming platinum silicide plugs
US5624869A (en) * 1994-04-13 1997-04-29 International Business Machines Corporation Method of forming a film for a multilayer Semiconductor device for improving thermal stability of cobalt silicide using platinum or nitrogen
US5739563A (en) * 1995-03-15 1998-04-14 Kabushiki Kaisha Toshiba Ferroelectric type semiconductor device having a barium titanate type dielectric film and method for manufacturing the same
US5780896A (en) * 1995-12-21 1998-07-14 Nec Corporation Semiconductor device having shallow impurity region without short-circuit between gate electrode and source and drain regions and process of fabrication thereof
US6008124A (en) * 1996-02-22 1999-12-28 Matsushita Electric Industrial Co., Ltd. Semiconductor device having improved lamination-structure reliability for buried layers, silicide films and metal films, and a method for forming the same
US5780362A (en) * 1996-06-04 1998-07-14 Wang; Qingfeng CoSi2 salicide method
US6380014B1 (en) * 1996-09-06 2002-04-30 Fujitsu Limited Manufacture method of semiconductor device with suppressed impurity diffusion from gate electrode
US6197645B1 (en) * 1997-04-21 2001-03-06 Advanced Micro Devices, Inc. Method of making an IGFET with elevated source/drain regions in close proximity to gate with sloped sidewalls
US5937299A (en) * 1997-04-21 1999-08-10 Advanced Micro Devices, Inc. Method for forming an IGFET with silicide source/drain contacts in close proximity to a gate with sloped sidewalls
US6320213B1 (en) * 1997-12-19 2001-11-20 Advanced Technology Materials, Inc. Diffusion barriers between noble metal electrodes and metallization layers, and integrated circuit and semiconductor devices comprising same
US6143617A (en) * 1998-02-23 2000-11-07 Taiwan Semiconductor Manufacturing Company Composite capacitor electrode for a DRAM cell
US6362086B2 (en) * 1998-02-26 2002-03-26 Micron Technology, Inc. Forming a conductive structure in a semiconductor device
US6351016B1 (en) * 1998-03-05 2002-02-26 Taiwan Semiconductor Manufacturing Company Technology for high performance buried contact and tungsten polycide gate integration
US6602434B1 (en) * 1998-03-27 2003-08-05 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6015748A (en) * 1998-05-21 2000-01-18 Samsung Electronics Co., Ltd. Methods of fabricating integrated circuit memory devices including silicide blocking layers on memory cell transistor source and drain regions
US6362023B1 (en) * 1998-08-17 2002-03-26 National Semiconductor Corporation Dielectric-based anti-fuse cell with polysilicon contact plug and method for its manufacture
US6249010B1 (en) * 1998-08-17 2001-06-19 National Semiconductor Corporation Dielectric-based anti-fuse cell with polysilicon contact plug and method for its manufacture
US6284664B1 (en) * 1998-09-25 2001-09-04 Mitsubishi Denki Kabushiki Kaisha Semiconductor device, and manufacturing method therefor
US6159781A (en) * 1998-10-01 2000-12-12 Chartered Semiconductor Manufacturing, Ltd. Way to fabricate the self-aligned T-shape gate to reduce gate resistivity
US6326270B1 (en) * 1998-10-16 2001-12-04 Samsung Electronics Co., Ltd. Methods of forming integrated circuit memory devices using masking layers to inhibit overetching of impurity regions and conductive lines
US6204177B1 (en) * 1998-11-04 2001-03-20 Advanced Micro Devices, Inc. Method of forming junction leakage free metal silicide in a semiconductor wafer by alloying refractory metal
US6350636B1 (en) * 1999-01-25 2002-02-26 Taiwan Semiconductor Manufacturing Company Junction leakage monitor for MOSFETs with silicide contacts
US6232224B1 (en) * 1999-04-20 2001-05-15 Nec Corporation Method of manufacturing semiconductor device having reliable contact structure
US6162675A (en) * 1999-04-22 2000-12-19 Samsung Electronics Co., Ltd. Method of preventing misalignment of selective silicide layer in the manufacture of a DRAM device and the DRAM device formed thereby
US6630712B2 (en) * 1999-08-11 2003-10-07 Advanced Micro Devices, Inc. Transistor with dynamic source/drain extensions
US6380578B1 (en) * 1999-08-30 2002-04-30 Mitsubishi Denki Kabushiki Kaisha High-speed stacked capacitor in SOI structure
US6236091B1 (en) * 1999-09-30 2001-05-22 Advanced Micro Devices, Inc. Method of forming a local interconnect with improved etch selectivity of silicon dioxide/silicide
US6201303B1 (en) * 1999-10-14 2001-03-13 Advanced Micro Devices, Inc. Method of forming a local interconnect with improved etch selectivity of silicon dioxide/silicide
US6228761B1 (en) * 1999-10-14 2001-05-08 Advanced Micro Devices, Inc. Method of forming a local interconnect with improved etch selectivity of silicon dioxide/silicide
US6225216B1 (en) * 1999-10-15 2001-05-01 Advanced Micro Devices, Inc. Method of forming a local interconnect with improved etch selectivity of silicon dioxide/silicide
US6214680B1 (en) * 1999-12-13 2001-04-10 Chartered Semiconductor Manufacturing, Ltd. Method to fabricate a sub-quarter-micron MOSFET with lightly doped source/drain regions
US6524916B1 (en) * 2000-01-29 2003-02-25 Advanced Micro Devices, Inc. Controlled gate length and gate profile semiconductor device and manufacturing method therefor
US6326290B1 (en) * 2000-03-21 2001-12-04 Taiwan Semiconductor Manufacturing Company Low resistance self aligned extended gate structure utilizing A T or Y shaped gate structure for high performance deep submicron FET
US6268257B1 (en) * 2000-04-25 2001-07-31 Advanced Micro Devices, Inc. Method of forming a transistor having a low-resistance gate electrode
US6204133B1 (en) * 2000-06-02 2001-03-20 Advanced Micro Devices, Inc. Self-aligned extension junction for reduced gate channel
US20020019119A1 (en) * 2000-07-07 2002-02-14 Dinesh Saigal HIgh temperature metal deposition for reducing lateral silicidation
US6350688B1 (en) * 2000-08-01 2002-02-26 Taiwan Semiconductor Manufacturing Company Via RC improvement for copper damascene and beyond technology
US20020064918A1 (en) * 2000-11-29 2002-05-30 Lee Pooi See Method and apparatus for performing nickel salicidation
US6562718B1 (en) * 2000-12-06 2003-05-13 Advanced Micro Devices, Inc. Process for forming fully silicided gates
US6514859B1 (en) * 2000-12-08 2003-02-04 Advanced Micro Devices, Inc. Method of salicide formation with a double gate silicide
US6602781B1 (en) * 2000-12-12 2003-08-05 Advanced Micro Devices, Inc. Metal silicide gate transistors
US6465309B1 (en) * 2000-12-12 2002-10-15 Advanced Micro Devices, Inc. Silicide gate transistors
US20020081794A1 (en) * 2000-12-26 2002-06-27 Nec Corporation Enhanced deposition control in fabricating devices in a semiconductor wafer
US6444578B1 (en) * 2001-02-21 2002-09-03 International Business Machines Corporation Self-aligned silicide process for reduction of Si consumption in shallow junction and thin SOI electronic devices
US6475908B1 (en) * 2001-10-18 2002-11-05 Chartered Semiconductor Manufacturing Ltd. Dual metal gate process: metals and their silicides
US6576548B1 (en) * 2002-02-22 2003-06-10 Advanced Micro Devices, Inc. Method of manufacturing a semiconductor device with reliable contacts/vias
US6689688B2 (en) * 2002-06-25 2004-02-10 Advanced Micro Devices, Inc. Method and device using silicide contacts for semiconductor processing
US6846734B2 (en) * 2002-11-20 2005-01-25 International Business Machines Corporation Method and process to make multiple-threshold metal gates CMOS technology

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110151655A1 (en) * 2009-12-18 2011-06-23 Bor-Wen Chan Metal gate fill and method of making
US8357603B2 (en) 2009-12-18 2013-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate fill and method of making

Also Published As

Publication number Publication date
US7241674B2 (en) 2007-07-10
TWI306622B (en) 2009-02-21
TW200537604A (en) 2005-11-16
US20050253204A1 (en) 2005-11-17

Similar Documents

Publication Publication Date Title
US20070222000A1 (en) Method of forming silicided gate structure
US6562718B1 (en) Process for forming fully silicided gates
US7396767B2 (en) Semiconductor structure including silicide regions and method of making same
US6060387A (en) Transistor fabrication process in which a contact metallization is formed with different silicide thickness over gate interconnect material and transistor source/drain regions
US8643126B2 (en) Self aligned silicided contacts
US7566651B2 (en) Low contact resistance metal contact
US6858934B2 (en) Semiconductor device structures including metal silicide interconnect structures that extend at least partially over transistor gate structures and methods for making the same
US5911114A (en) Method of simultaneous formation of salicide and local interconnects in an integrated circuit structure
JPH11284179A (en) Semiconductor device and manufacture thereof
KR100243906B1 (en) Method of manufacturing semiconductor device
US6352899B1 (en) Raised silicide source/drain MOS transistors having enlarged source/drain contact regions and method
JP2004288798A (en) Semiconductor device and its manufacturing method
KR101429211B1 (en) Transistor having metal silicide and method of manufacturing the same, method of manufacturing a semiconductor device using the same
JP3190858B2 (en) Semiconductor device and method of manufacturing the same
US6100142A (en) Method of fabricating sub-quarter-micron salicide polysilicon
US20020009856A1 (en) Method of fabricating a semiconductor device with self- aligned silicide areas formed using a supplemental silicon overlayer
JP3420104B2 (en) Manufacturing method of resistance element
JP4248882B2 (en) Method for improving the resistance of titanium disilicide in the pinch active region of semiconductor devices.
JP2003188114A (en) Manufacturing method for semiconductor element
JP2009516910A (en) Method for forming a semiconductor device having a salicide layer
JPH08264771A (en) Semiconductor device and its manufacture
JP2001015740A (en) Semiconductor device and manufacture thereof
JPH08250603A (en) Semiconductor device and manufacture thereof
JPH09162392A (en) Semiconductor device
KR100791691B1 (en) Structure and method for manufacturing mos transistor

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION