US20070190718A1 - Dual-damascene process to fabricate thick wire structure - Google Patents

Dual-damascene process to fabricate thick wire structure Download PDF

Info

Publication number
US20070190718A1
US20070190718A1 US11/275,604 US27560406A US2007190718A1 US 20070190718 A1 US20070190718 A1 US 20070190718A1 US 27560406 A US27560406 A US 27560406A US 2007190718 A1 US2007190718 A1 US 2007190718A1
Authority
US
United States
Prior art keywords
layer
etch stop
etching
stop layer
mim
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US11/275,604
Other versions
US7602068B2 (en
Inventor
Douglas Coolbaugh
Keith Downes
Peter Lindgren
Anthony Stamper
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: STAMPER, ANTHONY K., LINDGREN, PETER J., DOWNES, KEITH E., COOLBAUGH, DOUGLAS D.
Application filed by Individual filed Critical Individual
Priority to US11/275,604 priority Critical patent/US7602068B2/en
Priority to TW096101395A priority patent/TWI397948B/en
Priority to JP2008551545A priority patent/JP2009524257A/en
Priority to CN2007800021519A priority patent/CN101366116B/en
Priority to EP07710226A priority patent/EP1974379A4/en
Priority to PCT/US2007/060767 priority patent/WO2007084982A2/en
Publication of US20070190718A1 publication Critical patent/US20070190718A1/en
Priority to US12/564,482 priority patent/US8236663B2/en
Application granted granted Critical
Publication of US7602068B2 publication Critical patent/US7602068B2/en
Priority to US13/432,421 priority patent/US8753950B2/en
Priority to US14/166,274 priority patent/US9171778B2/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Assigned to WILMINGTON TRUST, NATIONAL ASSOCIATION reassignment WILMINGTON TRUST, NATIONAL ASSOCIATION SECURITY AGREEMENT Assignors: GLOBALFOUNDRIES INC.
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES INC.
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5223Capacitor integral with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1036Dual damascene with different via-level and trench-level dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the invention generally relates to a semiconductor device and method of manufacture and, more particularly, to a semiconductor device having analog, or super thick, wires and a method of manufacturing thereof using a dual-damascene process.
  • Super thick damascene copper (Cu) wires are currently fabricated with single damascene processing.
  • the use of a single damascene process is mainly due to integration problems associated with dual-damascene processing, including the problem of contacting both MIM capacitors and underlying wiring layers during the via and wire etching processes.
  • the vias and trenches are defined using conventional lithography steps.
  • the via is about 5.5. ⁇ m in height and at about 1.5 ⁇ m in width.
  • the via is filled with spin on organic material such as, for example, anti-reflective coating (ARC), to the underlying Cu wiring layer Mx, for a trough lithographic step.
  • ARC anti-reflective coating
  • the second dual-damascene lithography step is difficult to make work in the super thick damascene Cu wire processes.
  • the ARC forms an hourglass formation in the via which, in turn, results in large voids in the via. More specifically, it has been found that none of the industry standard mid UV (MUV) or Deep UV (DUV) ARCs achieved more than 40% fill, with all of them leaving large voids in the vias which opened up during trough etch. And, due to these voids, subsequent etching caused corrosion in an underlying metal layer due to the etchant etching through the voids.
  • UUV industry standard mid UV
  • DUV Deep UV
  • ARC for example, is made thicker, there is better fill properties within the via; however, other problems arise during the subsequent etching process.
  • acceptable via fill can be achieved using an 0.8 um layer, but this severely complicates the trough RIE due to the very long ARC open step required, and due to large fences or rails generated around the vias during trough RIE.
  • fences are formed on the sides of the via, early in the trough RIE process. This leads to preferential etching along the via edges down to an underlying metal (Mx) layer.
  • Mx underlying metal
  • the invention is directed to overcoming one or more of the problems as set forth above.
  • a method comprises etching at least one partial via in a stacked structure and forming a border about the at least one partial via.
  • the method further includes performing thick wiring using selective etching while continuing via etching to at least one etch stop layer.
  • the performing step is part of a dual-damascene process.
  • the forming of the border comprises forming a negative photoresist on the stacked structure and exposing portions of the negative photoresist remote from the at least one partial via.
  • the at least one etch stop layer comprises a first etch stop layer over an Mx-1 metal layer and a second etch stop layer over a metal insulator metal (MIM) capacitor.
  • MIM metal insulator metal
  • the method further includes incorporating a metal insulator metal (MIM) capacitor into the stacked structure and the thick wiring extends to the at least one etch stop layer over the MIM capacitor.
  • the forming the stacked structure comprises providing a damascene copper wire formed in a first low K dielectric material and forming an etch stop layer on the first low K dielectric material. An interlevel dielectric layer and second dielectric layer is formed on the etch stop layer. A second etch stop layer is formed on the second low K dielectric layer, and a third low K dielectric layer is formed on the etch stop layer.
  • the MIM capacitor comprises refractory metals or alloys comprising at least one W, WN, TiN, Ta, TaN and TiSiN.
  • the at least one of the first and second low K dielectric material and the second dielectric layer is fluorine doped silicate glass (FSG).
  • the etch stop layer and the second etch stop layer is at least silicon nitride, silicon carbo nitride, silicon oxy carbo nitride and silicon carbide.
  • the MIM capacitor is embedded in the interlevel dielectric layer.
  • the MIM capacitor is a plurality of plates with at least one of a silicon nitride, silicon carbo nitride, silicon oxy carbo nitride and silicon carbide etch stop layer.
  • the etching the at least one partial via includes partially etching the stacked structure in alignment with at least one of an underlying metal layer and MIM capacitor.
  • the performing step comprises depositing negative photoresist on the stacked structure after the formation of the at least one partial via, exposing the negative photoresist, remote from the at least one partial via to form a border, etching the at least one partial via further into the stacked structure and selectively etching to form at least one trough.
  • the selective etching is selective to the at least one etch stop layer deposited on at least one of an underlying metal layer and MIM capacitor.
  • the steps of the invention are used for fabrication of integrated circuit chips.
  • the steps of the invention are a dual-damascene copper back end of line (BEOL) process, in which copper layers defined as a wire and via height have a thickness of about 3.5 microns or greater.
  • BEOL copper back end of line
  • the performing thick wiring using selective etching while continuing via etching to at least one etch stop layer includes a trough etch which extends to an upper embedded etch layer before the via extends to the at least one etch stop layer.
  • the method is directed to making a dual-damascene copper BEOL structure.
  • the method comprising forming a partial height via in alignment with at least an underlying metal layer and applying a negative photoresist material.
  • the method further includes forming a border in the negative photoresist material proximate the partial height via and etching the partial height via to a further depth and selectively etching to form a trough.
  • the method further includes incorporating a MIM capacitor into the BEOL structure.
  • the etching step includes etching to at least an etch stop layer above a metal layer and the MIM capacitor.
  • the method further comprises providing the underlying metal formed in a first low K dielectric material, forming an etch stop layer on the first low K dielectric material, forming an oxide layer and interlevel dielectric layer on the etch stop layer, embedding the MIM capacitor in the silicon dioxide layer, forming a cap layer on the MIM capacitor, forming a second etch stop layer on the interlevel dielectric layer, and forming a third low K dielectric layer on the etch stop layer.
  • the etch stop layer and the second etch stop layer is at least one of a silicon nitride, silicon carbo nitride, silicon oxy carbo nitride and silicon carbide etch stop layer.
  • the MIM capacitor is formed using a plurality of plates with at least one of a silicon nitride, silicon carbo nitride, silicon oxy carbo nitride and silicon carbide etch stop.
  • the etching the partial height via to a further depth and selectively etching to form a trough includes etching the trough to an upper embedded etch layer before the via hits at least another etch stop layer.
  • the forming of the border comprises exposing portions of the negative photoresist remote from the partial height via.
  • the etching a trough comprises selectively etching to at least one of a cap layer over the MIM capacitor and an underlying metal layer.
  • the etching the partial height via to a further depth and selectively etching to form a trough is in alignment with at least one of the underlying metal layer and the MIM capacitor.
  • a dual-damascene method for fabricating a thick wire structure comprises forming a partial via in a stacked structure and depositing negative photoresist on the stacked structure after the formation of the partial via.
  • the method further includes exposing the negative photoresist, remote from the partial via to form a border above the partial via.
  • the partial via is etched further into the stacked structure.
  • the method further includes selectively etching into the partial via to form a trough.
  • the selective etching is selective to at least one etch stop layer deposited on at least one of an underlying metal layer.
  • a MIM has at least an upper plate MIM dielectric and a lower plate.
  • the thick wire structure has a thickness of about 3.5 microns or greater.
  • the steps are designed for fabrication of integrated circuit chips.
  • the MIM capacitor is formed by sputter clean removal of a MIM top plate of less than 10 nanometer oxide equivalent sputter removal such that the via is not fully etched through the upper plate and is not in contact with the MIM dielectric.
  • the at least one etch stop is a first etch stop and a second etch stop. The first etch stop is formed over the underlying metal layer and the second etch stop is formed on a surface of the MIM capacitor and formed with a height greater than a height of the first etch stop.
  • a thick wire structure comprises a damascene copper wire formed in a first dielectric layer and an etch stop layer covering the damascene copper wire.
  • a second dielectric layer is formed on the etch stop layer.
  • a second etch stop layer is formed on the second dielectric layer and a third dielectric layer is formed on the etch stop layer.
  • a via approximately 1.5 microns or taller us formed through the first, second and third dielectric layer and contacts the damascene copper wire.
  • a trough approximately 2 microns or taller is formed proximate to the second etch stop and in substantial axial alignment with the via and having a width larger than the via.
  • a MIM capacitor is embedded in the oxide layer and an etch stop layer is formed over the MIM capacitor.
  • the via is in alignment with and extends to the etch stop layer formed over the MIM capacitor and the trough is in axial alignment with the via formed over the MIM capacitor and stops near the second etch stop.
  • a dielectric stack is approximately 5.5 um tall, with approximately 3.5 um tall wires and the via has a minimum width of approximately 1.2 um.
  • a thick wire structure comprises an underlying wire formed in a FSG (fluorine doped silicate glass) dielectric material.
  • a first nitride cap layer covers the underlying wire.
  • An interlevel layer is formed on the first nitride cap layer.
  • a MIM capacitor is embedded in a portion of the interlevel layer.
  • a MIM etch stop cap layer is formed on the MIM capacitor, where the MIM cap layer has a thickness greater than the first nitride cap.
  • a second nitride cap layer is formed on the interlevel layer.
  • An FSG dielectric layer is formed on the second nitride cap.
  • a via is in alignment with at least one of the underlying wire and the MIM capacitor, extending proximate to the MIM nitride cap layer and the first nitride cap layer.
  • a trough is in substantial axial alignment with the via and having a width larger than the via and extending to the second nitride cap layer.
  • the MIM capacitor is composed of refractory metals or alloys comprising at least one W, WN, TiN, Ta, TaN and TiSiN.
  • FIG. 1 shows an initial structure in accordance with the invention
  • FIG. 2 shows processing steps implemented in accordance with the invention
  • FIG. 3 shows processing steps implemented in accordance with the invention
  • FIG. 4 shows processing steps implemented in accordance with the invention
  • FIG. 5 shows processing steps implemented in accordance with the invention
  • FIG. 6 shows processing steps implemented in accordance with the invention
  • FIG. 7 shows a graph of etch time vs. interlevel depth targets for a 1.5 ⁇ m partial via depth
  • FIGS. 8A-8D show a comparison of an intermediate structure (with a border) processed in accordance with the invention and a structure with no border;
  • FIG. 9 shows an example of a MIM capacitor implemented with the invention.
  • the invention generally relates to a semiconductor device and method of manufacture and, more particularly, to a method of manufacturing a device with thick wires using a dual-damascene process.
  • problems such as punch through at the edges of the via, via under etch, trough under etch or over etch are eliminated.
  • the process of the invention additionally eliminates corrosive effects on the underlying metal layer and/or MIM (metal insulator metal) capacitor.
  • the dual-damascene process of the invention includes, for example, forming a partial depth via, applying a negative photoresist material, and then etching a trough and completing the via etch.
  • the method optionally includes incorporating a MIM capacitor or other passive element, such as a thin film resistor, into the BEOL (back end of line) structure.
  • the resultant structure in embodiments, is a dual-damascene copper BEOL structure, in which the copper layers, which consist of the wire and via, have a thickness of at least 3.5 microns.
  • a dielectric stack used is approximately 5.5 um tall, with approximately 3.5 um tall wires.
  • the wires and vias have final heights of approximately 3 um and approximately 2 um, respectively, and both the via and wire have a minimum width of approximately 1.2 um.
  • FIG. 1 shows a starting structure in accordance with the invention.
  • the starting structure includes a damascene copper wire 12 formed in a low k dielectric material 10 used to insulate adjacent metal lines.
  • the low k dielectric material 10 reduces undesired capacitive coupling, and hence “cross talk”, between metal lines.
  • the dielectric material 10 may be, for example, SiO 2 , fluorine doped SiO 2 (FSG), SiCOH, polyarylene(ether), porous dielectrics, partial airgaps, or full airgaps.
  • dummy fill shapes may be provided in areas without copper wiring, as known in the art, to ensure uniform dimensions; although, it should be understood that the dummy fill shapes are not required to practice the invention.
  • a via etch stop layer 14 such as, for example, one or more of a high density plasma (HDP), plasma enhanced CVD, or spin-on layer, such as one or more of silicon nitride, silicon carbo-nitride, silicon-oxy-carbo-nitride, or silicon carbide cap, is formed on the dielectric material 10 .
  • the etch stop layer 14 can be minimized over an Mx-1 layer and is, in one embodiment, in the range of approximately 25-75 nm.
  • an interlevel dielectric layer 16 such as, for example, an oxide based material as described previously for layer 10 , is deposited on the etch stop layer 14 .
  • a MIM capacitor 18 is embedded in the interlevel dielectric layer 16 , using conventional processes.
  • the MIM capacitor 18 can be any conventional stacked structure, known to those of skill in the art.
  • the MIM capacitor 18 includes a TiN/W/TiN bottom plate with a TiN top plate.
  • the MIM capacitor 18 uses a TaN/Ta, TaN/Ta/TaN, or TaN/Ta/TiN bottom plates.
  • MIM capacitor 18 If a MIM capacitor 18 is included, then the dielectric layer 16 would be deposited in two steps, the first to MIM fabrication and the second after MIM fabrication. Any MIM dielectric, such as one or more of silicon dioxide, silicon nitride, alumina, tantalum pentoxide, in the standard thickness range of 25-75 nm, could be used, as known in the art.
  • MIM dielectric such as one or more of silicon dioxide, silicon nitride, alumina, tantalum pentoxide, in the standard thickness range of 25-75 nm, could be used, as known in the art.
  • a planarization step e.g., chemical mechanical polishing (CMP)
  • CMP chemical mechanical polishing
  • the CMP step could also be performed after the last dielectric layer deposition (layer 24 a ). If the latter is implemented, then the dielectric layers above the MIM would follow the profile of the MIM (not shown).
  • an etch stop layer 18 a e.g., upper silicon-nitride cap layer, silicon-carbo-nitride, silicon-oxy-carbo-nitride, or silicon-carbide etch stop layer or film similar in composition to the layer 14 , is formed on the MIM capacitor 18 .
  • the upper nitride layer 18 a is approximately twice as thick as the etch stop layer 14 and is formed from silicon nitride.
  • the nitride layer 18 a may be approximately 150 nm thick.
  • the MIM capacitor 18 has, in embodiments, approximately a 0.4 um total height; refractory metal conductive upper and lower plates, such as one or more of TiN, TaN, Ta, W, WN, TiSiN, TaSiN, WSiN; and a MIM dielectric such as one or more of SiO 2 , Si 3 N 4 , Al 2 O 3 , Ta 2 O 5 , an Al 2 O 3 /Ta 2 O 5 /Al 2 O 3 multi layer film, as known by those of skill in the art.
  • the top MIM plate is composed of TiN with the TiN RIE etched selectively to the MIM dielectric to avoid etching through the MIM dielectric and exposing the bottom MIM plate.
  • the MIM dielectric is an Al 2 O 3 /Ta 2 O 5 /Al 2 O 3 multi layer film
  • the MIM bottom plate is a low resistance refractory metal, such as W or Ta optionally cladded below and above with TiN or TaN.
  • a low dielectric material 20 e.g., FSG
  • the thickness of the low dielectric material 20 and the oxide layer 18 in one embodiment, is approximately 0.3 um; although other thicknesses are also contemplated by the invention.
  • An etch stop layer 22 is formed on the low dielectric material 20 , in the range of approximately 100 nm. In embodiments, the etch stop layer 22 is a silicon nitride cap layer.
  • An optional oxide layer 22 a may be formed on the etch stop layer 22 to improve adhesion of the subsequent dielectric layer 24 .
  • layer 22 could include 200 nm of SiO 2 and layer 24 could consist of 3 um of FSG. The presence of the undoped oxide under the FSG has been shown to improve adhesion or other properties of the FSG film over silicon nitride.
  • a low dielectric material 24 is formed on the nitride layer 22 , in any conventional manner.
  • the low dielectric material 24 is approximately 3 um thick.
  • a silicon dioxide layer 24 a may be formed on the low dielectric material 24 .
  • the silicon dioxide layer 24 a may be approximately 0.3 um to 0.5 um thick, and the low dielectric material 24 may be approximately 2.5 um thick.
  • the silicon dioxide layer 24 a may provide less variability and erosion during copper CMP (chemical mechanical polishing) processes.; and may or may not be fully removed during subsequent etching and CMP processing.
  • FIG. 2 is representative of an etching process to form partial vias in the structure of FIG. 1 .
  • one or more partial vias 26 are formed in the structure.
  • the partial vias 26 are formed by a conventional photo resist, patterning and etching methods.
  • a photoresist can be formed on the low dielectric material 24 or alternatively the silicon dioxide layer 24 a .
  • the photoresist is exposed to light to form a pattern.
  • the pattern is then etched using conventional RIE processes, for example, to form the partial vias 26 .
  • the photoresist preferably is stripped or removed.
  • the partial vias 26 are formed in the low dielectric material 24 in nominal alignment with the metal layer 12 and/or the MIM capacitor 18 for subsequent etching and wire formation.
  • the partial vias 26 may be etched to a depth of about 2 ⁇ 3 of the combined thickness of layers 24 and 24 a and a width of about 1.2 um.
  • the etchant chemistry is a standard RIE-based chemistry, such as CF 4 /Ar/CO using a conventional parallel plate RIE reactor, as known by those of skill in the art, such that resist is remaining in the wafer after the RIE etch is completed.
  • any standard hard mask, or ARC coated with a low temperature dielectric prior to lithographic patterning, as known in the art could be used.
  • FIG. 3 shows a beginning process of forming a trough.
  • a negative photoresist 28 is formed on the low dielectric material 24 or alternatively on the silicon dioxide layer 24 a , in addition to within the partial vias 26 . It has been found that good fill properties have been obtained in the partial vias 26 , with the photoresist 28 . In particular, unexposed negative photoresist is removed during the develop process. This means that areas without wiring troughs are exposed to light and areas which will have wiring troughs are not exposed to light. Since the unexposed negative resist is in the partially etched vias 26 , it can be easily removed during the resist develop process. This process eliminates the use of an ARC layer, thus eliminating the problems associated with ARC fill in vias and etching during the wire trough RIE etch.
  • wire trough openings 30 are formed by exposing portions of the negative photoresist, remote from the edges of the partial vias 26 .
  • the openings 30 are in substantial axial alignment with the partial vias 26 .
  • the opens 30 are formed by exposing the negative resist away from the partial vias 26 , which results in the formation of via borders 32 on sides of the partial vias 26 .
  • the via borders 32 are approximately 0.6 microns or less, but greater than zero.
  • the via borders 32 are, in embodiments, required to avoid exposure bleeding of light during the negative photoresist exposure from the edges of the partial vias 26 that are not printed, as shown in FIG. 8 .
  • FIG. 5 shows additional processing steps in accordance to the invention.
  • the process continues with etchant processes to etch the partial vias 26 and troughs 34 into the dielectric layer 24 such that the vias 26 are etched through the etch stop layer 22 while the troughs 34 are not etched through the etch stop layer 22 .
  • the vias 26 have not reached the etch stop layer 18 a .
  • the etching process will etch the partial vias 26 into the interlevel dielectric layer 16 (shown) or dielectric layer 20 .
  • the via height is optimized (e.g., depending on the thickness of the layer 16 , the via may be in the range of about 2 microns) to prevent the via from hitting the MIM etch stop layer 18 a before the trough RIE etch hits nitride stop layer 14 .
  • the etchant chemistry is non-selective to the etch stop layers, i.e., the RIE etch rate of layers 24 , 20 , and 16 are approximately the same as the RIE etch rate of etch stop layer 22 .
  • the non-selective etching process is timed to etch only a portion of dielectric layers 16 or 20 .
  • Portions of the dielectric layer, above the metal layer 12 and the MIM capacitor 18 will not be etched, and hence the etchant will not etch into the etch stop layers 14 and 18 a , e.g., etching will stop above the nitride layer 14 (protecting the metal layer 12 ) and the nitride layer 18 a (protecting the MIM capacitor 18 ).
  • Table 1 shows the RIE etching conditions for the trough (second dual damascene step), performed in an industry standard parallel plate RIE chamber. Note that other chemistries could be employed as could other RIE reactors, as long as the integration requirements discussed supra are met.
  • TABLE 1 Etching Process Process Conditions Comments Non-selective RIE Ar/CF 4 /CHF 3 /O 2 Get bottom of partial via through the buried nitride, but stop above the MIM. In embodiments, should have minimum corner rounding of vias because it causes erosion of buried nitride layer Selective Trough RIE Ar/O 2 /C4F8/CO Clear trough oxide and endpoint before the bottom of partial via hits MIM nitride.
  • Resist Strip no wafer O 2 Standard Resist Strip for Bias nitride preservation Resist Strip with wafer O 2 Standard Resist Strip for Bias nitride preservation Silicon Nitride RIE Ar/CF 4 /CHF 3 /O 2 Standard Nitride Etch Deflourination Clean N 2 /H 2 Standard DF Clean for residual etch polymers
  • FIG. 6 represents a selective RIE etching process in accordance with the invention.
  • an RIE etch process selective to silicon nitride is employed to form troughs 34 .
  • the etch chemistry will further etch the via, preferably to slightly above the etch stop layers 14 and 18 a (e.g., silicon nitride layers).
  • the RIE process will etch to the etch stop layer 18 a prior to etching to the etch stop layer 14 .
  • the etch stop layer 18 a may be slightly etched away, but at such a slow rate that the top layer of the MIM capacitor 18 will not be exposed. For this reason, the etch stop layer 18 a may be thicker than the etch stop layer 14 , ensuring that the MIM capacitor 18 will not be exposed during the etching process.
  • An aspect of maintaining RIE selectivity to the etch stop layer 18 a is to limit the available oxygen in the RIE chamber by only etching the vias. If the trough 34 patterns are etched through etch stop layer 22 before the vias are fully etched, then RIE selectivity to the etch stop layer 18 a is reduced or eliminated, due to oxygen liberation from the dielectric etched in the trough openings, resulting in the RIE etching into the top plate of the MIM capacitor, with resulting degraded yield or dielectric reliability of the MIM. This and other portions of the etch can be performed based on fixed times, using known etch rates, or by using optical emission spectroscopy (or any other known method) to end point the etch.
  • the trough 34 ranges about 1 micron to 100 microns in width and approximately 3 microns to 3.5 microns tall.
  • the vias are approximately 2 microns tall, post processing. It should be understood, though, that the above dimensions are provided as one non-limiting illustrative example, and that other dimensions are also equally achievable with the implementation of the present invention.
  • 2 sccm of a C 4 F 8 trough RIE reactive ion etching
  • This etchant process eliminates silicon nitride punch through at the trough edge, provides better selectivity to the MIM capacitor, and avoids polymer RIE stop and via bar RIE problems (e.g., via bars are known to have a much lower resistance to etchant chemistry).
  • the etch stop layers 14 and 18 a will act as an etch stop during the selective etchant process thus ensuring the underlying metal (e.g., copper) layer 12 or the MIM capacitor 18 will not be exposed, e.g., the etch stop layers are thick enough to stop the RIE.
  • the photoresist 28 is stripped, the silicon nitride layer 14 (as well as any other exposed dielectric) is etched to expose the underlying wires 12 , an optional defluorination plasma clean is performed, wafer cleans are performed, such as a 30 second 100:1 DHF clean, and the wafer is metalized by depositing refractory metal liner, copper seed, electroplating copper, and using CMP to remove excess metal, as known by those of skill in the art.
  • FIG. 7 shows a graph of etch time vs. interlevel depth targets for a 1.5 ⁇ m partial via depth.
  • the horizontal line represents the time until the etch hits the etch stop layer 14 and the sloped line represents the time until the via hits the etch stop layer 18 a of the MIM capacitor 18 .
  • the selective oxide trough etch has an endpoint on the etch stop layer (e.g., cap) 22 before the via hits the etch stop layer 18 a of the MIM capacitor 18 .
  • the optimal via height is 1.9 a ⁇ m, post processing.
  • FIGS. 8A-8D show a comparison of an intermediate structure (with a 600 nm trough border around the via) processed in accordance with the invention and a structure with no border.
  • FIGS. 8A and 8B show, respectively, a side and top view of the structure fabricated in accordance with the invention. It is evident that no residual resist remains in the partial vias with the borders, fabricated in accordance with the present invention.
  • FIGS. 8C and 8D using vias without borders results in residual resist remaining in the vias. That is, there is scumming in the vias. This scumming is due to the fact that light bleeds into the via and cannot be later developed out, hence impairing the subsequent etching processes to form the via and the trough.
  • a typical MIM capacitor is shown in FIG. 9 with each layer described in Table 2.
  • the MIM top plate 52 and bottom plate 50 are conductors and can be composed of multiple layers.
  • the plates are typically composed of refractory metals or alloys, such as W, WN, TiN, Ta, TaN, TiSiN, etc. as described above.
  • refractory metals or alloys such as W, WN, TiN, Ta, TaN, TiSiN, etc. as described above.
  • MIM capacitors using one or more layers of PECVD silicon oxide or silicon nitride; or CVD alumina cladded tantalum pentoxide superior MIM reliability has been obtained when TiN, TaN, or Ta is in direct contact with the MIM dielectric 51 .
  • An additional bottom plate requirement is that it has low sheet resistance, to improve the MIM quality factor.
  • the MIM bottom plate 50 is contacted with vias from above, resulting in contact in areas without the top plate. This means that, to minimize the effective bottom plate resistance and maximize the MIM quality factor, the MIM bottom plate 50 needs to be relatively thick, i.e., 100-400 nm. Since the MIM top plate 52 can be contacted with vias above and strapped with wiring from above, its sheet resistance is relatively unimportant and an important parameter is it's etch resistance to the RIE etches, wet etches, and cleans performed when the vias above the MIM are fabricated.
  • the MIM dielectric integrity can be degraded due to charging damage. If the via etches completely through the MIM top plate and contacts the MIM dielectric, then the MIM top plate 52 and bottom plate 50 will either be shorted or will have poor dielectric leakage properties. Finally, hard mask or etch stop layers 53 and 54 need to be thick enough to stop the via from etching into the MIM plates. Since the MIM must fit into the via height, this means that the MIM height above the prior wiring level is limited and trade-offs are made between MIM plate resistance, MIM hard mask or etch stop layer thickness, etc.
  • a wet clean such as 100:1 DHF for 30 seconds, followed by an argon sputter clean is performed.
  • the argon sputter clean removal of the MIM top plate should be minimized to avoid shorting the MIM top plate 52 and bottom plate 50 together, as described above.
  • the MIM capacitor formation includes sputter clean removal of a MIM top plate of less than 10 nanometer oxide equivalent sputter removal to avoid shorting the MIM top plate and bottom plate together.
  • FSG dielectric tends to have much high compressive stress than undoped silicon oxide.
  • undoped silicon dioxide is optionally employed for part of the via dielectric stack, where it has the least impact on wiring capacitance, to reduce the overall wafer bending. Wafers with excessive bending due to high stress films have difficulty chucking in processing tools such as lithography aligners, RIE, etc.
  • any dielectric can be employed for layers, not just FSG and undoped silicon dioxide.
  • Undoped silicon dioxide can also optionally be employed above layer 24 in FIG. 1 to a thickness of approximately 100-500 nm, e.g. 300 nm.
  • Undoped silicon oxide is less reactive than FSG with moisture in the atmosphere or during wet cleans; can reduce photoresist poisoning; and has minimal to no effect on final wiring capacitance if it is partially left on the wafer or fully removed during the layer 14 etching and subsequent Cu CMP processes.
  • the trough RIE chemistry should be optimized to etch both via holes and via bars since the via etch is completed during trough RIE, During the trough RIE, via bars and via holes will etch like the troughs. Once the selective trough etch endpoints on the buried etch stop layer, the chemistry will switch to a super selective via etch optimized for via holes and via bars.
  • the method as described above is used in the fabrication of integrated circuit chips such as CMOS, SiGe, SRAM, DRAM transistors, etc.
  • the resulting integrated circuit chips can be distributed by the fabricator in raw wafer form (that is, as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form. In the latter case the chip is mounted in a single chip package (such as a plastic carrier, with leads that are affixed to a motherboard or other higher level carrier) or in a multichip package (such as a ceramic carrier that has either or both surface interconnections or buried interconnections).
  • a single chip package such as a plastic carrier, with leads that are affixed to a motherboard or other higher level carrier
  • a multichip package such as a ceramic carrier that has either or both surface interconnections or buried interconnections.
  • the chip is then integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either (a) an intermediate product, such as a motherboard, or (b) an end product.
  • the end product can be any product that includes integrated circuit chips, ranging from toys and other low-end applications to advanced computer products having a display, a keyboard or other input device, and a central processor.

Abstract

A method and semiconductor device. In the method, at least one partial via is etched in a stacked structure and a border is formed about the at least one partial via. The method further includes performing thick wiring using selective etching while continuing via etching to at least one etch stop layer.

Description

    FIELD OF THE INVENTION
  • The invention generally relates to a semiconductor device and method of manufacture and, more particularly, to a semiconductor device having analog, or super thick, wires and a method of manufacturing thereof using a dual-damascene process.
  • BACKGROUND OF THE INVENTION
  • Super thick damascene copper (Cu) wires (e.g., >2 um thick) are currently fabricated with single damascene processing. The use of a single damascene process is mainly due to integration problems associated with dual-damascene processing, including the problem of contacting both MIM capacitors and underlying wiring layers during the via and wire etching processes.
  • In the super thick dual-damascene Cu wire processes, the vias and trenches are defined using conventional lithography steps. In these conventional processes, the via is about 5.5. μm in height and at about 1.5 μm in width. After the formation of the via, the via is filled with spin on organic material such as, for example, anti-reflective coating (ARC), to the underlying Cu wiring layer Mx, for a trough lithographic step.
  • However, it has been found that the second dual-damascene lithography step is difficult to make work in the super thick damascene Cu wire processes. By way of example, for a via first, trench last process, it has been found that the ARC forms an hourglass formation in the via which, in turn, results in large voids in the via. More specifically, it has been found that none of the industry standard mid UV (MUV) or Deep UV (DUV) ARCs achieved more than 40% fill, with all of them leaving large voids in the vias which opened up during trough etch. And, due to these voids, subsequent etching caused corrosion in an underlying metal layer due to the etchant etching through the voids.
  • If the ARC, for example, is made thicker, there is better fill properties within the via; however, other problems arise during the subsequent etching process. For example, acceptable via fill can be achieved using an 0.8 um layer, but this severely complicates the trough RIE due to the very long ARC open step required, and due to large fences or rails generated around the vias during trough RIE. More specifically, during the RIE process using the thicker ARC fill, fences are formed on the sides of the via, early in the trough RIE process. This leads to preferential etching along the via edges down to an underlying metal (Mx) layer. Thus, it was found that when the ARC is of about 0.8 um, there is resist erosion, massive fencing and trough RIE (reactive ion etching) problems.
  • The invention is directed to overcoming one or more of the problems as set forth above.
  • SUMMARY OF THE INVENTION
  • In a first aspect of the invention, a method comprises etching at least one partial via in a stacked structure and forming a border about the at least one partial via. The method further includes performing thick wiring using selective etching while continuing via etching to at least one etch stop layer.
  • In embodiments, the performing step is part of a dual-damascene process. The forming of the border comprises forming a negative photoresist on the stacked structure and exposing portions of the negative photoresist remote from the at least one partial via. The at least one etch stop layer comprises a first etch stop layer over an Mx-1 metal layer and a second etch stop layer over a metal insulator metal (MIM) capacitor. The etch stop layer over the MIM capacitor is formed thicker than the etch stop layer over the Mx-1 metal layer.
  • The method further includes incorporating a metal insulator metal (MIM) capacitor into the stacked structure and the thick wiring extends to the at least one etch stop layer over the MIM capacitor. The forming the stacked structure comprises providing a damascene copper wire formed in a first low K dielectric material and forming an etch stop layer on the first low K dielectric material. An interlevel dielectric layer and second dielectric layer is formed on the etch stop layer. A second etch stop layer is formed on the second low K dielectric layer, and a third low K dielectric layer is formed on the etch stop layer.
  • The MIM capacitor comprises refractory metals or alloys comprising at least one W, WN, TiN, Ta, TaN and TiSiN. The at least one of the first and second low K dielectric material and the second dielectric layer is fluorine doped silicate glass (FSG). The etch stop layer and the second etch stop layer is at least silicon nitride, silicon carbo nitride, silicon oxy carbo nitride and silicon carbide. The MIM capacitor is embedded in the interlevel dielectric layer. The MIM capacitor is a plurality of plates with at least one of a silicon nitride, silicon carbo nitride, silicon oxy carbo nitride and silicon carbide etch stop layer.
  • The etching the at least one partial via includes partially etching the stacked structure in alignment with at least one of an underlying metal layer and MIM capacitor. The performing step comprises depositing negative photoresist on the stacked structure after the formation of the at least one partial via, exposing the negative photoresist, remote from the at least one partial via to form a border, etching the at least one partial via further into the stacked structure and selectively etching to form at least one trough. The selective etching is selective to the at least one etch stop layer deposited on at least one of an underlying metal layer and MIM capacitor.
  • In embodiments, the steps of the invention are used for fabrication of integrated circuit chips. The steps of the invention are a dual-damascene copper back end of line (BEOL) process, in which copper layers defined as a wire and via height have a thickness of about 3.5 microns or greater. The performing thick wiring using selective etching while continuing via etching to at least one etch stop layer includes a trough etch which extends to an upper embedded etch layer before the via extends to the at least one etch stop layer.
  • In another aspect of the invention, the method is directed to making a dual-damascene copper BEOL structure. The method comprising forming a partial height via in alignment with at least an underlying metal layer and applying a negative photoresist material. The method further includes forming a border in the negative photoresist material proximate the partial height via and etching the partial height via to a further depth and selectively etching to form a trough. The method further includes incorporating a MIM capacitor into the BEOL structure.
  • In embodiments, the etching step includes etching to at least an etch stop layer above a metal layer and the MIM capacitor. The method further comprises providing the underlying metal formed in a first low K dielectric material, forming an etch stop layer on the first low K dielectric material, forming an oxide layer and interlevel dielectric layer on the etch stop layer, embedding the MIM capacitor in the silicon dioxide layer, forming a cap layer on the MIM capacitor, forming a second etch stop layer on the interlevel dielectric layer, and forming a third low K dielectric layer on the etch stop layer.
  • The etch stop layer and the second etch stop layer is at least one of a silicon nitride, silicon carbo nitride, silicon oxy carbo nitride and silicon carbide etch stop layer. The MIM capacitor is formed using a plurality of plates with at least one of a silicon nitride, silicon carbo nitride, silicon oxy carbo nitride and silicon carbide etch stop. The etching the partial height via to a further depth and selectively etching to form a trough includes etching the trough to an upper embedded etch layer before the via hits at least another etch stop layer. The forming of the border comprises exposing portions of the negative photoresist remote from the partial height via. The etching a trough comprises selectively etching to at least one of a cap layer over the MIM capacitor and an underlying metal layer. The etching the partial height via to a further depth and selectively etching to form a trough is in alignment with at least one of the underlying metal layer and the MIM capacitor.
  • In another aspect of the invention, a dual-damascene method for fabricating a thick wire structure comprises forming a partial via in a stacked structure and depositing negative photoresist on the stacked structure after the formation of the partial via. The method further includes exposing the negative photoresist, remote from the partial via to form a border above the partial via. The partial via is etched further into the stacked structure. The method further includes selectively etching into the partial via to form a trough. The selective etching is selective to at least one etch stop layer deposited on at least one of an underlying metal layer. A MIM has at least an upper plate MIM dielectric and a lower plate.
  • In embodiments, the thick wire structure has a thickness of about 3.5 microns or greater. The steps are designed for fabrication of integrated circuit chips. The MIM capacitor is formed by sputter clean removal of a MIM top plate of less than 10 nanometer oxide equivalent sputter removal such that the via is not fully etched through the upper plate and is not in contact with the MIM dielectric. The at least one etch stop is a first etch stop and a second etch stop. The first etch stop is formed over the underlying metal layer and the second etch stop is formed on a surface of the MIM capacitor and formed with a height greater than a height of the first etch stop.
  • In another aspect of the invention, a thick wire structure comprises a damascene copper wire formed in a first dielectric layer and an etch stop layer covering the damascene copper wire. A second dielectric layer is formed on the etch stop layer. A second etch stop layer is formed on the second dielectric layer and a third dielectric layer is formed on the etch stop layer. A via approximately 1.5 microns or taller us formed through the first, second and third dielectric layer and contacts the damascene copper wire. A trough approximately 2 microns or taller is formed proximate to the second etch stop and in substantial axial alignment with the via and having a width larger than the via. A MIM capacitor is embedded in the oxide layer and an etch stop layer is formed over the MIM capacitor. The via is in alignment with and extends to the etch stop layer formed over the MIM capacitor and the trough is in axial alignment with the via formed over the MIM capacitor and stops near the second etch stop. A dielectric stack is approximately 5.5 um tall, with approximately 3.5 um tall wires and the via has a minimum width of approximately 1.2 um.
  • In an aspect of the invention, a thick wire structure comprises an underlying wire formed in a FSG (fluorine doped silicate glass) dielectric material. A first nitride cap layer covers the underlying wire. An interlevel layer is formed on the first nitride cap layer. A MIM capacitor is embedded in a portion of the interlevel layer. A MIM etch stop cap layer is formed on the MIM capacitor, where the MIM cap layer has a thickness greater than the first nitride cap. A second nitride cap layer is formed on the interlevel layer. An FSG dielectric layer is formed on the second nitride cap. A via is in alignment with at least one of the underlying wire and the MIM capacitor, extending proximate to the MIM nitride cap layer and the first nitride cap layer. A trough is in substantial axial alignment with the via and having a width larger than the via and extending to the second nitride cap layer. In embodiments, the MIM capacitor is composed of refractory metals or alloys comprising at least one W, WN, TiN, Ta, TaN and TiSiN.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 shows an initial structure in accordance with the invention;
  • FIG. 2 shows processing steps implemented in accordance with the invention;
  • FIG. 3 shows processing steps implemented in accordance with the invention;
  • FIG. 4 shows processing steps implemented in accordance with the invention;
  • FIG. 5 shows processing steps implemented in accordance with the invention;
  • FIG. 6 shows processing steps implemented in accordance with the invention;
  • FIG. 7 shows a graph of etch time vs. interlevel depth targets for a 1.5 μm partial via depth;
  • FIGS. 8A-8D show a comparison of an intermediate structure (with a border) processed in accordance with the invention and a structure with no border; and
  • FIG. 9 shows an example of a MIM capacitor implemented with the invention.
  • DETAILED DESCRIPTION OF EMBODIMENTS OF THE INVENTION
  • The invention generally relates to a semiconductor device and method of manufacture and, more particularly, to a method of manufacturing a device with thick wires using a dual-damascene process. By using the dual-damascene process of the invention, problems such as punch through at the edges of the via, via under etch, trough under etch or over etch are eliminated. The process of the invention additionally eliminates corrosive effects on the underlying metal layer and/or MIM (metal insulator metal) capacitor. In one implementation, the dual-damascene process of the invention includes, for example, forming a partial depth via, applying a negative photoresist material, and then etching a trough and completing the via etch. The method optionally includes incorporating a MIM capacitor or other passive element, such as a thin film resistor, into the BEOL (back end of line) structure.
  • The resultant structure, in embodiments, is a dual-damascene copper BEOL structure, in which the copper layers, which consist of the wire and via, have a thickness of at least 3.5 microns. In at least one structure formed by the processes of the invention, a dielectric stack used is approximately 5.5 um tall, with approximately 3.5 um tall wires. In embodiments, the wires and vias have final heights of approximately 3 um and approximately 2 um, respectively, and both the via and wire have a minimum width of approximately 1.2 um.
  • FIG. 1 shows a starting structure in accordance with the invention. The starting structure includes a damascene copper wire 12 formed in a low k dielectric material 10 used to insulate adjacent metal lines. The low k dielectric material 10 reduces undesired capacitive coupling, and hence “cross talk”, between metal lines. In embodiments, the dielectric material 10 may be, for example, SiO2, fluorine doped SiO2 (FSG), SiCOH, polyarylene(ether), porous dielectrics, partial airgaps, or full airgaps. In embodiments, dummy fill shapes may be provided in areas without copper wiring, as known in the art, to ensure uniform dimensions; although, it should be understood that the dummy fill shapes are not required to practice the invention.
  • A via etch stop layer 14 such as, for example, one or more of a high density plasma (HDP), plasma enhanced CVD, or spin-on layer, such as one or more of silicon nitride, silicon carbo-nitride, silicon-oxy-carbo-nitride, or silicon carbide cap, is formed on the dielectric material 10. In embodiments, the etch stop layer 14 can be minimized over an Mx-1 layer and is, in one embodiment, in the range of approximately 25-75 nm.
  • Still referring to FIG. 1, an interlevel dielectric layer 16 such as, for example, an oxide based material as described previously for layer 10, is deposited on the etch stop layer 14. In one embodiment, a MIM capacitor 18 is embedded in the interlevel dielectric layer 16, using conventional processes. The MIM capacitor 18 can be any conventional stacked structure, known to those of skill in the art. In one embodiment, though, the MIM capacitor 18 includes a TiN/W/TiN bottom plate with a TiN top plate. In other embodiments, the MIM capacitor 18 uses a TaN/Ta, TaN/Ta/TaN, or TaN/Ta/TiN bottom plates. If a MIM capacitor 18 is included, then the dielectric layer 16 would be deposited in two steps, the first to MIM fabrication and the second after MIM fabrication. Any MIM dielectric, such as one or more of silicon dioxide, silicon nitride, alumina, tantalum pentoxide, in the standard thickness range of 25-75 nm, could be used, as known in the art.
  • After the second dielectric deposition, a planarization step, e.g., chemical mechanical polishing (CMP), can be performed removing a dielectric thickness equal to approximately 1.5 to 3 times the MIM height, followed by standard wafer cleans, as known in the art to planarize the wafer. The CMP step could also be performed after the last dielectric layer deposition (layer 24 a). If the latter is implemented, then the dielectric layers above the MIM would follow the profile of the MIM (not shown).
  • In one embodiment, which includes a MIM capacitor 18, an etch stop layer 18 a, e.g., upper silicon-nitride cap layer, silicon-carbo-nitride, silicon-oxy-carbo-nitride, or silicon-carbide etch stop layer or film similar in composition to the layer 14, is formed on the MIM capacitor 18. In one embodiment, the upper nitride layer 18 a is approximately twice as thick as the etch stop layer 14 and is formed from silicon nitride. As an illustrative example, the nitride layer 18 a may be approximately 150 nm thick. This ensures, as discussed in greater detail below, that subsequent etching processes will not expose the MIM capacitor 18, prior to etching to the etch stop layer 14. The MIM capacitor 18 has, in embodiments, approximately a 0.4 um total height; refractory metal conductive upper and lower plates, such as one or more of TiN, TaN, Ta, W, WN, TiSiN, TaSiN, WSiN; and a MIM dielectric such as one or more of SiO2, Si3N4, Al2O3, Ta2O5, an Al2O3/Ta2O5/Al2O3 multi layer film, as known by those of skill in the art. In one embodiment, the top MIM plate is composed of TiN with the TiN RIE etched selectively to the MIM dielectric to avoid etching through the MIM dielectric and exposing the bottom MIM plate. The MIM dielectric is an Al2O3/Ta2O5/Al2O3 multi layer film, and the MIM bottom plate is a low resistance refractory metal, such as W or Ta optionally cladded below and above with TiN or TaN.
  • A low dielectric material 20, e.g., FSG, is formed on the silicon dioxide layer 18. The thickness of the low dielectric material 20 and the oxide layer 18, in one embodiment, is approximately 0.3 um; although other thicknesses are also contemplated by the invention. An etch stop layer 22 is formed on the low dielectric material 20, in the range of approximately 100 nm. In embodiments, the etch stop layer 22 is a silicon nitride cap layer. An optional oxide layer 22 a may be formed on the etch stop layer 22 to improve adhesion of the subsequent dielectric layer 24. For example, layer 22 could include 200 nm of SiO2 and layer 24 could consist of 3 um of FSG. The presence of the undoped oxide under the FSG has been shown to improve adhesion or other properties of the FSG film over silicon nitride.
  • A low dielectric material 24, e.g., FSG, is formed on the nitride layer 22, in any conventional manner. In embodiments, the low dielectric material 24 is approximately 3 um thick. In an optional step, a silicon dioxide layer 24 a may be formed on the low dielectric material 24. In this optional step, the silicon dioxide layer 24 a may be approximately 0.3 um to 0.5 um thick, and the low dielectric material 24 may be approximately 2.5 um thick. As should be understood, the silicon dioxide layer 24 a may provide less variability and erosion during copper CMP (chemical mechanical polishing) processes.; and may or may not be fully removed during subsequent etching and CMP processing.
  • FIG. 2 is representative of an etching process to form partial vias in the structure of FIG. 1. In embodiments, one or more partial vias 26 are formed in the structure. In embodiments, the partial vias 26 are formed by a conventional photo resist, patterning and etching methods. For example, a photoresist can be formed on the low dielectric material 24 or alternatively the silicon dioxide layer 24 a. The photoresist is exposed to light to form a pattern. The pattern is then etched using conventional RIE processes, for example, to form the partial vias 26. The photoresist preferably is stripped or removed.
  • In embodiments, the partial vias 26 are formed in the low dielectric material 24 in nominal alignment with the metal layer 12 and/or the MIM capacitor 18 for subsequent etching and wire formation. Depending on the thickness of the dielectric material 24 (and, in alternative embodiments, the silicon oxide layer 24 a), the partial vias 26 may be etched to a depth of about ⅔ of the combined thickness of layers 24 and 24 a and a width of about 1.2 um. In embodiments, the etchant chemistry is a standard RIE-based chemistry, such as CF4/Ar/CO using a conventional parallel plate RIE reactor, as known by those of skill in the art, such that resist is remaining in the wafer after the RIE etch is completed. Alternatively, any standard hard mask, or ARC coated with a low temperature dielectric prior to lithographic patterning, as known in the art, could be used.
  • FIG. 3 shows a beginning process of forming a trough. In this embodiment, a negative photoresist 28 is formed on the low dielectric material 24 or alternatively on the silicon dioxide layer 24 a, in addition to within the partial vias 26. It has been found that good fill properties have been obtained in the partial vias 26, with the photoresist 28. In particular, unexposed negative photoresist is removed during the develop process. This means that areas without wiring troughs are exposed to light and areas which will have wiring troughs are not exposed to light. Since the unexposed negative resist is in the partially etched vias 26, it can be easily removed during the resist develop process. This process eliminates the use of an ARC layer, thus eliminating the problems associated with ARC fill in vias and etching during the wire trough RIE etch.
  • As shown in FIG. 4, wire trough openings 30 are formed by exposing portions of the negative photoresist, remote from the edges of the partial vias 26. The openings 30 are in substantial axial alignment with the partial vias 26. The opens 30 are formed by exposing the negative resist away from the partial vias 26, which results in the formation of via borders 32 on sides of the partial vias 26. The via borders 32 are approximately 0.6 microns or less, but greater than zero. The via borders 32 are, in embodiments, required to avoid exposure bleeding of light during the negative photoresist exposure from the edges of the partial vias 26 that are not printed, as shown in FIG. 8.
  • FIG. 5 shows additional processing steps in accordance to the invention. Subsequent to the patterning of the resist layer 28 with the wire trough openings 30, the process continues with etchant processes to etch the partial vias 26 and troughs 34 into the dielectric layer 24 such that the vias 26 are etched through the etch stop layer 22 while the troughs 34 are not etched through the etch stop layer 22. At this point in the process, the vias 26 have not reached the etch stop layer 18 a. As shown in FIG. 5, the etching process will etch the partial vias 26 into the interlevel dielectric layer 16 (shown) or dielectric layer 20. In one embodiment, the via height is optimized (e.g., depending on the thickness of the layer 16, the via may be in the range of about 2 microns) to prevent the via from hitting the MIM etch stop layer 18 a before the trough RIE etch hits nitride stop layer 14.
  • In this etching step, the etchant chemistry is non-selective to the etch stop layers, i.e., the RIE etch rate of layers 24, 20, and 16 are approximately the same as the RIE etch rate of etch stop layer 22. The non-selective etching process is timed to etch only a portion of dielectric layers 16 or 20. Portions of the dielectric layer, above the metal layer 12 and the MIM capacitor 18 will not be etched, and hence the etchant will not etch into the etch stop layers 14 and 18 a, e.g., etching will stop above the nitride layer 14 (protecting the metal layer 12) and the nitride layer 18 a (protecting the MIM capacitor 18).
  • Table 1, below, shows the RIE etching conditions for the trough (second dual damascene step), performed in an industry standard parallel plate RIE chamber. Note that other chemistries could be employed as could other RIE reactors, as long as the integration requirements discussed supra are met.
    TABLE 1
    Etching Process Process Conditions Comments
    Non-selective RIE Ar/CF4/CHF3/O2 Get bottom of partial via
    through the buried
    nitride, but stop above
    the MIM. In
    embodiments, should
    have minimum corner
    rounding of vias
    because it causes
    erosion of buried nitride
    layer
    Selective Trough RIE Ar/O2/C4F8/CO Clear trough oxide and
    endpoint before the
    bottom of partial via hits
    MIM nitride. In
    embodiments, should
    have very little erosion
    of buried nitride layer
    Selective Via RIE Ar/O2/C4F8/CO Standard Super-
    Selective Via Etch
    chemistry. In
    embodiments, should
    have sufficient overetch
    to ensure good
    connectivity between
    levels.
    Resist Strip no wafer O2 Standard Resist Strip for
    Bias nitride preservation
    Resist Strip with wafer O2 Standard Resist Strip for
    Bias nitride preservation
    Silicon Nitride RIE Ar/CF4/CHF3/O2 Standard Nitride Etch
    Deflourination Clean N2/H2 Standard DF Clean for
    residual etch polymers
  • FIG. 6 represents a selective RIE etching process in accordance with the invention. In this processing step, an RIE etch process selective to silicon nitride is employed to form troughs 34. In this RIE processing step, as should be understood, the etch chemistry will further etch the via, preferably to slightly above the etch stop layers 14 and 18 a (e.g., silicon nitride layers). However, in embodiments, during this etching process, the RIE process will etch to the etch stop layer 18 a prior to etching to the etch stop layer 14. In this manner, in some implementations, the etch stop layer 18 a may be slightly etched away, but at such a slow rate that the top layer of the MIM capacitor 18 will not be exposed. For this reason, the etch stop layer 18 a may be thicker than the etch stop layer 14, ensuring that the MIM capacitor 18 will not be exposed during the etching process.
  • An aspect of maintaining RIE selectivity to the etch stop layer 18 a is to limit the available oxygen in the RIE chamber by only etching the vias. If the trough 34 patterns are etched through etch stop layer 22 before the vias are fully etched, then RIE selectivity to the etch stop layer 18 a is reduced or eliminated, due to oxygen liberation from the dielectric etched in the trough openings, resulting in the RIE etching into the top plate of the MIM capacitor, with resulting degraded yield or dielectric reliability of the MIM. This and other portions of the etch can be performed based on fixed times, using known etch rates, or by using optical emission spectroscopy (or any other known method) to end point the etch.
  • In embodiments, the trough 34 ranges about 1 micron to 100 microns in width and approximately 3 microns to 3.5 microns tall. The vias, on the other hand, are approximately 2 microns tall, post processing. It should be understood, though, that the above dimensions are provided as one non-limiting illustrative example, and that other dimensions are also equally achievable with the implementation of the present invention.
  • Still referring to FIG. 6, in the etchant process described, in one embodiment, 2 sccm of a C4F8 trough RIE (reactive ion etching) can be added to increase selectivity. This etchant process eliminates silicon nitride punch through at the trough edge, provides better selectivity to the MIM capacitor, and avoids polymer RIE stop and via bar RIE problems (e.g., via bars are known to have a much lower resistance to etchant chemistry). Also, in this selective etching step, the etch stop layers 14 and 18 a will act as an etch stop during the selective etchant process thus ensuring the underlying metal (e.g., copper) layer 12 or the MIM capacitor 18 will not be exposed, e.g., the etch stop layers are thick enough to stop the RIE. As an additional process step, once the troughs 32 are formed, the photoresist 28 is stripped, the silicon nitride layer 14 (as well as any other exposed dielectric) is etched to expose the underlying wires 12, an optional defluorination plasma clean is performed, wafer cleans are performed, such as a 30 second 100:1 DHF clean, and the wafer is metalized by depositing refractory metal liner, copper seed, electroplating copper, and using CMP to remove excess metal, as known by those of skill in the art.
  • It is possible to model the etch times versus wire and via height to optimize the process and avoid etching through the etch stop layer 18 a. FIG. 7 shows a graph of etch time vs. interlevel depth targets for a 1.5 μm partial via depth. As shown in this graph, the horizontal line represents the time until the etch hits the etch stop layer 14 and the sloped line represents the time until the via hits the etch stop layer 18 a of the MIM capacitor 18. The selective oxide trough etch has an endpoint on the etch stop layer (e.g., cap) 22 before the via hits the etch stop layer 18 a of the MIM capacitor 18. In FIG. 7, the optimal via height is 1.9 a μm, post processing.
  • FIGS. 8A-8D show a comparison of an intermediate structure (with a 600 nm trough border around the via) processed in accordance with the invention and a structure with no border. In this representation, FIGS. 8A and 8B show, respectively, a side and top view of the structure fabricated in accordance with the invention. It is evident that no residual resist remains in the partial vias with the borders, fabricated in accordance with the present invention. However, as shown in the FIGS. 8C and 8D, using vias without borders results in residual resist remaining in the vias. That is, there is scumming in the vias. This scumming is due to the fact that light bleeds into the via and cannot be later developed out, hence impairing the subsequent etching processes to form the via and the trough.
  • A typical MIM capacitor is shown in FIG. 9 with each layer described in Table 2. The MIM top plate 52 and bottom plate 50 are conductors and can be composed of multiple layers. For MIM capacitors fabricated with damascene copper wiring, the plates are typically composed of refractory metals or alloys, such as W, WN, TiN, Ta, TaN, TiSiN, etc. as described above. For MIM capacitors using one or more layers of PECVD silicon oxide or silicon nitride; or CVD alumina cladded tantalum pentoxide, superior MIM reliability has been obtained when TiN, TaN, or Ta is in direct contact with the MIM dielectric 51. An additional bottom plate requirement is that it has low sheet resistance, to improve the MIM quality factor.
  • The MIM bottom plate 50 is contacted with vias from above, resulting in contact in areas without the top plate. This means that, to minimize the effective bottom plate resistance and maximize the MIM quality factor, the MIM bottom plate 50 needs to be relatively thick, i.e., 100-400 nm. Since the MIM top plate 52 can be contacted with vias above and strapped with wiring from above, its sheet resistance is relatively unimportant and an important parameter is it's etch resistance to the RIE etches, wet etches, and cleans performed when the vias above the MIM are fabricated.
  • If the via contacts the MIM top plate (i.e. etches through the etch stop layer 18 a during the trough etch), the MIM dielectric integrity can be degraded due to charging damage. If the via etches completely through the MIM top plate and contacts the MIM dielectric, then the MIM top plate 52 and bottom plate 50 will either be shorted or will have poor dielectric leakage properties. Finally, hard mask or etch stop layers 53 and 54 need to be thick enough to stop the via from etching into the MIM plates. Since the MIM must fit into the via height, this means that the MIM height above the prior wiring level is limited and trade-offs are made between MIM plate resistance, MIM hard mask or etch stop layer thickness, etc. When the wafer is metalized post via and trough RIE, a wet clean, such as 100:1 DHF for 30 seconds, followed by an argon sputter clean is performed. The argon sputter clean removal of the MIM top plate should be minimized to avoid shorting the MIM top plate 52 and bottom plate 50 together, as described above. For example, the MIM capacitor formation includes sputter clean removal of a MIM top plate of less than 10 nanometer oxide equivalent sputter removal to avoid shorting the MIM top plate and bottom plate together.
    TABLE 2
    Layer Thickness Comment
    50 150 nm  MIM bottom plate
    51 30 nm MIM dielectric
    52 50 nm MIM top plate
    53 50 nm MIM top plate etch hard mask
    54 50 nm MIM bottom plate etch hard mask
  • FSG dielectric tends to have much high compressive stress than undoped silicon oxide. For this reason, undoped silicon dioxide is optionally employed for part of the via dielectric stack, where it has the least impact on wiring capacitance, to reduce the overall wafer bending. Wafers with excessive bending due to high stress films have difficulty chucking in processing tools such as lithography aligners, RIE, etc. However, it is contemplated that any dielectric can be employed for layers, not just FSG and undoped silicon dioxide. Undoped silicon dioxide can also optionally be employed above layer 24 in FIG. 1 to a thickness of approximately 100-500 nm, e.g. 300 nm. Undoped silicon oxide is less reactive than FSG with moisture in the atmosphere or during wet cleans; can reduce photoresist poisoning; and has minimal to no effect on final wiring capacitance if it is partially left on the wafer or fully removed during the layer 14 etching and subsequent Cu CMP processes.
  • The trough RIE chemistry should be optimized to etch both via holes and via bars since the via etch is completed during trough RIE, During the trough RIE, via bars and via holes will etch like the troughs. Once the selective trough etch endpoints on the buried etch stop layer, the chemistry will switch to a super selective via etch optimized for via holes and via bars.
  • The method as described above is used in the fabrication of integrated circuit chips such as CMOS, SiGe, SRAM, DRAM transistors, etc. The resulting integrated circuit chips can be distributed by the fabricator in raw wafer form (that is, as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form. In the latter case the chip is mounted in a single chip package (such as a plastic carrier, with leads that are affixed to a motherboard or other higher level carrier) or in a multichip package (such as a ceramic carrier that has either or both surface interconnections or buried interconnections). In any case the chip is then integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either (a) an intermediate product, such as a motherboard, or (b) an end product. The end product can be any product that includes integrated circuit chips, ranging from toys and other low-end applications to advanced computer products having a display, a keyboard or other input device, and a central processor.
  • While the invention has been described in terms of exemplary embodiments, those skilled in the art will recognize that the invention can be practiced with modifications and in the spirit and scope of the appended claims.

Claims (36)

1. A method, comprising:
etching at least one partial via in a stacked structure;
forming a border about the at least one partial via; and
performing thick wiring using selective etching while continuing via etching to at least one etch stop layer.
2. The method of claim 1, wherein the performing step is part of a dual-damascene process.
3. The method of claim 1, wherein the forming of the border comprises forming a negative photoresist on the stacked structure and exposing portions of the negative photoresist remote from the at least one partial via.
4. The method of claim 1, wherein the at least one etch stop layer comprises a first etch stop layer over an Mx-1 metal layer and a second etch stop layer over a metal insulator metal (MIM) capacitor.
5. The method of claim 1, wherein the etch stop layer over the MIM capacitor is formed thicker than the etch stop layer over the Mx-1 metal layer.
6. The method of claim 1, further comprising incorporating a metal insulator metal (MIM) capacitor into the stacked structure and the thick wiring extends to the at least one etch stop layer over the MIM capacitor.
7. The method of claim 1, wherein forming the stacked structure comprises:
providing a damascene copper wire formed in a first low K dielectric material;
forming an etch stop layer on the first low K dielectric material;
forming an interlevel dielectric layer and second dielectric layer on the etch stop layer;
forming a second etch stop layer on the second low K dielectric layer; and
forming a third low K dielectric layer on the etch stop layer.
8. The method of claim 4, wherein the MIM capacitor comprises refractory metals or alloys comprising at least one W, WN, TiN, Ta, TaN and TiSiN.
9. The method of claim 7, wherein the at least one of the first and second low K dielectric material and the second dielectric layer is fluorine doped silicate glass (FSG).
10. The method of claim 7, wherein the etch stop layer and the second etch stop layer is at least silicon nitride, silicon carbo nitride, silicon oxy carbo nitride and silicon carbide.
11. The method of claim 7, further comprising embedding a MIM capacitor in the interlevel dielectric layer.
12. The method of claim 11, wherein the MIM capacitor is a plurality of plates with at least one of a silicon nitride, silicon carbo nitride, silicon oxy carbo nitride and silicon carbide etch stop layer.
13. The method claim 1, wherein the etching the at least one partial via includes partially etching the stacked structure in alignment with at least one of an underlying metal layer and MIM capacitor.
14. The method of claim 1, wherein the performing step comprises depositing negative photoresist on the stacked structure after the formation of the at least one partial via, exposing the negative photoresist, remote from the at least one partial via to form a border, etching the at least one partial via further into the stacked structure and selectively etching to form at least one trough, the selective etching is selective to the at least one etch stop layer deposited on at least one of an underlying metal layer and MIM capacitor.
15. The method of claim 1, further comprising implementing the steps of claim 1 for fabrication of integrated circuit chips.
16. The method of claim 1, wherein the steps of claim 1 are a dual-damascene copper back end of line (BEOL) process, in which copper layers defined as a wire and via height have a thickness of about 3.5 microns or greater.
17. The method of claim 1, wherein performing thick wiring using selective etching while continuing via etching to at least one etch stop layer includes a trough etch which extends to an upper embedded etch layer before the via extends to the at least one etch stop layer.
18. A method of making a dual-damascene copper BEOL structure, comprising:
forming a partial height via in alignment with at least an underlying metal layer;
applying a negative photoresist material;
forming a border in the negative photoresist material proximate the partial height via; and
etching the partial height via to a further depth and selectively etching to form a trough; and
incorporating a MIM capacitor into the BEOL structure.
19. The method of claim 18, wherein the etching step includes etching to at least an etch stop layer above a metal layer and the MIM capacitor.
20. The method of claim 18, further comprising:
providing the underlying metal formed in a first low K dielectric material;
forming an etch stop layer on the first low K dielectric material;
forming an oxide layer and interlevel dielectric layer on the etch stop layer;
embedding the MIM capacitor in the silicon dioxide layer;
forming a cap layer on the MIM capacitor;
forming a second etch stop layer on the interlevel dielectric layer; and
forming a third low K dielectric layer on the etch stop layer.
21. The method of claim 20, wherein the etch stop layer and the second etch stop layer is at least one of a silicon nitride, silicon carbo nitride, silicon oxy carbo nitride and silicon carbide etch stop layer.
22. The method of claim 20, wherein the MIM capacitor is formed using a plurality of plates with at least one of a silicon nitride, silicon carbo nitride, silicon oxy carbo nitride and silicon carbide etch stop.
23. The method of claim 18, wherein the etching the partial height via to a further depth and selectively etching to form a trough includes etching the trough to an upper embedded etch layer before the via hits at least another etch stop layer.
24. The method of claim 18, wherein the forming of the border comprises exposing portions of the negative photoresist remote from the partial height via.
25. The method of claim 18, wherein the etching a trough comprises selectively etching to at least one of a cap layer over the MIM capacitor and an underlying metal layer.
26. The method claim 18, wherein the etching the partial height via to a further depth and selectively etching to form a trough is in alignment with at least one of the underlying metal layer and the MIM capacitor.
27. A dual-damascene method for fabricating a thick wire structure, comprising:
forming a partial via in a stacked structure;
depositing negative photoresist on the stacked structure after the formation of the partial via;
exposing the negative photoresist, remote from the partial via to form a border above the partial via;
etching the partial via further into the stacked structure; and
selectively etching into the partial via to form a trough, the selective etching being selective to at least one etch stop layer deposited on at least one of an underlying metal layer and MIM having at least an upper plate, a MIM dielectric and a lower plate.
28. The method of claim 27, wherein the thick wire structure has a thickness of about 3.5 microns or greater.
29. The method of claim 27, further comprising implementing the steps of claim 1 for fabrication of integrated circuit chips.
30. The method of claim 27, further comprising forming the MIM capacitor which includes sputter clean removal of a MIM top plate of less than 10 nanometer oxide equivalent sputter removal such that the via is not fully etched through the upper plate and is not in contact with the MIM dielectric.
31. The method of claim 30, wherein the at least one etch stop is a first etch stop and a second etch stop, the first etch stop being formed over the underlying metal layer and the second etch stop being formed on a surface of the MIM capacitor and being formed with a height greater than a height of the first etch stop.
32. A thick wire structure, comprising:
a damascene copper wire formed in a first dielectric layer;
an etch stop layer covering the damascene copper wire;
a second dielectric layer on the etch stop layer;
a second etch stop layer on the second dielectric layer;
a third dielectric layer on the etch stop layer;
a via approximately 1.5 microns or taller formed through the first, second and third dielectric layer and contacting the damascene copper wire; and
a trough approximately 2 microns or taller formed proximate to the second etch stop and in substantial axial alignment with the via and having a width larger than the via.
33. The structure of claim 32, further comprising a MIM capacitor embedded in the oxide layer and an etch stop layer formed over the MIM capacitor, the via is in alignment with and extends to the etch stop layer formed over the MIM capacitor and the trough is in axial alignment with the via formed over the MIM capacitor and stops near the second etch stop.
34. The structure of claim 32, wherein a dielectric stack is approximately 5.5 um tall, with approximately 3.5 um tall wires and the via has a minimum width of approximately 1.2 um.
35. A thick wire structure, comprising:
an underlying wire formed in a FSG (fluorine doped silicate glass) dielectric material;
a first nitride cap layer covering the underlying wire;
an interlevel layer formed on the first nitride cap layer;
a MIM capacitor embedded in a portion of the interlevel layer;
a MIM etch stop cap layer formed on the MIM capacitor, the MIM etch stop cap layer having a thickness greater than the first nitride cap;
a second nitride cap layer formed on the interlevel layer;
an FSG dielectric layer formed on the second nitride cap;
a via in alignment with at least one of the underlying wire and the MIM capacitor, extending proximate to the MIM nitride cap layer and the first nitride cap layer; and
a trough in substantial axial alignment with the via and having a width larger than the via and extending to the second nitride cap layer.
36. The structure of claim 35, wherein the MIM capacitor is composed of refractory metals or alloys comprising at least one W, WN, TiN, Ta, TaN, and TiSiN.
US11/275,604 2006-01-19 2006-01-19 Dual-damascene process to fabricate thick wire structure Active 2026-10-13 US7602068B2 (en)

Priority Applications (9)

Application Number Priority Date Filing Date Title
US11/275,604 US7602068B2 (en) 2006-01-19 2006-01-19 Dual-damascene process to fabricate thick wire structure
TW096101395A TWI397948B (en) 2006-01-19 2007-01-15 Dual-damascene process to fabricate thick wire structure
EP07710226A EP1974379A4 (en) 2006-01-19 2007-01-19 Dual-damascene process to fabricate thick wire structure
CN2007800021519A CN101366116B (en) 2006-01-19 2007-01-19 Dual-damascene process to fabricate thick wire structure
JP2008551545A JP2009524257A (en) 2006-01-19 2007-01-19 Thick wire structure and dual damascene method for forming it (dual damascene process for forming thick wire structure)
PCT/US2007/060767 WO2007084982A2 (en) 2006-01-19 2007-01-19 Dual-damascene process to fabricate thick wire structure
US12/564,482 US8236663B2 (en) 2006-01-19 2009-09-22 Dual-damascene process to fabricate thick wire structure
US13/432,421 US8753950B2 (en) 2006-01-19 2012-03-28 Dual-damascene process to fabricate thick wire structure
US14/166,274 US9171778B2 (en) 2006-01-19 2014-01-28 Dual-damascene process to fabricate thick wire structure

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/275,604 US7602068B2 (en) 2006-01-19 2006-01-19 Dual-damascene process to fabricate thick wire structure

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/564,482 Division US8236663B2 (en) 2006-01-19 2009-09-22 Dual-damascene process to fabricate thick wire structure

Publications (2)

Publication Number Publication Date
US20070190718A1 true US20070190718A1 (en) 2007-08-16
US7602068B2 US7602068B2 (en) 2009-10-13

Family

ID=36316858

Family Applications (4)

Application Number Title Priority Date Filing Date
US11/275,604 Active 2026-10-13 US7602068B2 (en) 2006-01-19 2006-01-19 Dual-damascene process to fabricate thick wire structure
US12/564,482 Expired - Fee Related US8236663B2 (en) 2006-01-19 2009-09-22 Dual-damascene process to fabricate thick wire structure
US13/432,421 Active US8753950B2 (en) 2006-01-19 2012-03-28 Dual-damascene process to fabricate thick wire structure
US14/166,274 Active US9171778B2 (en) 2006-01-19 2014-01-28 Dual-damascene process to fabricate thick wire structure

Family Applications After (3)

Application Number Title Priority Date Filing Date
US12/564,482 Expired - Fee Related US8236663B2 (en) 2006-01-19 2009-09-22 Dual-damascene process to fabricate thick wire structure
US13/432,421 Active US8753950B2 (en) 2006-01-19 2012-03-28 Dual-damascene process to fabricate thick wire structure
US14/166,274 Active US9171778B2 (en) 2006-01-19 2014-01-28 Dual-damascene process to fabricate thick wire structure

Country Status (6)

Country Link
US (4) US7602068B2 (en)
EP (1) EP1974379A4 (en)
JP (1) JP2009524257A (en)
CN (1) CN101366116B (en)
TW (1) TWI397948B (en)
WO (1) WO2007084982A2 (en)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070006451A1 (en) * 2005-07-05 2007-01-11 Samsung Electronics Co., Ltd. Method of forming a metal wiring in a semiconductor device
US20080280436A1 (en) * 2007-05-10 2008-11-13 Jeng-Ho Wang Method for fabricating an inductor structure or a dual damascene structure
US7470616B1 (en) * 2008-05-15 2008-12-30 International Business Machines Corporation Damascene wiring fabrication methods incorporating dielectric cap etch process with hard mask retention
US20100025853A1 (en) * 2008-07-30 2010-02-04 Lindgren Peter J Back-end-of-line wiring structures with integrated passive elements and design structures for a radiofrequency integrated circuit
US20110101538A1 (en) * 2009-11-02 2011-05-05 International Business Machines Corporation Creation of vias and trenches with different depths
US20140103498A1 (en) * 2007-08-16 2014-04-17 Micron Technology, Inc. Selective wet etching of hafnium aluminum oxide films
US20140357047A1 (en) * 2011-11-07 2014-12-04 Renesas Electronics Corporation Semiconductor device and method for manufacturing the same
US20150048516A1 (en) * 2013-08-16 2015-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit with a sidewall layer and an ultra-thick metal layer and method of making
US20150221714A1 (en) * 2014-01-31 2015-08-06 Qualcomm Incorporated Metal-insulator-metal (mim) capacitor in redistribution layer (rdl) of an integrated device
US9711464B2 (en) * 2015-09-23 2017-07-18 International Business Machines Corporation Semiconductor chip with anti-reverse engineering function
US20170207299A1 (en) * 2016-01-14 2017-07-20 Taiwan Semiconductor Manufacturing Company Ltd. Mim capacitor and method of forming the same
US20170372960A1 (en) * 2013-08-20 2017-12-28 Applied Materials, Inc. Self-aligned interconnects formed using subtractive techniques

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7602068B2 (en) * 2006-01-19 2009-10-13 International Machines Corporation Dual-damascene process to fabricate thick wire structure
US8890287B2 (en) * 2009-05-29 2014-11-18 Power Gold LLC Integrated nano-farad capacitors and method of formation
JP5218460B2 (en) 2010-03-26 2013-06-26 セイコーエプソン株式会社 Pyroelectric detector, pyroelectric detector and electronic device
US8956903B2 (en) 2010-06-25 2015-02-17 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
US9082624B2 (en) 2013-01-02 2015-07-14 International Business Machines Corporation Signal path of a multiple-patterned semiconductor device
US8866306B2 (en) 2013-01-02 2014-10-21 International Business Machines Corporation Signal path and method of manufacturing a multiple-patterned semiconductor device
US9257496B2 (en) * 2013-01-16 2016-02-09 United Microelectronics Corporation Method of fabricating capacitor structure
WO2014209302A1 (en) * 2013-06-26 2014-12-31 Intel Corporation Metal-insulator-metal on-die capacitor with partial vias
US9099533B2 (en) 2013-07-02 2015-08-04 International Business Machines Corporation Semiconductor device with distinct multiple-patterned conductive tracks on a same level
US9373582B1 (en) * 2015-06-24 2016-06-21 International Business Machines Corporation Self aligned via in integrated circuit
US9536832B1 (en) * 2015-12-30 2017-01-03 International Business Machines Corporation Junctionless back end of the line via contact
EP3389085B1 (en) 2017-04-12 2019-11-06 Nxp B.V. Method of making a plurality of packaged semiconductor devices
CN110648960B (en) * 2018-06-27 2021-12-28 中电海康集团有限公司 MRAM device and manufacturing method thereof
KR20200051215A (en) * 2018-11-05 2020-05-13 삼성전기주식회사 Printed circuit board and package structure having the same
KR20210009493A (en) 2019-07-17 2021-01-27 삼성전자주식회사 Semiconductor device and method for fabricating thereof
CN112885781B (en) * 2019-11-29 2022-06-24 长鑫存储技术有限公司 Preparation method of active region and semiconductor device
US11049764B1 (en) * 2019-12-12 2021-06-29 United Microelectronics Corp. Method for fabricating a semiconductor device
US11195792B2 (en) * 2020-01-10 2021-12-07 International Business Machines Corporation Top via stack
US11876047B2 (en) * 2021-09-14 2024-01-16 International Business Machines Corporation Decoupled interconnect structures

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5763010A (en) * 1996-05-08 1998-06-09 Applied Materials, Inc. Thermal post-deposition treatment of halogen-doped films to improve film stability and reduce halogen migration to interconnect layers
US6143640A (en) * 1997-09-23 2000-11-07 International Business Machines Corporation Method of fabricating a stacked via in copper/polyimide beol
US20010054730A1 (en) * 2000-06-07 2001-12-27 Samsung Electronics Co., Ltd. Metal-insulator-metal capacitor and manufacturing method thereof
US6383920B1 (en) * 2001-01-10 2002-05-07 International Business Machines Corporation Process of enclosing via for improved reliability in dual damascene interconnects
US6429119B1 (en) * 1999-09-27 2002-08-06 Taiwan Semiconductor Manufacturing Company Dual damascene process to reduce etch barrier thickness
US6466427B1 (en) * 2000-05-31 2002-10-15 Taiwan Semiconductor Manufacturing Co., Ltd. Microelectronic capacitor structure compatible with copper containing microelectronic conductor layer processing
US20040094821A1 (en) * 2002-11-15 2004-05-20 Water Lur Air gap for dual damascene applications
US6797630B1 (en) * 2002-06-28 2004-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Partial via hard mask open on low-k dual damascene etch with dual hard mask (DHM) approach
US6828245B2 (en) * 2002-03-02 2004-12-07 Taiwan Semiconductor Manufacturing Co. Ltd Method of improving an etching profile in dual damascene etching
US20040256654A1 (en) * 2001-12-13 2004-12-23 Infineon Technologies Ag Integrated semiconductor product comprising a metal-insulator-metal capacitor
US20050054194A1 (en) * 2003-09-08 2005-03-10 Taiwan Semiconductor Manufacturing Co., Ltd., Method for forming dual damascenes

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6143646A (en) * 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
US6204166B1 (en) * 1998-08-21 2001-03-20 Micron Technology, Inc. Method for forming dual damascene structures
JP3657788B2 (en) * 1998-10-14 2005-06-08 富士通株式会社 Semiconductor device and manufacturing method thereof
US6187663B1 (en) 1999-01-19 2001-02-13 Taiwan Semiconductor Manufacturing Company Method of optimizing device performance via use of copper damascene structures, and HSQ/FSG, hybrid low dielectric constant materials
KR100280288B1 (en) * 1999-02-04 2001-01-15 윤종용 Method for fabricating capacitor of semiconcuctor integrated circuit
JP3359622B2 (en) * 2000-01-06 2002-12-24 松下電器産業株式会社 Wiring formation method
TW502383B (en) * 2001-06-15 2002-09-11 United Microelectronics Corp Fabrication method of dual damascene structure without trench-filling material
CN1405877A (en) * 2001-09-18 2003-03-26 矽统科技股份有限公司 Method for forming metal capacitor during inlaying process and its product
JP2003158190A (en) * 2001-11-22 2003-05-30 Mitsubishi Electric Corp Semiconductor device and its manufacturing method
US6589881B2 (en) 2001-11-27 2003-07-08 United Microelectronics Corp. Method of forming dual damascene structure
KR100411026B1 (en) * 2001-12-15 2003-12-18 주식회사 하이닉스반도체 Method of manufacturing a semiconductor device
US7226853B2 (en) * 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
JP2003249547A (en) * 2002-02-22 2003-09-05 Mitsubishi Electric Corp Connection structure between wires and method of forming the same
US6881999B2 (en) * 2002-03-21 2005-04-19 Samsung Electronics Co., Ltd. Semiconductor device with analog capacitor and method of fabricating the same
EP1493182B1 (en) * 2002-04-02 2013-01-23 Dow Global Technologies LLC Tri-layer masking architecture for patterning dual damascene interconnects
JP2004061665A (en) * 2002-07-25 2004-02-26 Hitachi Ltd Method for manufacturing semiconductor device
US6794262B2 (en) * 2002-09-23 2004-09-21 Infineon Technologies Ag MIM capacitor structures and fabrication methods in dual-damascene structures
JPWO2004061947A1 (en) * 2002-12-27 2006-05-18 富士通株式会社 Semiconductor device, DRAM integrated circuit device and manufacturing method thereof
JP2004214566A (en) * 2003-01-08 2004-07-29 Renesas Technology Corp Method for manufacturing semiconductor device and semiconductor device
JP2004273739A (en) 2003-03-07 2004-09-30 Seiko Epson Corp Manufacturing method of semiconductor device
JP2004273939A (en) * 2003-03-11 2004-09-30 Toshiba Corp Semiconductor device and its manufacturing method
JP4272168B2 (en) * 2003-03-28 2009-06-03 富士通マイクロエレクトロニクス株式会社 Semiconductor device and semiconductor integrated circuit device
US6949442B2 (en) * 2003-05-05 2005-09-27 Infineon Technologies Ag Methods of forming MIM capacitors
JP2005150237A (en) * 2003-11-12 2005-06-09 Toshiba Corp Semiconductor device and manufacturing method thereof
US7115993B2 (en) * 2004-01-30 2006-10-03 Tokyo Electron Limited Structure comprising amorphous carbon film and method of forming thereof
US20050266679A1 (en) * 2004-05-26 2005-12-01 Jing-Cheng Lin Barrier structure for semiconductor devices
KR100755365B1 (en) * 2005-02-15 2007-09-04 삼성전자주식회사 Mimmetal-insulator-metal capacitors and methods of forming the same
US20060197183A1 (en) * 2005-03-01 2006-09-07 International Business Machines Corporation Improved mim capacitor structure and process
US7238619B2 (en) * 2005-07-06 2007-07-03 United Microelectronics Corp. Method for eliminating bridging defect in via first dual damascene process
US7602068B2 (en) * 2006-01-19 2009-10-13 International Machines Corporation Dual-damascene process to fabricate thick wire structure

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5763010A (en) * 1996-05-08 1998-06-09 Applied Materials, Inc. Thermal post-deposition treatment of halogen-doped films to improve film stability and reduce halogen migration to interconnect layers
US6143640A (en) * 1997-09-23 2000-11-07 International Business Machines Corporation Method of fabricating a stacked via in copper/polyimide beol
US6429119B1 (en) * 1999-09-27 2002-08-06 Taiwan Semiconductor Manufacturing Company Dual damascene process to reduce etch barrier thickness
US6466427B1 (en) * 2000-05-31 2002-10-15 Taiwan Semiconductor Manufacturing Co., Ltd. Microelectronic capacitor structure compatible with copper containing microelectronic conductor layer processing
US20010054730A1 (en) * 2000-06-07 2001-12-27 Samsung Electronics Co., Ltd. Metal-insulator-metal capacitor and manufacturing method thereof
US6383920B1 (en) * 2001-01-10 2002-05-07 International Business Machines Corporation Process of enclosing via for improved reliability in dual damascene interconnects
US20040256654A1 (en) * 2001-12-13 2004-12-23 Infineon Technologies Ag Integrated semiconductor product comprising a metal-insulator-metal capacitor
US6828245B2 (en) * 2002-03-02 2004-12-07 Taiwan Semiconductor Manufacturing Co. Ltd Method of improving an etching profile in dual damascene etching
US6797630B1 (en) * 2002-06-28 2004-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Partial via hard mask open on low-k dual damascene etch with dual hard mask (DHM) approach
US20040094821A1 (en) * 2002-11-15 2004-05-20 Water Lur Air gap for dual damascene applications
US20050054194A1 (en) * 2003-09-08 2005-03-10 Taiwan Semiconductor Manufacturing Co., Ltd., Method for forming dual damascenes

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070006451A1 (en) * 2005-07-05 2007-01-11 Samsung Electronics Co., Ltd. Method of forming a metal wiring in a semiconductor device
US7452807B2 (en) * 2005-07-05 2008-11-18 Samsung Electronics Co., Ltd. Method of forming a metal wiring in a semiconductor device
US20080280436A1 (en) * 2007-05-10 2008-11-13 Jeng-Ho Wang Method for fabricating an inductor structure or a dual damascene structure
US7759244B2 (en) * 2007-05-10 2010-07-20 United Microelectronics Corp. Method for fabricating an inductor structure or a dual damascene structure
US20140103498A1 (en) * 2007-08-16 2014-04-17 Micron Technology, Inc. Selective wet etching of hafnium aluminum oxide films
US7470616B1 (en) * 2008-05-15 2008-12-30 International Business Machines Corporation Damascene wiring fabrication methods incorporating dielectric cap etch process with hard mask retention
US20090283912A1 (en) * 2008-05-15 2009-11-19 Akinmade-Yusuff Hakeem B S Damascene wiring fabrication methods incorporating dielectric cap etch process with hard mask retention
US20100025853A1 (en) * 2008-07-30 2010-02-04 Lindgren Peter J Back-end-of-line wiring structures with integrated passive elements and design structures for a radiofrequency integrated circuit
US8089135B2 (en) * 2008-07-30 2012-01-03 International Business Machine Corporation Back-end-of-line wiring structures with integrated passive elements and design structures for a radiofrequency integrated circuit
US20110101538A1 (en) * 2009-11-02 2011-05-05 International Business Machines Corporation Creation of vias and trenches with different depths
US8227339B2 (en) * 2009-11-02 2012-07-24 International Business Machines Corporation Creation of vias and trenches with different depths
US8703604B2 (en) 2009-11-02 2014-04-22 International Business Machines Corporation Creation of vias and trenches with different depths
US20140357047A1 (en) * 2011-11-07 2014-12-04 Renesas Electronics Corporation Semiconductor device and method for manufacturing the same
US20150048516A1 (en) * 2013-08-16 2015-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit with a sidewall layer and an ultra-thick metal layer and method of making
US9502346B2 (en) * 2013-08-16 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit with a sidewall layer and an ultra-thick metal layer and method of making
US20170372960A1 (en) * 2013-08-20 2017-12-28 Applied Materials, Inc. Self-aligned interconnects formed using subtractive techniques
US10643895B2 (en) * 2013-08-20 2020-05-05 Applied Materials, Inc. Self-aligned interconnects formed using subtractive techniques
US20150221714A1 (en) * 2014-01-31 2015-08-06 Qualcomm Incorporated Metal-insulator-metal (mim) capacitor in redistribution layer (rdl) of an integrated device
US9577025B2 (en) * 2014-01-31 2017-02-21 Qualcomm Incorporated Metal-insulator-metal (MIM) capacitor in redistribution layer (RDL) of an integrated device
US9711464B2 (en) * 2015-09-23 2017-07-18 International Business Machines Corporation Semiconductor chip with anti-reverse engineering function
US9893023B2 (en) 2015-09-23 2018-02-13 International Business Machines Corporation Semiconductor chip with anti-reverse engineering function
US10141274B2 (en) 2015-09-23 2018-11-27 International Business Machines Corporation Semiconductor chip with anti-reverse engineering function
US20170207299A1 (en) * 2016-01-14 2017-07-20 Taiwan Semiconductor Manufacturing Company Ltd. Mim capacitor and method of forming the same
US10164003B2 (en) * 2016-01-14 2018-12-25 Taiwan Semiconductor Manufacturing Company Ltd. MIM capacitor and method of forming the same

Also Published As

Publication number Publication date
TWI397948B (en) 2013-06-01
US8236663B2 (en) 2012-08-07
US20120190164A1 (en) 2012-07-26
US20140151899A1 (en) 2014-06-05
CN101366116A (en) 2009-02-11
US8753950B2 (en) 2014-06-17
WO2007084982A3 (en) 2007-11-29
EP1974379A2 (en) 2008-10-01
US9171778B2 (en) 2015-10-27
US20100009509A1 (en) 2010-01-14
WO2007084982A8 (en) 2008-07-10
EP1974379A4 (en) 2011-06-01
WO2007084982A2 (en) 2007-07-26
CN101366116B (en) 2012-09-26
TW200809923A (en) 2008-02-16
US7602068B2 (en) 2009-10-13
JP2009524257A (en) 2009-06-25

Similar Documents

Publication Publication Date Title
US7602068B2 (en) Dual-damascene process to fabricate thick wire structure
US6177329B1 (en) Integrated circuit structures having gas pockets and method for forming integrated circuit structures having gas pockets
US6372665B1 (en) Method for forming a semiconductor device
US6638871B2 (en) Method for forming openings in low dielectric constant material layer
US8310026B2 (en) Semiconductor device and method for fabricating the same
US6426249B1 (en) Buried metal dual damascene plate capacitor
US7759244B2 (en) Method for fabricating an inductor structure or a dual damascene structure
US20080166851A1 (en) Metal-insulator-metal (mim) capacitor and method for fabricating the same
EP1182708A2 (en) High capacitance damascene capacitor
JP2002009149A (en) Semiconductor device and its manufacturing method
US7179734B2 (en) Method for forming dual damascene pattern
US20050087838A1 (en) Method of forming metal-insulator-metal (MIM) capacitors at copper process
KR20050063851A (en) Method for fabrication of semiconductor device
US7384823B2 (en) Method for manufacturing a semiconductor device having a stabilized contact resistance
US7704820B2 (en) Fabricating method of metal line
US7112537B2 (en) Method of fabricating interconnection structure of semiconductor device
KR100909174B1 (en) How to form a dual damascene pattern
US20230178379A1 (en) Film deposition for patterning process
KR100643568B1 (en) Method for fabrication of deep contact hole in semiconductor device
US7901976B1 (en) Method of forming borderless contacts
US6576555B2 (en) Method of making upper conductive line in dual damascene having lower copper lines
KR100485180B1 (en) Fabrication method of semiconductor device
US20060292843A1 (en) Method for fabricating semiconductor device
US20040009640A1 (en) High capacitance damascene capacitors
CN112838048A (en) Interconnection structure and manufacturing method thereof

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910

FPAY Fee payment

Year of fee payment: 8

AS Assignment

Owner name: WILMINGTON TRUST, NATIONAL ASSOCIATION, DELAWARE

Free format text: SECURITY AGREEMENT;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:049490/0001

Effective date: 20181127

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:054633/0001

Effective date: 20201022

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:054636/0001

Effective date: 20201117

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117