US20070170507A1 - STRUCTURE AND METHOD FOR MANUFACTURING PLANAR STRAINED Si/SiGe SUBSTRATE WITH MULTIPLE ORIENTATIONS AND DIFFERENT STRESS LEVELS - Google Patents

STRUCTURE AND METHOD FOR MANUFACTURING PLANAR STRAINED Si/SiGe SUBSTRATE WITH MULTIPLE ORIENTATIONS AND DIFFERENT STRESS LEVELS Download PDF

Info

Publication number
US20070170507A1
US20070170507A1 US11/693,377 US69337707A US2007170507A1 US 20070170507 A1 US20070170507 A1 US 20070170507A1 US 69337707 A US69337707 A US 69337707A US 2007170507 A1 US2007170507 A1 US 2007170507A1
Authority
US
United States
Prior art keywords
layer
lattice
semiconducting
lattice dimension
strained
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/693,377
Inventor
Huilong Zhu
Bruce Doris
Philip Oldiges
Meikei Ieong
Min Yang
Huajie Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US11/693,377 priority Critical patent/US20070170507A1/en
Publication of US20070170507A1 publication Critical patent/US20070170507A1/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1207Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with devices in contact with the semiconductor body, i.e. bulk/SOI hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/938Lattice strain control or utilization

Definitions

  • the present invention relates to semiconductor materials having enhanced electron and hole mobilities, and more particularly, to semiconductor materials that include a silicon (Si)-containing layer having enhanced electron and hole mobilities.
  • the present invention also provides methods for forming such semiconductor materials.
  • MOSFETs silicon metal oxide semiconductor field effect transistors
  • IRS International Technology Roadmap for Semiconductors
  • CMOS complementary metal oxide semiconductor
  • the application of stresses or strains changes the lattice dimensions of the Si-containing layer.
  • the energy band gap of the material is changed as well.
  • the change may only be slight in intrinsic semiconductors resulting in only a small change in resistance, but when the semiconducting material is doped, i.e., n-type, and partially ionized, a very small change in the energy bands can cause a large percentage change in the energy difference between the impurity levels and the band edge.
  • the change in resistance of the material with stress is large.
  • N-type channel field effect transistors need tension on the channel for strain-based device improvements
  • p-type channel field effect transistors need a compressive stress on the channel for strain-based device improvements.
  • hole mobilities on the (110) crystal plane of Si are approximately 2 ⁇ higher than on the (100) crystal plane of Si; therefore, pFETs formed on a surface having a (100) crystal plane will exhibit significantly higher drive currents than pFETs formed on a surface having a (100) crystal plane.
  • electron Nobilities on the (110) crystal plane of Si are significantly degraded compared to the (100) crystal plane of Si.
  • SOI substrates reduce parasitic capacitance within the integrated circuit, reduce individual circuit loads and reduce the incidence of latch-up, thereby improving circuit and chip performance.
  • One object of the present invention is to provide a multiple crystallographic orientation strained Si/SiGe-on-insulator (SGOI) substrate.
  • Another object of the present invention is to provide a SGOI substrate that integrates strained silicon nFETs on a (100) crystal plane with strained silicon pFETs on a (110) crystal plane.
  • the method of the present invention comprises the steps of
  • first device region comprising a first orientation material
  • second device region comprising an insulating layer atop a second orientation material, wherein said first orientation material and said second orientation material have different crystallographic orientations
  • first strained semiconducting layer atop said first lattice dimension surface and a second strained semiconducting layer atop said second lattice dimension surface, said first strained semiconducting layer having a same or a different internal stress than said second semiconducting layer, said second strained semiconducting layer having a different crystallographic orientation than the first semiconducting layer.
  • the lattice modifying material may comprise SiGe.
  • Increasing the Ge concentration in the lattice modifying material increases the strain produced in the subsequently formed first strained semiconducting layer and second strained semiconducting layer.
  • the concentration of Ge present in the lattice modifying material atop the first device region and the second device region can be controlled using deposition, photolithography and etching.
  • a first concentration of lattice modifying material is epitaxially grown atop the first orientation material in the first device region. Epitaxial growth requires a crystalline silicon-containing surface; therefore the first concentration of lattice modifying material does not grow atop the insulating layer in the second device region.
  • a protective layer is then blanket deposited atop at least the first device region and the second device region.
  • a protective mask is then formed atop a portion of the protective liner that is positioned on the first concentration of lattice modifying material in the first device region. The protective layer and the insulating layer are then removed from the second device region to expose the second orientation material,
  • the second concentration of lattice modifying material is epitaxially grown atop the second orientation material in the second device region, while the remaining portion of the protective layer ensures that epitaxial growth does not occur in the first device region. Thereafter, the remaining portion of the protective layer is removed.
  • thermal processing of the structure in an oxidizing environment causes intermixing between the lattice modifying materials and the underlying first orientation material and second orientation material to produce a first lattice dimension surface and second lattice dimension surface capped with an oxidation layer.
  • a first strained semiconducting layer can be formed atop the first lattice dimension surface and a second strained semiconducting material can be formed atop the second orientation material.
  • the Ge concentration and the crystallographic orientation in the first and second strained semiconducting layers may be independently selected to provide optimized device regions for both pFET or nFFT devices.
  • the inventive method comprises:
  • first device region comprising a first orientation material
  • second device region comprises a second orientation material, wherein said first orientation material and said second orientation material have different crystallographic orientations
  • first strained semiconducting layer atop said first lattice dimension surface and a second strained semiconducting layer atop said second lattice dimension surface, said first strained semiconducting layer having a same or a different internal stress than said second semiconducting layer, said second strained semiconducting layer having said different crystallographic orientation than said first strained semiconducting layer.
  • inventive multiple orientation strained Si/SiGe-on-insulator (SGOI) substrate formed by the above methods is an inventive multiple orientation strained Si/SiGe-on-insulator (SGOI) substrate formed by the above methods.
  • inventive structure comprises:
  • the SOI layer comprising a first lattice dimension material and a second lattice dimension material separated by an insulating material, wherein the first lattice dimension material has a lattice constant different than the second lattice dimension material;
  • first strained semiconducting layer atop the first lattice dimension material, the first strained semiconducting material having a first crystallographic orientation
  • a second strained semiconducting layer atop the second lattice dimension material, the second strained semiconducting material having a second crystallographic orientation different from the first crystallographic orientation.
  • the first strained semiconducting layer further comprises at least one pFET device and the second strained semiconducting layer further comprises at least one nFET device, when the first crystallographic orientation has a ( 110 ) crystal plane, the second crystallographic orientation has a (100) crystal plane and the first strained semiconducting layer has a higher internal stress than the second strained semiconducting layer.
  • the lattice constant of the first lattice dimension material may be the same as the lattice constant of the second lattice dimension material.
  • FIGS. 1-10 are pictorial representations (through cross sectional views) illustrating the basic processing steps utilized in one embodiment of the present invention to form a strained SGOI substrate having multiple crystallographic orientation planes.
  • FIGS. 11-19 are pictorial representations (through cross sectional views) illustrating the basic processing steps utilized in another embodiment of the present invention to form a substantially planar SGOI substrate having a strained SGOI layer having multiple crystallographic orientation planes.
  • This embodiment provides a strained SGOI substrate comprising device regions separated by insulating material, in which each device region has a crystallographic orientation and internal stress that is optimized for a specific type of semiconducting device.
  • the following method can provide a first device region having a crystallographic orientation and internal stress that is optimized for pFET devices and a second device region having a crystallographic orientation and internal stress that is optimized for nFET devices.
  • bonded substrate 10 i.e., hybrid substrate
  • bonded substrate 10 includes a first semiconductor layer 16 , a first insulating layer 14 , and a second semiconductor layer 12 .
  • the bonded substrate 10 may be formed using conventional thermal bonding methods.
  • the first semiconductor layer 16 is comprised of any semiconducting material including, for example, Si, SiC, SiGe, SiGeC, Ge alloys, GaAs, InAs, InP as well as other III/V or II/VI compound semiconductors.
  • First semiconductor layer 16 may also comprise an SOI layer of a preformed SOT substrate or a layered semiconductor such as, for example, Si/SiGe.
  • the first semiconductor layer 16 has a first crystallographic orientation, preferably having a (100) crystal plane. Although a (100) crystal plane is preferred, the first semiconductor layer 16 may alternatively have a first crystallographic orientation having a (111) crystal plane, a (110) crystal plane or other crystal planes.
  • the thickness of the first semiconductor layer 16 may vary depending on the initial starting wafers used to form the bonded substrate 10 . Typically, however, the first semiconductor layer 16 has a thickness from about 5 nm to about 500 nm, with a thickness from about 5 nm to about 100 nm being more highly preferred.
  • the first insulating layer 14 which is located between the first semiconductor layer 16 and the second semiconductor layer 12 has a variable thickness depending upon the initial wafers used to create the bonded substrate 10 . Typically, however, the first insulating layer 14 has a thickness from about 10 nm to about 500 nm, with a thickness from about 20 nm to about 100 nm being more highly preferred.
  • the first insulating layer 14 is a nitride, oxide or other like insulator material, preferably a nitride, such as Si 3 N 4 .
  • the second semiconductor layer 12 is comprised of any semiconducting material which may be the same or different from that of the first semiconductor layer 16 .
  • second semiconductor layer 12 may include, for example, Si, SiC, SiGe, SiGeC, Ge alloys, GaAs, InAs, InP as well as other IIl/V or II/VI compound semiconductors.
  • Second semiconductor layer 12 may also comprise an SOI layer of a preformed SOI substrate or a layered semiconductor such as, for example, Si/SiGe.
  • the second semiconductor layer 12 has a second crystallographic orientation, which is different from the first crystallographic orientation.
  • the second semiconductor layer 12 preferably has a crystallographic orientation having a (110) crystal plane or other crystal planes.
  • the second crystallographic orientation of the second semiconductor layer 12 preferably has a (110) crystal plane
  • the second semiconducting layer 12 may alternatively have a (111) crystal plane, a (100) crystal plane or other crystal planes.
  • an etch mask is formed on a predetermined portion of the first semiconductor layer 16 , so as to protect a portion of the bonded substrate 10 , while leaving another portion of the bonded substrate 10 unprotected.
  • the etch mask may comprise a photoresist or be a single or multi-layer dielectric hardmask.
  • the unprotected portion of the bonded substrate 10 defines a first device area 24 of the structure, whereas the protected portion of the bonded substrate 10 defines a second device region 22 .
  • the structure is subjected to one or more etching steps so as to expose a surface of the second semiconductor layer 12 .
  • the one or more etching steps used at this point of the present invention removes the unprotected portions of the first semiconductor layer 16 and the insulating layer 14 , stopping on the second semiconducting layer 12 .
  • the etching used at this point of the present invention may include a dry etching process, such as reactive-ion etching, ion beam etching, plasma etching or laser etching. The etch mask is then removed.
  • Insulating material 25 is then formed atop and on the exposed sidewalls of the remaining portions of the first semiconductor layer 16 and the first insulating layer 14 in the second device region 22 , as depicted in FIG. 2 .
  • the insulating material 25 is formed by deposition and etching and can be comprised of any insulator, such as, for example, an oxide.
  • a semiconductor material 26 is then epitaxially grown in the first device region 24 , on the exposed surface of the second semiconductor layer 12 .
  • semiconductor material 26 has a crystallographic orientation that is the same as the crystallographic orientation of the second semiconductor layer 12 .
  • the crystallographic orientation of the regrown semiconductor material 26 has a (110) crystal plane.
  • the regrown semiconductor material 26 may alternatively have a (111), or a (100) crystal plane.
  • the semiconductor material 26 may comprise any Si-containing semiconductor, such as Si, strained Si, SiGe, SiC, SiGeC or combinations thereof, which is capable of being formed utilizing a selective epitaxial growth method.
  • semiconductor material 26 is comprised of Si.
  • semiconductor material 26 may be referred to as a regrown semiconductor material 26 .
  • a planarization process such as chemical mechanical polishing (CMP) or grinding, planarizes the upper surface of the regrown semiconductor material 26 to be substantially planar with the upper surface of the first semiconductor layer 16 , as depicted in FIG. 3 .
  • CMP chemical mechanical polishing
  • a damage interface 28 is formed within the first semiconductor layer 12 by implanting hydrogen ions, or other like ions, into the first semiconductor layer 12 .
  • the hydrogen ions may be implanted by conventional ion implantation using a dosage ranging from about 1 ⁇ 10 16 atoms/cm 2 to about 2 ⁇ 10 17 atoms/cm 2 .
  • the hydrogen atoms may be implanted using an implantation energy ranging from about 50 keV to about 150 keV.
  • a planar bonding layer 33 comprising an insulating layer, is formed on the upper surface of the structure depicted in FIG. 3 .
  • the planar bonding layer 33 is formed using conventional deposition and planarization. Specifically, an insulating layer is formed using a conventional deposition process, such as chemical vapor deposition. The insulating layer is then planarized to produce the planar bonding layer 33 using a conventional planarization method, such as CMP.
  • a wafer 30 is then bonded to the planar bonding layer 33 .
  • Bonding is achieved by bringing the wafer 30 into intimate contact with the face of the planar bonding layer 33 ; optionally applying an external force to the contacted wafer 30 and planar bonding layer 33 ; and then heating the two contacted surfaces under conditions that are capable of bonding. The heating step may be performed in the presence or absence of an external force.
  • the second semiconductor layer 12 is then separated about the damaged interface 28 of the second semiconductor layer 12 , in which a portion of the second semiconductor layer 12 positioned below the damaged interface 28 is removed and a portion of the second semiconductor layer 12 above the damaged interface 28 remains.
  • the remaining portion of the second semiconductor layer 12 is then subjected to a planarization process, such as chemical mechanical polishing (CMP) or grinding.
  • CMP chemical mechanical polishing
  • the planarization process removes the remaining portion of the second semiconductor layer 12 stopping on the first insulating layer 14 and exposing a surface 38 of the regrown semiconducting material 26 .
  • FIG. 5 depicts the resultant structure formed by the above planarization process.
  • a first SiGe layer 34 is grown atop the exposed surface 38 of the regrown semiconducting material 26 in the first device region 24 using a selective epitaxial growth process.
  • the first SiGe layer 34 only grows on the exposed surface 38 of the regrown semiconducting material 26 , since SiGe formed by selective epitaxial growth requires a silicon-containing surface. Therefore, since the exposed surface of the second device region 22 is the first insulating layer 14 , the first SiGe layer 34 does not grow within the second device region 22 .
  • the first SiGe layer 34 is grown having a first Ge concentration ranging from about 20 atomic number % to about 40 atomic number %, where the concentration of Ge is selected to produce the appropriate stress within the subsequently formed first strained semiconducting layer for a pFET device.
  • the SiGe layer 34 is grown having a first Ge concentration ranging about 5 atomic number % to about 30 atomic number %, where the concentration of Ge is selected to produce the appropriate strain within the subsequently formed first strained semiconducting layer for an pFET device.
  • the Ge concentration may range from 0 atomic number % to 100 atomic number %.
  • the first SiGe layer 34 may also be referred to as the first concentration of lattice modifying material.
  • a protective layer 35 is then formed atop the first device region 24 , including the first SiGe layer 34 , and the second device region 22 .
  • the protective layer 35 comprises an insulating material, preferably a nitride such as Si 3 N 4 .
  • the protective layer 35 may be formed using deposition methods including, but not limited to: chemical vapor deposition (CVD), low-pressure chemical vapor deposition (LPCVD), plasma enhanced chemical vapor deposition (PECVD) or rapid thermal chemical vapor deposition (RTCVD).
  • the protective layer 35 may have a thickness ranging from about 10 nm to about 20 nm.
  • a photoresist block mask 36 is formed overlying at least the first SiGe layer 34 .
  • the photoresist block mask 36 may be formed by conventional deposition and photolithography. For example, a layer of photoresist may be blanket deposited atop the surface of the entire structure including the first and second device regions 24 , 22 .
  • the layer of photoresist is then patterned using conventional photolithography. Specifically, the layer of photoresist is patterned by exposing the photoresist layer to a pattern of radiation and then developing the pattern into the photoresist utilizing a conventional resist developer. Once the patterning of the photoresist layer is completed, the second device region 22 is exposed, while the photoresist block mask 36 protects the first device region 24 .
  • the protective layer 35 and the insulating layer 14 are removed from the second device region 22 to expose the first semiconductor layer 16 .
  • the insulating layer 14 and the protective layer 35 are removed from the second device region 22 by a directional etch process, such as reactive ion etch, having high selectivity to removing the insulating layer 14 and protective layer 35 , without substantially etching the insulating material 25 , the photoresist block mask 36 , and the first semiconductor layer 16 .
  • a second SiGe layer 37 is grown atop the first semiconductor layer 16 in the second device region 22 using a selective epitaxial growth process.
  • the second SiGe layer 37 only grows on the surface of the first semiconductor layer 16 , since epitaxially growth SiGe requires a silicon-containing surface. Therefore, since the protective layer 35 is positioned atop the first device region 24 , the second SiGe layer 37 will not grow within the first device region 24 .
  • the second SiGe layer 37 is grown having a second Ge concentration ranging from about 5% to about 30%, where the concentration of Ge is selected to produce the appropriate stress within the subsequently formed second strained semiconducting layer for a nFET device.
  • the second SiGe layer 37 is grown having a second Ge concentration ranging about 20% to about 40%, where the concentration of Ge is selected to produce the appropriate stress within the subsequently formed second strained semiconducting layer for a pFET device.
  • the second Ge concentration in the second SiGe layer 37 is different from the first Ge concentration in the first SiGe layer 34 .
  • the second Ge concentration may be the same as the first Ge concentration.
  • the second SiGe layer 37 may also be referred to as the second concentration of lattice modifying material.
  • the structure is then planarized using conventional planarization processes, such as chemical mechanical polishing, stopping on the protective liner 35 .
  • the protective liner 35 is then removed by a highly selective etch that removes the protective liner 35 without substantially etching the first SiGe layer 34 , the second SiGe layer 37 , or the insulating material 25 , producing the structure depicted in FIG. 7 .
  • the structure is then annealed in an oxidizing atmosphere to form a first thermal oxide 39 on the surface of the first device region 24 and a second thermal oxide 40 on the surface of the second device region 22 .
  • This annealing process may be conducted in an oxidizing atmosphere at a temperature ranging from about 1000° C. to about 1200° C. for a time period ranging from about 1 hour to 2 hours.
  • the first thermal oxide 39 and the second thermal oxide 40 comprise SiO 2 and have a thickness ranging from about 30 nm to about 100 nm.
  • the first SiGe layer 34 intermixes with the regrown semiconductor material 26 to form the first lattice dimension SiGe layer 41 and the second SiGe layer 37 intermixes with the first semiconductor layer 16 to form the second lattice dimension SiGe layer 42 .
  • the first thermal oxide 39 formed atop the first device region 24 drives the Ge from the first SiGe layer 34 into the regrown semiconducting layer 26 and the second thermal oxide 40 drives the Ge from the second SiGe layer 37 into the first semiconductor layer 16 .
  • the first lattice dimension SiGe layer 41 preferably has a lattice dimension that produces the appropriate strain for nFET device improvements in the subsequently formed first strained semiconductor layer, which is formed atop the first lattice dimension SiGe layer 41 .
  • the second lattice dimension SiGe layer 42 has a lattice dimension that produces the appropriate strain in the subsequently formed second strained semiconductor layer for optimized performance in pFET devices, in which the subsequently formed second strained semiconductor layer is epitaxially grown atop the second lattice dimension SiGe layer 42 .
  • the first lattice dimension SiGe layer 41 has a different lattice dimension (also referred to as lattice constant) than the second lattice dimension SiGe layer 42 .
  • the first lattice dimension SiGe layer 41 can have the same lattice dimension as the second lattice dimension SiGe layer 42 .
  • the crystallographic orientation of the regrown semiconductor material 26 and the epitaxially grown first SiGe layer 34 is maintained in the first lattice dimension SiGe layer 41 .
  • the crystallographic orientation of the first semiconductor layer 16 and the epitaxially grown second SiGe layer 37 is maintained in the second lattice dimension SiGe layer 42 .
  • the first thermal oxide 39 and the second thermal oxide 40 are then removed using a highly selective etch process to expose the first lattice dimension SiGe layer 41 and the second lattice dimension SiGe layer 42 .
  • the highly selective etch process is a timed directional etch process, such as reactive ion etch, having a high selectivity for etching the first thermal oxide 39 and the second thermal oxide 40 , without substantially etching the first lattice dimension SiGe layer 41 and the second lattice dimension SiGe layer 42 .
  • an oxide layer may be deposited atop the structure and planarized by conventional planarization methods, such as chemical mechanical polishing (CMP), prior to the removal of the first thermal oxide 39 and the second thermal oxide 30 .
  • CMP chemical mechanical polishing
  • the oxide layers are also removed by the etch process that exposes the first lattice dimension SiGe layer 41 and the second lattice dimension SiGe layer 42 .
  • a first strained semiconducting layer 43 is epitaxially grown atop the first lattice dimension SiGe layer 41 and a second strained semiconducting layer 44 is epitaxially grown atop the second lattice dimension SiGe layer 42 .
  • the first and second strained semiconducting layers 43 , 44 comprise epitaxially formed Si.
  • the first and second strained semiconducting layer 43 , 44 comprise an internal tensile stress.
  • the internal tensile stress results from growing a material layer, such as the first and second semiconducting layer 43 , 44 , having a different lattice dimension than the surface on which the material layer is grown, such as the first or second lattice dimension SiGe layer 41 , 42 .
  • An internal tensile stress is produced since the lattice dimension of the material layer is strained to match the lattice dimension of the surface on which the material layer is grown.
  • the internal stress produced within the first or second semiconducting layer 43 , 44 is increased by increasing the Ge content in the first or second lattice dimensions SiGe layer 41 , 42 .
  • Silicon has a lattice dimension of approximately 5.43 ⁇
  • Ge has a lattice structure on the order of about 5.65 ⁇ . Therefore, increasing the Ge concentration in the first lattice dimension SiGe layer 41 or the second lattice dimension SiGe layer 42 increases the lattice mismatch between the unstrained Si and the first lattice dimension or second lattice dimension SiGe layer 41 , 42 , which in turn increases the internal stress within the epitaxially grown Si 43 , 44 .
  • Strain introduced to the device channel can result in device improvements for both pEET and nFET devices, in which pFET devices need higher strain levels for device optimization.
  • the strain produced in the first or second strained semiconducting layer 43 , 44 is maintained so long as the first or second strained semiconducting layer 43 , 44 is not grown to a thickness greater than its critical thickness. Once the first or second strained semiconducting layer 43 , 44 surpasses its critical thickness, relaxation can occur due to dislocation generation. Relaxation diminishes the internal strain produced in the deposited layer.
  • the “critical thickness” is the maximum thickness at which the layer will not relax.
  • the thickness of the first strained semiconducting layer 43 may range from about 5 nm to about 20 nm.
  • the thickness of the second strained semiconducting layer 44 may range from about 5 nm to about 20 nm.
  • the crystallographic orientation of the first lattice dimension SiGe layer 41 is (110). Although a (110) crystal plane is preferred, the first lattice dimension SiGe layer 41 may alternatively have a (111), or a (100) crystal plane. Since the first lattice dimension SiGe layer 41 is preferably in a (110) crystal plane surface, the crystallographic orientation of the second lattice dimension SiGe layer 42 is preferably in a (100) crystal plane. The second lattice dimension SiGe layer 42 may alternatively have a (111) crystal plane, a (100) crystal plane or other crystal planes.
  • the resulting structure comprises an SGOI substrate including a first device region 24 having a first strained semiconducting layer 43 with a first crystallographic orientation and a second device region 22 having a second strained semiconducting layer 44 with second crystallographic orientation, the first crystallographic orientation being different from the second crystallographic orientation.
  • the internal strain within the first strained semiconductor layer 43 may be the same or different from the internal strain within the second strained semiconductor layer 44 .
  • the first strained semiconducting layer 43 has a crystallographic orientation and internal tensile stress for nFET device optimization. More specifically, the first crystallographic orientation is preferably in a (110) crystal plane and the internal tensile stress produces dislocations that are beneficial to pFET device performance.
  • the second strained semiconducting layer 44 of the second device region 22 preferably has a crystallographic orientation and internal stress for nFET device optimization. More specifically, the second crystallographic orientation is preferably in a (100) crystal plane to increase electron mobility and the internal stress avoids dislocation formation that degrades nFET device performance.
  • the SGOI substrate 50 may then be further processed using conventional MOSFET processing steps to form at least one pFET device 52 in first device region 24 and at least one nFET device 53 in the second device region 22 .
  • the first strained semiconductor layer 43 can be vertically offset from the second strained semiconductor layer 44 by a vertical dimension ranging from about 50 ⁇ to about 200 ⁇ .
  • the embodiment of the present invention depicted in FIGS. 11-19 provides a substantially planar strained SGOI substrate comprising device regions separated by insulating material, in which each device region has a crystallographic orientation and internal stress that is optimized for a specific type of semiconducting device. Similar to the previous embodiment depicted in FIGS.
  • this embodiment of the inventive method can provide a first device region that is optimized for pFET devices and a second device region that is optimized for nFET devices, or alternatively a first device region optimized for nFETs and a second device region optimized for pFETs.
  • the initial structure comprises a bonded substrate 10 similar to the substrate depicted FIG. 1 of the previous embodiment, including a first semiconductor layer 16 , a first insulating layer 14 , a second semiconductor layer 12 , and further comprising a first planarization stop layer 18 .
  • the planarization stop layer 18 which is located between the first insulating layer 14 and the first semiconductor layer 16 , has a thickness ranging from about 5 nm to about 20 nm, with a thickness of about 10 nm being highly preferred.
  • the planarization stop layer 18 is a nitride or oxynitride material, preferably Si 3 N 4 .
  • the first semiconductor layer 16 has a first crystallographic orientation preferably having a (100) crystal plane and the second semiconductor layer 12 has a second crystallographic orientation that is preferably a (110) crystal plane.
  • the first semiconductor layer 16 may alternatively have a (111) crystal plane, a (110) crystal plane or other crystal planes and the second semiconductor layer 12 may alternatively have a (111) crystal plane, a (100) crystal plane or other crystal planes.
  • the first insulating layer 14 is preferably an oxide, such as SiO 2 .
  • a dielectric stack 5 is then formed atop the first semiconductor layer 16 .
  • the dielectric stack 5 includes at least a second insulating layer 6 and a second planarization stop layer 7 and can be formed using deposition processes well known in the art.
  • the second insulating layer 6 may comprise an oxide, nitride, oxynitride or other insulating material, preferably being SiO 2 , and may be formed using a deposition process, such as, for example, chemical vapor deposition.
  • the second insulating layer 6 can have a thickness from about 10 nm to about 500 nm, with a thickness from about 20 nm to about 100 nm being more highly preferred.
  • the second planarization stop layer 7 is a nitride or oxynitride material, preferably Si 3 N 4 , and can be formed using a conventional deposition process, such as chemical vapor deposition.
  • the second planarization stop layer 7 can have a thickness ranging from about 5 nm to about 20 nm, with a thickness of about 100 nm being highly preferred.
  • an etch mask is formed using conventional photoresist deposition and photolithography processes on a predetermined portion of the second insulating layer 6 , so as to protect a portion of the dielectric stack 5 and the underlying bonding substrate 10 , while leaving another portion of the dielectric stack 5 and the bonded substrate 10 unprotected.
  • the unprotected portion of the bonded substrate 10 defines a first device area of the structure, whereas the protected portion of the bonded substrate 10 defines a second device region.
  • the structure is subjected to one or more etching steps, so as to expose a surface of the second semiconductor layer 12 .
  • the etch mask 20 is then removed utilizing a conventional resist stripping process. Following etch mask removal, a remaining portion of the second insulating layer 6 is removed using an etch process having a high selectivity to removing the second insulating layer 6 , without substantially etching the second planarization stop layer 7 .
  • an insulating material 25 is then formed atop, and on, the exposed sidewalls of the remaining portions of the second planarization stop layer 7 , the first semiconductor layer 16 , the second planarization stop layer 18 and the first insulating layer 14 , in the second device region 22 .
  • the insulating material 25 is similar to the insulating material 25 of the previous embodiment, as depicted in FIG. 2
  • a semiconductor material 26 is then epitaxially grown in the first device region 24 , on the exposed surface of the second semiconductor layer 12 .
  • semiconductor material 26 has a crystallographic orientation that is the same as the crystallographic orientation of the second semiconductor layer 12 .
  • the epitaxially grown semiconductor material 26 is similar to the regrown semiconductor material 26 of the previous embodiment, which is described above and depicted in FIG. 3 .
  • the semiconductor material 26 may be referred to as a regrown semiconductor material 26 , wherein the regrown semiconductor material 26 preferably comprises a crystallographic orientation having a (110) crystal plane.
  • a planarization process such as chemical mechanical polishing (CMP) or grinding, is then conducted such that the upper surface of the regrown semiconductor material 26 is substantially planar with the upper surface of the second planarization stop layer 7 .
  • a first oxidation layer 27 is then formed atop the semiconductor material 26 so that the first device region 24 has a surface substantially coplanar to the second planarization stop layer 7 .
  • the first oxidation layer 27 is formed by a thermal oxidation of Si process (local oxidation of silicon (LOCOS)) and can have a thickness ranging from about 10 nm to about 15 nm, Similar to the damaged interface 28 formed in the previous embodiment and illustrated depicted in FIG. 4 , a damaged interface is formed within the second semiconductor layer 12 .
  • the upper surface of the structure depicted in FIG. 12 including the second planarization stop layer 7 and the first oxidation layer 27 , are processed to provide a planar surface for wafer bonding.
  • the first planarization stop layer 7 can be removed using a high selectivity etch process, without substantially etching the first semiconductor layer 16 , insulating material 25 , and first thermal oxide layer 27 .
  • a planar bonding layer 33 is formed using deposition and planarization atop the exposed surface of the first semiconducting layer 16 , the first thermal oxide layer 27 , and the insulating material 25 .
  • a wafer 30 is then bonded to the planar bonding layer 33 by conventional thermal bonding. The formation of the planar bonding layer 33 and bonding the planar bonding layer to the wafer 30 are described in greater detail in the previous embodiment, as described with reference to FIG. 4 .
  • the second semiconducting layer 12 is then separated about the damaged interface 28 , in which a remaining portion 32 of the second semiconducting layer 12 remains, as depicted in FIG. 13 .
  • the remaining portion 32 of the single orientation layer 12 is then subjected to a planarization process, such as chemical mechanical polishing (CMP) or grinding.
  • CMP chemical mechanical polishing
  • the planarization process removes the remaining portion 32 of the single orientation layer 12 , the first insulating layer 14 , a portion of the insulating material 25 , and a portion of the regrown semiconducting material 26 .
  • the planarization process ends on the first planarization stop layer 18 .
  • a second thermal oxide layer 34 is formed atop the exposed surface 38 ′ of the regrown semiconducting material 26 so that the regrown semiconducting material 26 in the first device region 24 has a surface substantially coplanar to the first semiconductor layer 6 in the second device region 22 .
  • the second thermal oxide layer 34 is formed during by a thermal oxidation of Si process. The second thermal oxidation consumes Si from the exposed surface 38 ′ of the regrown semiconducting material 26 , therefore leveling the upper surface 38 ′ of the regrown semiconducting material 26 in the first device region 24 to the upper surface 37 of the first semiconductor layer 16 in the second device region 22 .
  • the second thermal oxide layer 34 can have a thickness ranging from about 10 nm to about 15 nm, so long as the top surface 38 ′ of the regrown semiconducting material 26 is substantially coplanar to the top surface 37 of the first semiconductor layer 16 .
  • the second thermal oxide layer 34 is SiO 2 .
  • the second thermal oxide layer 34 and the first planarization stop layer 18 are removed using a selective etching process, wherein the resulting structure comprises a substantially planar SOI substrate 51 comprising a first device region 24 having a first crystallographic orientation and a second device region 22 having a second crystallographic orientation, the first crystallographic orientation being different from the second crystallographic orientation.
  • the first device region 24 and the second device region 22 are separated by insulating material 25 .
  • the first orientation is a (110) crystal plane and the second orientation is a (100) crystal plane.
  • a protective layer 35 is then formed atop the first device region 24 using deposition, photolithography and etching.
  • the protective layer 35 comprises an insulating material, preferably a nitride material, such as Si 3 N 4 .
  • the protective layer 35 may have a thickness ranging from about 10 nm to about 20 nm.
  • a second SiGe layer 37 is grown atop the first semiconductor layer 16 in the second device region 22 .
  • the second SiGe layer 37 is grown using a selective epitaxial growth process similar to the second SiGe layer 34 formed in the previous embodiment, as described above with reference to FIG. 7 .
  • the second SiGe layer 37 is preferably grown having a second Ge concentration selected to produce the appropriate stress for a nFET device within the subsequently formed second strained semiconducting layer.
  • the Ge concentration is selected to produce the appropriate stress for a pFET device within the subsequently formed second strained semiconducting layer.
  • the protective layer 35 is then removed by a highly selective etch that removes the protective liner 35 without substantially etching the second SiGe layer 37 , the first SiGe layer 26 , or the insulating material 25 .
  • a protective liner 58 is then formed atop the second device region 22 including the second SiGe layer 37 using deposition, photolithography, and etch processes, which are well known within the skill of the art.
  • the protective liner 58 comprises an insulating material, preferably a nitride material, such as Si 3 N 4 , and may have a thickness ranging from about 10 nm to about 20 nm.
  • the first SiGe layer 34 is grown atop the exposed surface of the regrown semiconducting material 26 in the first device region 24 using a selective epitaxial growth process.
  • the first SiGe layer 34 is grown using a selective epitaxial growth process similar to the first SiGe layer 34 formed in the previous embodiment, as described above with reference to FIG. 7 .
  • the first SiGe layer 34 is preferably grown having a first Ge concentration selected to produce the appropriate stress for a pFET device within the subsequently formed first strained semiconducting layer 43 .
  • the Ge concentration is selected to produce the appropriate stress for an nFET device within the subsequently formed first strained semiconducting layer 43 .
  • the structure is then annealed to intermix the first SiGe layer 34 with the regrown semiconducting material 26 to form a first lattice dimension SiGe layer 41 and to intermix the second SiGe layer 37 with the first semiconductor layer 16 to form a second lattice dimension SiGe layer 42 .
  • This annealing process is similar to the annealing process of the previous embodiment, described above with reference to FIGS. 8 and 9 .
  • an oxidizing atmosphere produces a first thermal oxide 39 atop the first device region 24 and a second thermal oxide 40 atop the second device region 22 , where the formation of the thermal oxide 39 , 40 drives Ge from the first SiGe layer 34 and the second SiGe layer 37 into the regrown semiconducting layer 26 and the first semiconductor layer 16 .
  • the first thermal oxide 39 and the second thermal oxide 40 are then removed using highly selective etch processes to expose the first lattice dimension SiGe layer 41 and the second lattice dimension SiGe layer 42 , as depicted in FIG. 18 . Due to this high temperature annealing (i.e., 1000° C.-1300° C.), the first lattice dimension SiGe layer 41 and the second lattice dimension SiGe layer 42 are relaxed.
  • the first lattice dimension SiGe layer 41 preferably has a lattice dimension that produces the appropriate strain for pFET device improvements in the subsequently formed first strained semiconductor layer.
  • the second lattice dimension SiGe layer 42 has a lattice dimension that produces the appropriate strain in the subsequently formed second strained semiconductor layer for optimized performance in nFET devices.
  • the first lattice dimension material may be SiGe having a Ge concentration ranging from 0.05% to 0.4% and the second lattice dimension material may be SiGe having a Ge ranging from about 0.1% concentration to about 0.5%.
  • the crystallographic orientation of the regrown semiconductor material 26 and the epitaxially grown first SiGe layer 34 is maintained in the first lattice dimension SiGe layer 41 .
  • the crystallographic orientation of the first semiconductor layer 16 and the epitaxially grown second SiGe layer 37 is maintained in the second lattice dimension SiGe layer 42 .
  • a first strained semiconducting layer 43 is epitaxially grown atop the first lattice dimension SiGe layer 41 and a second strained semiconducting layer 44 is epitaxially grown atop the second lattice dimension SiGe layer 42 .
  • the first and second strained semiconducting layers 43 , 44 comprise epitaxially formed Si.
  • the first and second strained semiconducting layers 43 , 44 comprise an internal tensile stress that results from the lattice mismatch between the smaller lattice dimension of epitaxially grown Si of the first and second semiconducting layers 43 , 44 being formed atop the larger lattice dimension of the first and second lattice dimension SiGe layer 41 , 42 .
  • the lattice mismatch between unstrained semiconducting layer 43 and the first lattice dimension SiGe layer 41 increases pFET device improvements and the lattice mismatch between the unstrained semiconducting layer 44 and the second lattice dimension SiGe layer 41 does not degrade nFET performance.
  • the strain produced in the first or second strained semiconducting layer 43 , 44 is maintained so long as the first or second strained semiconducting layer 43 , 44 is not grown to a thickness greater than its critical thickness.
  • the crystallographic orientation of the first lattice dimension SiGe layer 41 is (110). Although a (110) crystal plane is preferred, the first lattice dimension SiGe layer 41 may alternatively have a (111) or a (100) crystal plane. Since the first lattice dimension SiGe layer 41 is preferably in a (110) crystal plane, the crystallographic orientation of the second lattice dimension SiGe layer 42 is preferably in a (100) crystal plane. Although a (100) crystal plane is preferred, the second lattice dimension SiGe layer 42 may alternatively have a (111) or a (110) crystal plane.
  • the resulting structure comprises a substantially planar SOI substrate including a first device region 24 having a first strained semiconducting layer 43 with a first crystallographic orientation and a second device region 22 having a second strained semiconducting layer 44 with a second crystallographic orientation, the first crystallographic orientation being different from the second crystallographic orientation.
  • the first strained semiconducting layer 43 has a crystallographic orientation and internal tensile stress for pFET device optimization.
  • the second strained semiconducting layer 44 of the second device region 22 preferably has a crystallographic orientation and internal stress for nFET device optimization.
  • the substantially planar substrate 51 may then be further processed using conventional MOSFET processing steps to form at least one pFET device 52 in first device region 24 and at least one nFET device 53 in the second device region 22 .

Abstract

The present invention provides a method of forming a semiconducting substrate including the steps of providing an initial structure having first device region comprising a first orientation material and a second device region having a second orientation material; forming a first concentration of lattice modifying material atop the first orientation material; forming a second concentration of the lattice modifying material atop the second orientation material; intermixing the first concentration of lattice modifying material with the first orientation material to produce a first lattice dimension surface and the second concentration of lattice modifying material the second orientation material to produce a second lattice dimension surface; and forming a first strained semiconducting layer atop the first lattice dimension surface and a second strained semiconducting layer atop the second lattice dimension surface.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This application is a divisional application of U.S. Ser. No. 10/905,978, filed Jan. 28, 2005, which is related to co-assigned U.S. patent application Ser. No. 10/250,241 entitled HIGH PERFORMANCE SOI DEVICES ON HYBRID CRYSTAL-ORIENTATED SUBSTRATES, filed Jun. 17, 2003, now U.S. Patent Application Publication No. 2004-0256700, and co-assigned U.S. patent application Ser. No. 10/710,277 entitled STRUCTURE AND METHOD FOR MANUFACTURING PLANAR SOI SUBSTRATE WITH MULTIPLE ORIENTATIONS, filed Jun. 30, 2004, now U.S. Pat. No. 7,094,634, the entire content and subject matter of which are incorporated herein by reference.
  • FIELD OF THE INVENTION
  • The present invention relates to semiconductor materials having enhanced electron and hole mobilities, and more particularly, to semiconductor materials that include a silicon (Si)-containing layer having enhanced electron and hole mobilities. The present invention also provides methods for forming such semiconductor materials.
  • BACKGROUND OF THE INVENTION
  • For more than three decades, the continued miniaturization of silicon metal oxide semiconductor field effect transistors (MOSFETs) has driven the worldwide semiconductor industry. Various showstoppers to continued scaling have been predicated for decades, but a history of innovation has sustained Moore's Law in spite of many challenges. However, there are growing signs today that metal oxide semiconductor transistors are beginning to reach their traditional scaling limits. A concise summary of near-term and long-term challenges to continued CMOS scaling can be found in the “Grand Challenges” section of the 2002 Update of the International Technology Roadmap for Semiconductors (ITRS). A very thorough review of the device, material, circuit, and systems can be found in Proc. IEEE, Vol. 89, No. 3, March 2001, a special issue dedicated to the limits of semiconductor technology.
  • Since it has become increasingly difficult to improve MOSFETs and therefore complementary metal oxide semiconductor (CMOS) performance through continued scaling, methods for improving performance without scaling have become critical. One approach for doing this is to increase carrier (electron and/or hole) mobilities. This can be done by either: (1) introducing the appropriate strain into the Si lattice; (2) by building MOSFETs on Si surfaces that are orientated in directions different than the conventional <100> Si; or (3) a combination of (1) and (2).
  • As far as approach (1) is concerned, the application of stresses or strains changes the lattice dimensions of the Si-containing layer. By changing the lattice dimensions, the energy band gap of the material is changed as well. The change may only be slight in intrinsic semiconductors resulting in only a small change in resistance, but when the semiconducting material is doped, i.e., n-type, and partially ionized, a very small change in the energy bands can cause a large percentage change in the energy difference between the impurity levels and the band edge. Thus, the change in resistance of the material with stress is large.
  • Prior attempts to provide strain-based improvements of semiconductor substrates have utilized etch stop liners or embedded SiGe structures. N-type channel field effect transistors (nFETs) need tension on the channel for strain-based device improvements, while p-type channel field effect transistors (pFETs) need a compressive stress on the channel for strain-based device improvements.
  • In terms of approach (2), electrons are known to have a high mobility for a (100) Si surface orientation, but holes are known to have high mobility for a (110) surface orientation. That is, hole mobility values on (100) Si are roughly 2×-4× lower than the corresponding electron mobility for this crystallographic orientation. To compensate for this discrepancy, pFETs are typically designed with larger widths in order to balance pull-up currents against the nFET pull-down currents and achieve uniform circuit switching. NFETs having larger widths are undesirable since they take up a significant amount of chip area.
  • On the other hand, hole mobilities on the (110) crystal plane of Si are approximately 2× higher than on the (100) crystal plane of Si; therefore, pFETs formed on a surface having a (100) crystal plane will exhibit significantly higher drive currents than pFETs formed on a surface having a (100) crystal plane. Unfortunately, electron Nobilities on the (110) crystal plane of Si are significantly degraded compared to the (100) crystal plane of Si.
  • There is interest in integrating strained substrates having multiple crystallographic orientations with silicon-on-insulator (SOI) technology. SOI substrates reduce parasitic capacitance within the integrated circuit, reduce individual circuit loads and reduce the incidence of latch-up, thereby improving circuit and chip performance.
  • In view of the state of the art mentioned above, there is a continued need for providing a strained Si/SiGe on insulator substrate with multiple crystallographic orientations and different stress levels.
  • SUMMARY OF THE INVENTION
  • One object of the present invention is to provide a multiple crystallographic orientation strained Si/SiGe-on-insulator (SGOI) substrate.
  • Another object of the present invention is to provide a SGOI substrate that integrates strained silicon nFETs on a (100) crystal plane with strained silicon pFETs on a (110) crystal plane.
  • These and other objects and advantages are achieved in the present invention by utilizing a method that provides a multiple orientation strained SCOI substrate including bonding, masking, etching and epitaxial regrowth process steps. Specifically, the method of the present invention comprises the steps of
  • providing an initial structure having a first device region and a second device region positioned on and separated by an insulating material, said first device region comprising a first orientation material and said second device region comprising an insulating layer atop a second orientation material, wherein said first orientation material and said second orientation material have different crystallographic orientations;
  • forming a first concentration of lattice modifying material atop said first orientation material;
  • forming a protective layer atop said first concentration of lattice modifying material;
  • removing said insulating layer atop said second orientation material;
  • forming a second concentration of said lattice modifying material atop said second orientation material;
  • removing said protective layer from said first concentration of lattice modifying material;
  • intermixing said first concentration of lattice modifying material with said first orientation material to produce a first lattice dimension surface and said second concentration of lattice modifying material with said second orientation material to produce a second lattice dimension surface; and
  • forming a first strained semiconducting layer atop said first lattice dimension surface and a second strained semiconducting layer atop said second lattice dimension surface, said first strained semiconducting layer having a same or a different internal stress than said second semiconducting layer, said second strained semiconducting layer having a different crystallographic orientation than the first semiconducting layer.
  • In accordance with the present invention, the lattice modifying material may comprise SiGe. Increasing the Ge concentration in the lattice modifying material, increases the strain produced in the subsequently formed first strained semiconducting layer and second strained semiconducting layer. The concentration of Ge present in the lattice modifying material atop the first device region and the second device region can be controlled using deposition, photolithography and etching.
  • A first concentration of lattice modifying material is epitaxially grown atop the first orientation material in the first device region. Epitaxial growth requires a crystalline silicon-containing surface; therefore the first concentration of lattice modifying material does not grow atop the insulating layer in the second device region. A protective layer is then blanket deposited atop at least the first device region and the second device region. A protective mask is then formed atop a portion of the protective liner that is positioned on the first concentration of lattice modifying material in the first device region. The protective layer and the insulating layer are then removed from the second device region to expose the second orientation material,
  • Once the second orientation material is exposed, the second concentration of lattice modifying material is epitaxially grown atop the second orientation material in the second device region, while the remaining portion of the protective layer ensures that epitaxial growth does not occur in the first device region. Thereafter, the remaining portion of the protective layer is removed.
  • In a next process step, thermal processing of the structure in an oxidizing environment causes intermixing between the lattice modifying materials and the underlying first orientation material and second orientation material to produce a first lattice dimension surface and second lattice dimension surface capped with an oxidation layer.
  • Following the removal of the oxidation layer, a first strained semiconducting layer can be formed atop the first lattice dimension surface and a second strained semiconducting material can be formed atop the second orientation material. The Ge concentration and the crystallographic orientation in the first and second strained semiconducting layers may be independently selected to provide optimized device regions for both pFET or nFFT devices.
  • In another embodiment of the present invention, a method is provided for producing a multiple orientation strained Si/SiGe-on-insulator (SGOI) substrate in which the initial structure utilized in the method does not include an insulating layer atop the second orientation material within the second device region as disclosed above. Broadly, the inventive method comprises:
  • providing an initial structure having a first device region and a second device region positioned on and separated by an insulating material, said first device region comprising a first orientation material and said second device region comprises a second orientation material, wherein said first orientation material and said second orientation material have different crystallographic orientations;
  • forming a protective layer atop said second orientation material;
  • forming a first concentration of lattice modifying material atop the first orientation material;
  • removing said protective layer to expose said second orientation material;
  • forming a protective liner atop said first concentration of lattice modifying material;
  • forming a second concentration of said lattice modifying material atop said second orientation material;
  • intermixing said first concentration of lattice modifying material with said first orientation material to produce a first lattice dimension surface and said second concentration of lattice modifying material with said second orientation material to produce a second lattice dimension surface; and
  • forming a first strained semiconducting layer atop said first lattice dimension surface and a second strained semiconducting layer atop said second lattice dimension surface, said first strained semiconducting layer having a same or a different internal stress than said second semiconducting layer, said second strained semiconducting layer having said different crystallographic orientation than said first strained semiconducting layer.
  • Another aspect of the present invention is an inventive multiple orientation strained Si/SiGe-on-insulator (SGOI) substrate formed by the above methods. Broadly the inventive structure comprises:
  • an insulating layer atop a substrate;
  • an SOI layer atop the insulating layer, the SOI layer comprising a first lattice dimension material and a second lattice dimension material separated by an insulating material, wherein the first lattice dimension material has a lattice constant different than the second lattice dimension material;
  • a first strained semiconducting layer atop the first lattice dimension material, the first strained semiconducting material having a first crystallographic orientation; and
  • a second strained semiconducting layer atop the second lattice dimension material, the second strained semiconducting material having a second crystallographic orientation different from the first crystallographic orientation.
  • In accordance with the present invention, the first strained semiconducting layer further comprises at least one pFET device and the second strained semiconducting layer further comprises at least one nFET device, when the first crystallographic orientation has a (110) crystal plane, the second crystallographic orientation has a (100) crystal plane and the first strained semiconducting layer has a higher internal stress than the second strained semiconducting layer. In another embodiment of the present invention, the lattice constant of the first lattice dimension material may be the same as the lattice constant of the second lattice dimension material.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1-10 are pictorial representations (through cross sectional views) illustrating the basic processing steps utilized in one embodiment of the present invention to form a strained SGOI substrate having multiple crystallographic orientation planes.
  • FIGS. 11-19 are pictorial representations (through cross sectional views) illustrating the basic processing steps utilized in another embodiment of the present invention to form a substantially planar SGOI substrate having a strained SGOI layer having multiple crystallographic orientation planes.
  • DETAILED DESCRIPTION OF THE INVENTION
  • The present invention, which provides a method of forming a SGOI substrate having different crystallographic surfaces, will now be described in greater detail by referring to the following discussion as well as the drawings that accompany the present application. In the accompanying drawings, like and correspondence elements are referred to by like reference numerals.
  • The first embodiment of the present invention is now described with reference to FIGS. 1-11. This embodiment provides a strained SGOI substrate comprising device regions separated by insulating material, in which each device region has a crystallographic orientation and internal stress that is optimized for a specific type of semiconducting device. For example, the following method can provide a first device region having a crystallographic orientation and internal stress that is optimized for pFET devices and a second device region having a crystallographic orientation and internal stress that is optimized for nFET devices.
  • Reference is first made to the initial structure shown in FIG. 1, in which a bonded substrate 10, i.e., hybrid substrate, is provided. As shown, bonded substrate 10 includes a first semiconductor layer 16, a first insulating layer 14, and a second semiconductor layer 12. The bonded substrate 10 may be formed using conventional thermal bonding methods.
  • The first semiconductor layer 16 is comprised of any semiconducting material including, for example, Si, SiC, SiGe, SiGeC, Ge alloys, GaAs, InAs, InP as well as other III/V or II/VI compound semiconductors. First semiconductor layer 16 may also comprise an SOI layer of a preformed SOT substrate or a layered semiconductor such as, for example, Si/SiGe. The first semiconductor layer 16 has a first crystallographic orientation, preferably having a (100) crystal plane. Although a (100) crystal plane is preferred, the first semiconductor layer 16 may alternatively have a first crystallographic orientation having a (111) crystal plane, a (110) crystal plane or other crystal planes.
  • The thickness of the first semiconductor layer 16 may vary depending on the initial starting wafers used to form the bonded substrate 10. Typically, however, the first semiconductor layer 16 has a thickness from about 5 nm to about 500 nm, with a thickness from about 5 nm to about 100 nm being more highly preferred.
  • The first insulating layer 14 which is located between the first semiconductor layer 16 and the second semiconductor layer 12 has a variable thickness depending upon the initial wafers used to create the bonded substrate 10. Typically, however, the first insulating layer 14 has a thickness from about 10 nm to about 500 nm, with a thickness from about 20 nm to about 100 nm being more highly preferred. The first insulating layer 14 is a nitride, oxide or other like insulator material, preferably a nitride, such as Si3N4.
  • The second semiconductor layer 12 is comprised of any semiconducting material which may be the same or different from that of the first semiconductor layer 16. Thus, second semiconductor layer 12 may include, for example, Si, SiC, SiGe, SiGeC, Ge alloys, GaAs, InAs, InP as well as other IIl/V or II/VI compound semiconductors. Second semiconductor layer 12 may also comprise an SOI layer of a preformed SOI substrate or a layered semiconductor such as, for example, Si/SiGe. The second semiconductor layer 12 has a second crystallographic orientation, which is different from the first crystallographic orientation. Since the first semiconductor layer 16 is preferably a surface having a (100) crystal plane, the second semiconductor layer 12 preferably has a crystallographic orientation having a (110) crystal plane or other crystal planes. Although the second crystallographic orientation of the second semiconductor layer 12 preferably has a (110) crystal plane, the second semiconducting layer 12 may alternatively have a (111) crystal plane, a (100) crystal plane or other crystal planes.
  • In a first process step, an etch mask is formed on a predetermined portion of the first semiconductor layer 16, so as to protect a portion of the bonded substrate 10, while leaving another portion of the bonded substrate 10 unprotected. The etch mask may comprise a photoresist or be a single or multi-layer dielectric hardmask. The unprotected portion of the bonded substrate 10 defines a first device area 24 of the structure, whereas the protected portion of the bonded substrate 10 defines a second device region 22. After providing the etch mask, the structure is subjected to one or more etching steps so as to expose a surface of the second semiconductor layer 12. Specifically, the one or more etching steps used at this point of the present invention removes the unprotected portions of the first semiconductor layer 16 and the insulating layer 14, stopping on the second semiconducting layer 12. The etching used at this point of the present invention may include a dry etching process, such as reactive-ion etching, ion beam etching, plasma etching or laser etching. The etch mask is then removed.
  • Insulating material 25 is then formed atop and on the exposed sidewalls of the remaining portions of the first semiconductor layer 16 and the first insulating layer 14 in the second device region 22, as depicted in FIG. 2. The insulating material 25 is formed by deposition and etching and can be comprised of any insulator, such as, for example, an oxide.
  • Referring to FIG. 3, a semiconductor material 26 is then epitaxially grown in the first device region 24, on the exposed surface of the second semiconductor layer 12. In accordance with the present invention, semiconductor material 26 has a crystallographic orientation that is the same as the crystallographic orientation of the second semiconductor layer 12. Preferably, the crystallographic orientation of the regrown semiconductor material 26 has a (110) crystal plane. Although a (110) crystal orientation is preferred, the regrown semiconductor material 26 may alternatively have a (111), or a (100) crystal plane.
  • The semiconductor material 26 may comprise any Si-containing semiconductor, such as Si, strained Si, SiGe, SiC, SiGeC or combinations thereof, which is capable of being formed utilizing a selective epitaxial growth method. In some preferred embodiments, semiconductor material 26 is comprised of Si. In the present invention, semiconductor material 26 may be referred to as a regrown semiconductor material 26.
  • In a next process step, a planarization process, such as chemical mechanical polishing (CMP) or grinding, planarizes the upper surface of the regrown semiconductor material 26 to be substantially planar with the upper surface of the first semiconductor layer 16, as depicted in FIG. 3.
  • Referring to FIG. 4, in a next process step, a damage interface 28 is formed within the first semiconductor layer 12 by implanting hydrogen ions, or other like ions, into the first semiconductor layer 12. The hydrogen ions may be implanted by conventional ion implantation using a dosage ranging from about 1×1016 atoms/cm2 to about 2×1017 atoms/cm2. The hydrogen atoms may be implanted using an implantation energy ranging from about 50 keV to about 150 keV.
  • Following the formation of the damaged interface 28, a planar bonding layer 33, comprising an insulating layer, is formed on the upper surface of the structure depicted in FIG. 3. The planar bonding layer 33 is formed using conventional deposition and planarization. Specifically, an insulating layer is formed using a conventional deposition process, such as chemical vapor deposition. The insulating layer is then planarized to produce the planar bonding layer 33 using a conventional planarization method, such as CMP.
  • Still referring to FIG. 4, a wafer 30 is then bonded to the planar bonding layer 33. Bonding is achieved by bringing the wafer 30 into intimate contact with the face of the planar bonding layer 33; optionally applying an external force to the contacted wafer 30 and planar bonding layer 33; and then heating the two contacted surfaces under conditions that are capable of bonding. The heating step may be performed in the presence or absence of an external force. During bonding, the second semiconductor layer 12 is then separated about the damaged interface 28 of the second semiconductor layer 12, in which a portion of the second semiconductor layer 12 positioned below the damaged interface 28 is removed and a portion of the second semiconductor layer 12 above the damaged interface 28 remains.
  • The remaining portion of the second semiconductor layer 12 is then subjected to a planarization process, such as chemical mechanical polishing (CMP) or grinding. The planarization process removes the remaining portion of the second semiconductor layer 12 stopping on the first insulating layer 14 and exposing a surface 38 of the regrown semiconducting material 26. FIG. 5 depicts the resultant structure formed by the above planarization process.
  • Referring to FIG. 6, in a next process step, a first SiGe layer 34 is grown atop the exposed surface 38 of the regrown semiconducting material 26 in the first device region 24 using a selective epitaxial growth process. The first SiGe layer 34 only grows on the exposed surface 38 of the regrown semiconducting material 26, since SiGe formed by selective epitaxial growth requires a silicon-containing surface. Therefore, since the exposed surface of the second device region 22 is the first insulating layer 14, the first SiGe layer 34 does not grow within the second device region 22.
  • Preferably, the first SiGe layer 34 is grown having a first Ge concentration ranging from about 20 atomic number % to about 40 atomic number %, where the concentration of Ge is selected to produce the appropriate stress within the subsequently formed first strained semiconducting layer for a pFET device. Alternatively, the SiGe layer 34 is grown having a first Ge concentration ranging about 5 atomic number % to about 30 atomic number %, where the concentration of Ge is selected to produce the appropriate strain within the subsequently formed first strained semiconducting layer for an pFET device. Alternatively, the Ge concentration may range from 0 atomic number % to 100 atomic number %. The first SiGe layer 34 may also be referred to as the first concentration of lattice modifying material.
  • Still referring to FIG. 6, a protective layer 35 is then formed atop the first device region 24, including the first SiGe layer 34, and the second device region 22. The protective layer 35 comprises an insulating material, preferably a nitride such as Si3N4. The protective layer 35 may be formed using deposition methods including, but not limited to: chemical vapor deposition (CVD), low-pressure chemical vapor deposition (LPCVD), plasma enhanced chemical vapor deposition (PECVD) or rapid thermal chemical vapor deposition (RTCVD). The protective layer 35 may have a thickness ranging from about 10 nm to about 20 nm.
  • Following the formation of the protective layer 35, a photoresist block mask 36 is formed overlying at least the first SiGe layer 34. The photoresist block mask 36 may be formed by conventional deposition and photolithography. For example, a layer of photoresist may be blanket deposited atop the surface of the entire structure including the first and second device regions 24, 22. The layer of photoresist is then patterned using conventional photolithography. Specifically, the layer of photoresist is patterned by exposing the photoresist layer to a pattern of radiation and then developing the pattern into the photoresist utilizing a conventional resist developer. Once the patterning of the photoresist layer is completed, the second device region 22 is exposed, while the photoresist block mask 36 protects the first device region 24.
  • Following the formation of the photoresist block mask 36, the protective layer 35 and the insulating layer 14 are removed from the second device region 22 to expose the first semiconductor layer 16. Preferably, the insulating layer 14 and the protective layer 35 are removed from the second device region 22 by a directional etch process, such as reactive ion etch, having high selectivity to removing the insulating layer 14 and protective layer 35, without substantially etching the insulating material 25, the photoresist block mask 36, and the first semiconductor layer 16.
  • Referring to FIG. 7, in a next process step, a second SiGe layer 37 is grown atop the first semiconductor layer 16 in the second device region 22 using a selective epitaxial growth process. The second SiGe layer 37 only grows on the surface of the first semiconductor layer 16, since epitaxially growth SiGe requires a silicon-containing surface. Therefore, since the protective layer 35 is positioned atop the first device region 24, the second SiGe layer 37 will not grow within the first device region 24.
  • Preferably, the second SiGe layer 37 is grown having a second Ge concentration ranging from about 5% to about 30%, where the concentration of Ge is selected to produce the appropriate stress within the subsequently formed second strained semiconducting layer for a nFET device. Alternatively, the second SiGe layer 37 is grown having a second Ge concentration ranging about 20% to about 40%, where the concentration of Ge is selected to produce the appropriate stress within the subsequently formed second strained semiconducting layer for a pFET device. In a preferred embodiment, the second Ge concentration in the second SiGe layer 37 is different from the first Ge concentration in the first SiGe layer 34. Alternatively, the second Ge concentration may be the same as the first Ge concentration. The second SiGe layer 37 may also be referred to as the second concentration of lattice modifying material.
  • The structure is then planarized using conventional planarization processes, such as chemical mechanical polishing, stopping on the protective liner 35. The protective liner 35 is then removed by a highly selective etch that removes the protective liner 35 without substantially etching the first SiGe layer 34, the second SiGe layer 37, or the insulating material 25, producing the structure depicted in FIG. 7.
  • Referring to FIG. 8, the structure is then annealed in an oxidizing atmosphere to form a first thermal oxide 39 on the surface of the first device region 24 and a second thermal oxide 40 on the surface of the second device region 22. This annealing process may be conducted in an oxidizing atmosphere at a temperature ranging from about 1000° C. to about 1200° C. for a time period ranging from about 1 hour to 2 hours. Preferably, the first thermal oxide 39 and the second thermal oxide 40 comprise SiO2 and have a thickness ranging from about 30 nm to about 100 nm.
  • During thermal oxidation of the first device region 24 and the second device region 22, the first SiGe layer 34 intermixes with the regrown semiconductor material 26 to form the first lattice dimension SiGe layer 41 and the second SiGe layer 37 intermixes with the first semiconductor layer 16 to form the second lattice dimension SiGe layer 42. More specifically, the first thermal oxide 39 formed atop the first device region 24 drives the Ge from the first SiGe layer 34 into the regrown semiconducting layer 26 and the second thermal oxide 40 drives the Ge from the second SiGe layer 37 into the first semiconductor layer 16.
  • The first lattice dimension SiGe layer 41 preferably has a lattice dimension that produces the appropriate strain for nFET device improvements in the subsequently formed first strained semiconductor layer, which is formed atop the first lattice dimension SiGe layer 41. The second lattice dimension SiGe layer 42 has a lattice dimension that produces the appropriate strain in the subsequently formed second strained semiconductor layer for optimized performance in pFET devices, in which the subsequently formed second strained semiconductor layer is epitaxially grown atop the second lattice dimension SiGe layer 42. In the preferred embodiment, the first lattice dimension SiGe layer 41 has a different lattice dimension (also referred to as lattice constant) than the second lattice dimension SiGe layer 42. Alternatively, the first lattice dimension SiGe layer 41 can have the same lattice dimension as the second lattice dimension SiGe layer 42. The crystallographic orientation of the regrown semiconductor material 26 and the epitaxially grown first SiGe layer 34 is maintained in the first lattice dimension SiGe layer 41. The crystallographic orientation of the first semiconductor layer 16 and the epitaxially grown second SiGe layer 37 is maintained in the second lattice dimension SiGe layer 42.
  • The first thermal oxide 39 and the second thermal oxide 40 are then removed using a highly selective etch process to expose the first lattice dimension SiGe layer 41 and the second lattice dimension SiGe layer 42. Preferably, the highly selective etch process is a timed directional etch process, such as reactive ion etch, having a high selectivity for etching the first thermal oxide 39 and the second thermal oxide 40, without substantially etching the first lattice dimension SiGe layer 41 and the second lattice dimension SiGe layer 42.
  • In one embodiment, an oxide layer may be deposited atop the structure and planarized by conventional planarization methods, such as chemical mechanical polishing (CMP), prior to the removal of the first thermal oxide 39 and the second thermal oxide 30. In this embodiment, the oxide layers are also removed by the etch process that exposes the first lattice dimension SiGe layer 41 and the second lattice dimension SiGe layer 42.
  • Referring to FIG. 10, in a next process step, a first strained semiconducting layer 43 is epitaxially grown atop the first lattice dimension SiGe layer 41 and a second strained semiconducting layer 44 is epitaxially grown atop the second lattice dimension SiGe layer 42. The first and second strained semiconducting layers 43, 44 comprise epitaxially formed Si.
  • The first and second strained semiconducting layer 43, 44 comprise an internal tensile stress. The internal tensile stress results from growing a material layer, such as the first and second semiconducting layer 43, 44, having a different lattice dimension than the surface on which the material layer is grown, such as the first or second lattice dimension SiGe layer 41, 42. An internal tensile stress is produced since the lattice dimension of the material layer is strained to match the lattice dimension of the surface on which the material layer is grown.
  • The internal stress produced within the first or second semiconducting layer 43, 44 is increased by increasing the Ge content in the first or second lattice dimensions SiGe layer 41, 42. Silicon has a lattice dimension of approximately 5.43 Å, and Ge has a lattice structure on the order of about 5.65 Å. Therefore, increasing the Ge concentration in the first lattice dimension SiGe layer 41 or the second lattice dimension SiGe layer 42 increases the lattice mismatch between the unstrained Si and the first lattice dimension or second lattice dimension SiGe layer 41, 42, which in turn increases the internal stress within the epitaxially grown Si 43, 44. Strain introduced to the device channel can result in device improvements for both pEET and nFET devices, in which pFET devices need higher strain levels for device optimization.
  • The strain produced in the first or second strained semiconducting layer 43, 44 is maintained so long as the first or second strained semiconducting layer 43, 44 is not grown to a thickness greater than its critical thickness. Once the first or second strained semiconducting layer 43, 44 surpasses its critical thickness, relaxation can occur due to dislocation generation. Relaxation diminishes the internal strain produced in the deposited layer. The “critical thickness” is the maximum thickness at which the layer will not relax. The thickness of the first strained semiconducting layer 43 may range from about 5 nm to about 20 nm. The thickness of the second strained semiconducting layer 44 may range from about 5 nm to about 20 nm.
  • In a preferred embodiment, the crystallographic orientation of the first lattice dimension SiGe layer 41 is (110). Although a (110) crystal plane is preferred, the first lattice dimension SiGe layer 41 may alternatively have a (111), or a (100) crystal plane. Since the first lattice dimension SiGe layer 41 is preferably in a (110) crystal plane surface, the crystallographic orientation of the second lattice dimension SiGe layer 42 is preferably in a (100) crystal plane. The second lattice dimension SiGe layer 42 may alternatively have a (111) crystal plane, a (100) crystal plane or other crystal planes.
  • Still referring to FIG. 10, the resulting structure comprises an SGOI substrate including a first device region 24 having a first strained semiconducting layer 43 with a first crystallographic orientation and a second device region 22 having a second strained semiconducting layer 44 with second crystallographic orientation, the first crystallographic orientation being different from the second crystallographic orientation. The internal strain within the first strained semiconductor layer 43 may be the same or different from the internal strain within the second strained semiconductor layer 44.
  • Preferably, the first strained semiconducting layer 43 has a crystallographic orientation and internal tensile stress for nFET device optimization. More specifically, the first crystallographic orientation is preferably in a (110) crystal plane and the internal tensile stress produces dislocations that are beneficial to pFET device performance. The second strained semiconducting layer 44 of the second device region 22 preferably has a crystallographic orientation and internal stress for nFET device optimization. More specifically, the second crystallographic orientation is preferably in a (100) crystal plane to increase electron mobility and the internal stress avoids dislocation formation that degrades nFET device performance.
  • Still referring to FIG. 10, the SGOI substrate 50 may then be further processed using conventional MOSFET processing steps to form at least one pFET device 52 in first device region 24 and at least one nFET device 53 in the second device region 22.
  • Another embodiment of the present invention is now described with reference to FIGS. 11-19. In the previous embodiment depicted in FIGS. 1-10, the first strained semiconductor layer 43 can be vertically offset from the second strained semiconductor layer 44 by a vertical dimension ranging from about 50 Å to about 200 Å. The embodiment of the present invention depicted in FIGS. 11-19 provides a substantially planar strained SGOI substrate comprising device regions separated by insulating material, in which each device region has a crystallographic orientation and internal stress that is optimized for a specific type of semiconducting device. Similar to the previous embodiment depicted in FIGS. 1-10, this embodiment of the inventive method can provide a first device region that is optimized for pFET devices and a second device region that is optimized for nFET devices, or alternatively a first device region optimized for nFETs and a second device region optimized for pFETs.
  • Reference is first made to the initial structure shown in FIG. 1. The initial structure comprises a bonded substrate 10 similar to the substrate depicted FIG. 1 of the previous embodiment, including a first semiconductor layer 16, a first insulating layer 14, a second semiconductor layer 12, and further comprising a first planarization stop layer 18. The planarization stop layer 18, which is located between the first insulating layer 14 and the first semiconductor layer 16, has a thickness ranging from about 5 nm to about 20 nm, with a thickness of about 10 nm being highly preferred. The planarization stop layer 18 is a nitride or oxynitride material, preferably Si3N4.
  • Similar to the first embodiment, the first semiconductor layer 16 has a first crystallographic orientation preferably having a (100) crystal plane and the second semiconductor layer 12 has a second crystallographic orientation that is preferably a (110) crystal plane. The first semiconductor layer 16 may alternatively have a (111) crystal plane, a (110) crystal plane or other crystal planes and the second semiconductor layer 12 may alternatively have a (111) crystal plane, a (100) crystal plane or other crystal planes. In this embodiment, the first insulating layer 14 is preferably an oxide, such as SiO2.
  • Still referring to FIG. 11, a dielectric stack 5 is then formed atop the first semiconductor layer 16. The dielectric stack 5 includes at least a second insulating layer 6 and a second planarization stop layer 7 and can be formed using deposition processes well known in the art. The second insulating layer 6 may comprise an oxide, nitride, oxynitride or other insulating material, preferably being SiO2, and may be formed using a deposition process, such as, for example, chemical vapor deposition. The second insulating layer 6 can have a thickness from about 10 nm to about 500 nm, with a thickness from about 20 nm to about 100 nm being more highly preferred.
  • The second planarization stop layer 7 is a nitride or oxynitride material, preferably Si3N4, and can be formed using a conventional deposition process, such as chemical vapor deposition. The second planarization stop layer 7 can have a thickness ranging from about 5 nm to about 20 nm, with a thickness of about 100 nm being highly preferred.
  • Following the formation of the dielectric stack 5, an etch mask is formed using conventional photoresist deposition and photolithography processes on a predetermined portion of the second insulating layer 6, so as to protect a portion of the dielectric stack 5 and the underlying bonding substrate 10, while leaving another portion of the dielectric stack 5 and the bonded substrate 10 unprotected. The unprotected portion of the bonded substrate 10 defines a first device area of the structure, whereas the protected portion of the bonded substrate 10 defines a second device region. After providing the etch mask, the structure is subjected to one or more etching steps, so as to expose a surface of the second semiconductor layer 12. The etch mask 20 is then removed utilizing a conventional resist stripping process. Following etch mask removal, a remaining portion of the second insulating layer 6 is removed using an etch process having a high selectivity to removing the second insulating layer 6, without substantially etching the second planarization stop layer 7.
  • Referring to FIG. 12, an insulating material 25 is then formed atop, and on, the exposed sidewalls of the remaining portions of the second planarization stop layer 7, the first semiconductor layer 16, the second planarization stop layer 18 and the first insulating layer 14, in the second device region 22. The insulating material 25 is similar to the insulating material 25 of the previous embodiment, as depicted in FIG. 2
  • In a next process step, a semiconductor material 26 is then epitaxially grown in the first device region 24, on the exposed surface of the second semiconductor layer 12. In accordance with the present invention, semiconductor material 26 has a crystallographic orientation that is the same as the crystallographic orientation of the second semiconductor layer 12. The epitaxially grown semiconductor material 26 is similar to the regrown semiconductor material 26 of the previous embodiment, which is described above and depicted in FIG. 3. In the present embodiment, the semiconductor material 26 may be referred to as a regrown semiconductor material 26, wherein the regrown semiconductor material 26 preferably comprises a crystallographic orientation having a (110) crystal plane.
  • Still referring to FIG. 12, a planarization process, such as chemical mechanical polishing (CMP) or grinding, is then conducted such that the upper surface of the regrown semiconductor material 26 is substantially planar with the upper surface of the second planarization stop layer 7. A first oxidation layer 27 is then formed atop the semiconductor material 26 so that the first device region 24 has a surface substantially coplanar to the second planarization stop layer 7. The first oxidation layer 27 is formed by a thermal oxidation of Si process (local oxidation of silicon (LOCOS)) and can have a thickness ranging from about 10 nm to about 15 nm, Similar to the damaged interface 28 formed in the previous embodiment and illustrated depicted in FIG. 4, a damaged interface is formed within the second semiconductor layer 12.
  • In a next process step, the upper surface of the structure depicted in FIG. 12, including the second planarization stop layer 7 and the first oxidation layer 27, are processed to provide a planar surface for wafer bonding. Prior to bonding, the first planarization stop layer 7 can be removed using a high selectivity etch process, without substantially etching the first semiconductor layer 16, insulating material 25, and first thermal oxide layer 27.
  • Referring to FIG. 13, in a next process step, a planar bonding layer 33 is formed using deposition and planarization atop the exposed surface of the first semiconducting layer 16, the first thermal oxide layer 27, and the insulating material 25. A wafer 30 is then bonded to the planar bonding layer 33 by conventional thermal bonding. The formation of the planar bonding layer 33 and bonding the planar bonding layer to the wafer 30 are described in greater detail in the previous embodiment, as described with reference to FIG. 4. The second semiconducting layer 12 is then separated about the damaged interface 28, in which a remaining portion 32 of the second semiconducting layer 12 remains, as depicted in FIG. 13.
  • The remaining portion 32 of the single orientation layer 12 is then subjected to a planarization process, such as chemical mechanical polishing (CMP) or grinding. The planarization process removes the remaining portion 32 of the single orientation layer 12, the first insulating layer 14, a portion of the insulating material 25, and a portion of the regrown semiconducting material 26. The planarization process ends on the first planarization stop layer 18.
  • Referring to FIG. 14, in a next process step, a second thermal oxide layer 34 is formed atop the exposed surface 38′ of the regrown semiconducting material 26 so that the regrown semiconducting material 26 in the first device region 24 has a surface substantially coplanar to the first semiconductor layer 6 in the second device region 22. The second thermal oxide layer 34 is formed during by a thermal oxidation of Si process. The second thermal oxidation consumes Si from the exposed surface 38′ of the regrown semiconducting material 26, therefore leveling the upper surface 38′ of the regrown semiconducting material 26 in the first device region 24 to the upper surface 37 of the first semiconductor layer 16 in the second device region 22. The second thermal oxide layer 34 can have a thickness ranging from about 10 nm to about 15 nm, so long as the top surface 38′ of the regrown semiconducting material 26 is substantially coplanar to the top surface 37 of the first semiconductor layer 16. Preferably, the second thermal oxide layer 34 is SiO2.
  • Referring to FIG. 15, in a next process step, the second thermal oxide layer 34 and the first planarization stop layer 18 are removed using a selective etching process, wherein the resulting structure comprises a substantially planar SOI substrate 51 comprising a first device region 24 having a first crystallographic orientation and a second device region 22 having a second crystallographic orientation, the first crystallographic orientation being different from the second crystallographic orientation. The first device region 24 and the second device region 22 are separated by insulating material 25. Preferably, the first orientation is a (110) crystal plane and the second orientation is a (100) crystal plane.
  • Referring to FIG. 16, a protective layer 35 is then formed atop the first device region 24 using deposition, photolithography and etching. The protective layer 35 comprises an insulating material, preferably a nitride material, such as Si3N4. The protective layer 35 may have a thickness ranging from about 10 nm to about 20 nm.
  • Still referring to FIG. 16, in a next process step, a second SiGe layer 37 is grown atop the first semiconductor layer 16 in the second device region 22. The second SiGe layer 37 is grown using a selective epitaxial growth process similar to the second SiGe layer 34 formed in the previous embodiment, as described above with reference to FIG. 7. The second SiGe layer 37 is preferably grown having a second Ge concentration selected to produce the appropriate stress for a nFET device within the subsequently formed second strained semiconducting layer. Alternatively, the Ge concentration is selected to produce the appropriate stress for a pFET device within the subsequently formed second strained semiconducting layer.
  • Referring to FIG. 17, the protective layer 35 is then removed by a highly selective etch that removes the protective liner 35 without substantially etching the second SiGe layer 37, the first SiGe layer 26, or the insulating material 25. A protective liner 58 is then formed atop the second device region 22 including the second SiGe layer 37 using deposition, photolithography, and etch processes, which are well known within the skill of the art. The protective liner 58 comprises an insulating material, preferably a nitride material, such as Si3N4, and may have a thickness ranging from about 10 nm to about 20 nm.
  • In a next process step, the first SiGe layer 34 is grown atop the exposed surface of the regrown semiconducting material 26 in the first device region 24 using a selective epitaxial growth process. The first SiGe layer 34 is grown using a selective epitaxial growth process similar to the first SiGe layer 34 formed in the previous embodiment, as described above with reference to FIG. 7. The first SiGe layer 34 is preferably grown having a first Ge concentration selected to produce the appropriate stress for a pFET device within the subsequently formed first strained semiconducting layer 43. Alternatively, the Ge concentration is selected to produce the appropriate stress for an nFET device within the subsequently formed first strained semiconducting layer 43.
  • Referring now to FIG. 18, the structure is then annealed to intermix the first SiGe layer 34 with the regrown semiconducting material 26 to form a first lattice dimension SiGe layer 41 and to intermix the second SiGe layer 37 with the first semiconductor layer 16 to form a second lattice dimension SiGe layer 42. This annealing process is similar to the annealing process of the previous embodiment, described above with reference to FIGS. 8 and 9. To reiterate, an oxidizing atmosphere produces a first thermal oxide 39 atop the first device region 24 and a second thermal oxide 40 atop the second device region 22, where the formation of the thermal oxide 39, 40 drives Ge from the first SiGe layer 34 and the second SiGe layer 37 into the regrown semiconducting layer 26 and the first semiconductor layer 16. The first thermal oxide 39 and the second thermal oxide 40 are then removed using highly selective etch processes to expose the first lattice dimension SiGe layer 41 and the second lattice dimension SiGe layer 42, as depicted in FIG. 18. Due to this high temperature annealing (i.e., 1000° C.-1300° C.), the first lattice dimension SiGe layer 41 and the second lattice dimension SiGe layer 42 are relaxed.
  • The first lattice dimension SiGe layer 41 preferably has a lattice dimension that produces the appropriate strain for pFET device improvements in the subsequently formed first strained semiconductor layer. The second lattice dimension SiGe layer 42 has a lattice dimension that produces the appropriate strain in the subsequently formed second strained semiconductor layer for optimized performance in nFET devices. The first lattice dimension material may be SiGe having a Ge concentration ranging from 0.05% to 0.4% and the second lattice dimension material may be SiGe having a Ge ranging from about 0.1% concentration to about 0.5%.
  • The crystallographic orientation of the regrown semiconductor material 26 and the epitaxially grown first SiGe layer 34 is maintained in the first lattice dimension SiGe layer 41. The crystallographic orientation of the first semiconductor layer 16 and the epitaxially grown second SiGe layer 37 is maintained in the second lattice dimension SiGe layer 42.
  • Referring to FIG. 19, in a next process step, a first strained semiconducting layer 43 is epitaxially grown atop the first lattice dimension SiGe layer 41 and a second strained semiconducting layer 44 is epitaxially grown atop the second lattice dimension SiGe layer 42. The first and second strained semiconducting layers 43, 44 comprise epitaxially formed Si.
  • Similar to the previous embodiment, the first and second strained semiconducting layers 43, 44 comprise an internal tensile stress that results from the lattice mismatch between the smaller lattice dimension of epitaxially grown Si of the first and second semiconducting layers 43, 44 being formed atop the larger lattice dimension of the first and second lattice dimension SiGe layer 41, 42.
  • Preferably, the lattice mismatch between unstrained semiconducting layer 43 and the first lattice dimension SiGe layer 41 increases pFET device improvements and the lattice mismatch between the unstrained semiconducting layer 44 and the second lattice dimension SiGe layer 41 does not degrade nFET performance. The strain produced in the first or second strained semiconducting layer 43, 44 is maintained so long as the first or second strained semiconducting layer 43, 44 is not grown to a thickness greater than its critical thickness.
  • In a preferred embodiment, the crystallographic orientation of the first lattice dimension SiGe layer 41 is (110). Although a (110) crystal plane is preferred, the first lattice dimension SiGe layer 41 may alternatively have a (111) or a (100) crystal plane. Since the first lattice dimension SiGe layer 41 is preferably in a (110) crystal plane, the crystallographic orientation of the second lattice dimension SiGe layer 42 is preferably in a (100) crystal plane. Although a (100) crystal plane is preferred, the second lattice dimension SiGe layer 42 may alternatively have a (111) or a (110) crystal plane.
  • Still referring to FIG. 19, the resulting structure comprises a substantially planar SOI substrate including a first device region 24 having a first strained semiconducting layer 43 with a first crystallographic orientation and a second device region 22 having a second strained semiconducting layer 44 with a second crystallographic orientation, the first crystallographic orientation being different from the second crystallographic orientation. Preferably, the first strained semiconducting layer 43 has a crystallographic orientation and internal tensile stress for pFET device optimization. The second strained semiconducting layer 44 of the second device region 22, preferably has a crystallographic orientation and internal stress for nFET device optimization. The substantially planar substrate 51 may then be further processed using conventional MOSFET processing steps to form at least one pFET device 52 in first device region 24 and at least one nFET device 53 in the second device region 22.
  • While the present invention has been particularly shown and described with respect to preferred embodiments thereof, it will be understood by those skilled in the art that the foregoing and other changes in forms and details may be made with departing from the spirit and scope of the present invention. It is therefore intended that the present invention not be limited to the exact forms and details described and illustrated, but fall within the scope of the appended claims.

Claims (8)

1. A substrate comprising:
an insulating layer;
an SOI layer atop said insulating layer, said SOI layer comprising a first lattice dimension material and a second lattice dimension material separated by an insulating material;
a first strained semiconducting layer atop said first lattice dimension material, said first strained semiconducting material having a first crystallographic orientation; and
a second strained semiconducting layer atop said second lattice dimension material, said second strained semiconducting material having a second crystallographic orientation different from said first crystallographic orientation.
2. The semiconductor substrate of claim 1, wherein said first crystallographic orientation is in a (110) crystal plane and said second crystallographic orientation is in a (100) crystal plane.
3. The semiconducting substrate of claim 1 wherein said first lattice dimension material has a different lattice constant than said second lattice dimension material.
4. The semiconductor substrate of claim 3 wherein said first lattice dimension material is SiGe having a Ge fraction ranging from about 0.05% to about 0.4% and said second lattice dimension material is SiGe having a Ge fraction ranging from 0.1% to about 0.5%.
5. The semiconducting substrate of claim 1 wherein said first lattice dimension material has the same lattice dimension s said second lattice dimension material.
6. The semiconductor substrate of claim 2 wherein at least one pFET device is positioned on said first strained semiconducting layer and at least one nFET device is positioned on said second strained semiconducting layer.
7. An integrated circuit comprising:
an SOI substrate comprising a pFET semiconducting material having a pFET optimized internal stress and a pFET crystallographic orientation, and an nFET semiconducting material having an nFET optimized internal stress and an nFET crystallographic orientation, wherein said pFET semiconducting material and said nFET semiconducting material are separated by an insulating material;
at least one nFET device positioned on said nFET semiconducting material; and
at least one pFET device positioned on said pFET semiconducting material.
8. The integrated circuit of claim 7, wherein said first pFET crystallographic orientation is in a (110) crystal plane and said nFET crystallographic orientation is in a (100) crystal plane.
US11/693,377 2005-01-28 2007-03-29 STRUCTURE AND METHOD FOR MANUFACTURING PLANAR STRAINED Si/SiGe SUBSTRATE WITH MULTIPLE ORIENTATIONS AND DIFFERENT STRESS LEVELS Abandoned US20070170507A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/693,377 US20070170507A1 (en) 2005-01-28 2007-03-29 STRUCTURE AND METHOD FOR MANUFACTURING PLANAR STRAINED Si/SiGe SUBSTRATE WITH MULTIPLE ORIENTATIONS AND DIFFERENT STRESS LEVELS

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/905,978 US7220626B2 (en) 2005-01-28 2005-01-28 Structure and method for manufacturing planar strained Si/SiGe substrate with multiple orientations and different stress levels
US11/693,377 US20070170507A1 (en) 2005-01-28 2007-03-29 STRUCTURE AND METHOD FOR MANUFACTURING PLANAR STRAINED Si/SiGe SUBSTRATE WITH MULTIPLE ORIENTATIONS AND DIFFERENT STRESS LEVELS

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/905,978 Division US7220626B2 (en) 2005-01-28 2005-01-28 Structure and method for manufacturing planar strained Si/SiGe substrate with multiple orientations and different stress levels

Publications (1)

Publication Number Publication Date
US20070170507A1 true US20070170507A1 (en) 2007-07-26

Family

ID=36757126

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/905,978 Expired - Fee Related US7220626B2 (en) 2005-01-28 2005-01-28 Structure and method for manufacturing planar strained Si/SiGe substrate with multiple orientations and different stress levels
US11/693,377 Abandoned US20070170507A1 (en) 2005-01-28 2007-03-29 STRUCTURE AND METHOD FOR MANUFACTURING PLANAR STRAINED Si/SiGe SUBSTRATE WITH MULTIPLE ORIENTATIONS AND DIFFERENT STRESS LEVELS

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/905,978 Expired - Fee Related US7220626B2 (en) 2005-01-28 2005-01-28 Structure and method for manufacturing planar strained Si/SiGe substrate with multiple orientations and different stress levels

Country Status (2)

Country Link
US (2) US7220626B2 (en)
CN (1) CN100424823C (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090108302A1 (en) * 2007-10-31 2009-04-30 International Business Machines Corporation Multiple crystallographic orientation semiconductor structures
US8680576B2 (en) * 2012-05-16 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device and method of forming the same
WO2015094239A1 (en) * 2013-12-18 2015-06-25 Intel Corporation Heterogeneous layer device
US20160211328A1 (en) * 2015-01-15 2016-07-21 International Business Machines Corporation Complementary metal-oxide silicon having silicon and silicon germanium channels

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7271043B2 (en) 2005-01-18 2007-09-18 International Business Machines Corporation Method for manufacturing strained silicon directly-on-insulator substrate with hybrid crystalline orientation and different stress levels
JP2009182264A (en) * 2008-01-31 2009-08-13 Toshiba Corp Semiconductor device and method of fabricating the same
CN101997026B (en) * 2009-08-19 2013-06-19 聚日(苏州)科技有限公司 Substrate structure processed by a substrate and manufacturing method thereof
KR101576203B1 (en) 2010-01-18 2015-12-11 삼성전자주식회사 Semiconductor devices including MOS transistors having an optimized channel region and methods of fabricating the same
US8987069B1 (en) * 2013-12-04 2015-03-24 International Business Machines Corporation Semiconductor substrate with multiple SiGe regions having different germanium concentrations by a single epitaxy process
FR3016235B1 (en) * 2014-01-08 2016-01-22 Commissariat Energie Atomique METHOD FOR MANUFACTURING A MICROELECTRONIC DEVICE
US9490161B2 (en) * 2014-04-29 2016-11-08 International Business Machines Corporation Channel SiGe devices with multiple threshold voltages on hybrid oriented substrates, and methods of manufacturing same
TWI723993B (en) * 2015-05-11 2021-04-11 美商應用材料股份有限公司 Horizontal gate all around and finfet device isolation
FR3046492B1 (en) * 2015-12-31 2018-03-23 Stmicroelectronics Sa METHOD FOR PRODUCING TRANSISTORS MOS CONSTRAINTS
US9786546B1 (en) * 2016-04-06 2017-10-10 International Business Machines Corporation Bulk to silicon on insulator device

Citations (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602841A (en) * 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
US4655415A (en) * 1985-01-24 1987-04-07 The Garrett Corporation Helicopter flotation
US4855245A (en) * 1985-09-13 1989-08-08 Siemens Aktiengesellschaft Method of manufacturing integrated circuit containing bipolar and complementary MOS transistors on a common substrate
US4863076A (en) * 1987-07-13 1989-09-05 The Ohio State University Particulate material feeder utilizing vibration and aeration
US4952524A (en) * 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US4958213A (en) * 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5006913A (en) * 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5060030A (en) * 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5081513A (en) * 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5108843A (en) * 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US5134065A (en) * 1986-05-16 1992-07-28 Monsanto Company Tissue plasminogen activator inhibitor and method of purification
US5310446A (en) * 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5354695A (en) * 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5371399A (en) * 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5391510A (en) * 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US5417948A (en) * 1992-11-09 1995-05-23 Japan Pionics Co., Ltd. Process for cleaning harmful gas
US5459346A (en) * 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5557122A (en) * 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
US5561302A (en) * 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5670798A (en) * 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5679965A (en) * 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5861651A (en) * 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5880040A (en) * 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US5940736A (en) * 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US5960297A (en) * 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
US5989978A (en) * 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
US6006126A (en) * 1991-01-28 1999-12-21 Cosman; Eric R. System and method for stereotactic registration of image scan data
US6025280A (en) * 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US6048484A (en) * 1993-08-18 2000-04-11 W. L. Gore & Associates, Inc. Process for forming a seamless tube of expanded PTFE from a sheet of expanded PTFE
US6066545A (en) * 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US6090684A (en) * 1998-07-31 2000-07-18 Hitachi, Ltd. Method for manufacturing semiconductor device
US6107143A (en) * 1998-03-02 2000-08-22 Samsung Electronics Co., Ltd. Method for forming a trench isolation structure in an integrated circuit
US6133071A (en) * 1997-10-15 2000-10-17 Nec Corporation Semiconductor device with plate heat sink free from cracks due to thermal stress and process for assembling it with package
US6165383A (en) * 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
US6221735B1 (en) * 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6228694B1 (en) * 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
US6255169B1 (en) * 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
US6261964B1 (en) * 1997-03-14 2001-07-17 Micron Technology, Inc. Material removal method for forming a structure
US6265317B1 (en) * 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
US20010009784A1 (en) * 1998-01-09 2001-07-26 Yanjun Ma Structure and method of making a sub-micron MOS transistor
US6274444B1 (en) * 1999-07-30 2001-08-14 United Microelectronics Corp. Method for forming mosfet
US6281532B1 (en) * 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6284626B1 (en) * 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6319794B1 (en) * 1998-10-14 2001-11-20 International Business Machines Corporation Structure and method for producing low leakage isolation devices
US6362082B1 (en) * 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
US6361885B1 (en) * 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US6368931B1 (en) * 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
US6403486B1 (en) * 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
US6403975B1 (en) * 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US6406973B1 (en) * 1999-06-29 2002-06-18 Hyundai Electronics Industries Co., Ltd. Transistor in a semiconductor device and method of manufacturing the same
US20020086497A1 (en) * 2000-12-30 2002-07-04 Kwok Siang Ping Beaker shape trench with nitride pull-back for STI
US20020086472A1 (en) * 2000-12-29 2002-07-04 Brian Roberds Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020090791A1 (en) * 1999-06-28 2002-07-11 Brian S. Doyle Method for reduced capacitance interconnect system using gaseous implants into the ild
US6476462B2 (en) * 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6486513B1 (en) * 1999-07-23 2002-11-26 Mitsubishi Denki Kabushiki Kaisha Semiconductor device
US6493497B1 (en) * 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
US6498358B1 (en) * 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
US6501121B1 (en) * 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
US6506652B2 (en) * 1998-11-13 2003-01-14 Intel Corporation Method of recessing spacers to improved salicide resistance on polysilicon gates
US20030032261A1 (en) * 2001-08-08 2003-02-13 Ling-Yen Yeh Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
US20030040158A1 (en) * 2001-08-21 2003-02-27 Nec Corporation Semiconductor device and method of fabricating the same
US6531740B2 (en) * 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US6531369B1 (en) * 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US20030057184A1 (en) * 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US20030067035A1 (en) * 2001-09-28 2003-04-10 Helmut Tews Gate processing method with reduced gate oxide corner and edge thinning
US6703271B2 (en) * 2001-11-30 2004-03-09 Taiwan Semiconductor Manufacturing Company Complementary metal oxide semiconductor transistor technology using selective epitaxy of a strained silicon germanium layer
US6969875B2 (en) * 2000-05-26 2005-11-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US20060157706A1 (en) * 2005-01-18 2006-07-20 International Business Machines Corporation Structure and method for manufacturing strained silicon directly-on-insulator substrate with hybrid crystalline orientation and different stress levels
US20060214225A1 (en) * 2005-03-24 2006-09-28 International Business Machines Corporation High performance field effect transistors on SOI substrate with stress-inducing material as buried insulator and methods
US7205210B2 (en) * 2004-02-17 2007-04-17 Freescale Semiconductor, Inc. Semiconductor structure having strained semiconductor and method therefor
US7329923B2 (en) * 2003-06-17 2008-02-12 International Business Machines Corporation High-performance CMOS devices on hybrid crystal oriented substrates

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4853076A (en) 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
JPH01162362A (en) 1987-12-18 1989-06-26 Fujitsu Ltd Manufacture of semiconductor device
US5134085A (en) 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
WO1994027317A1 (en) 1993-05-06 1994-11-24 Siemens Aktiengesellschaft Process for producing components on an soi substrate
US6177722B1 (en) 1998-04-21 2001-01-23 Atmel Corporation Leadless array package
JP4521542B2 (en) 1999-03-30 2010-08-11 ルネサスエレクトロニクス株式会社 Semiconductor device and semiconductor substrate
US6284623B1 (en) 1999-10-25 2001-09-04 Peng-Fei Zhang Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
US7312485B2 (en) 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
US6902962B2 (en) * 2003-04-04 2005-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon-on-insulator chip with multiple crystal orientations
US20040195622A1 (en) * 2003-04-07 2004-10-07 United Microelectronics Corp. Semiconductor structure with silicon on insulator
US6830962B1 (en) * 2003-08-05 2004-12-14 International Business Machines Corporation Self-aligned SOI with different crystal orientation using wafer bonding and SIMOX processes

Patent Citations (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602841A (en) * 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
US4655415A (en) * 1985-01-24 1987-04-07 The Garrett Corporation Helicopter flotation
US4855245A (en) * 1985-09-13 1989-08-08 Siemens Aktiengesellschaft Method of manufacturing integrated circuit containing bipolar and complementary MOS transistors on a common substrate
US5134065A (en) * 1986-05-16 1992-07-28 Monsanto Company Tissue plasminogen activator inhibitor and method of purification
US4863076A (en) * 1987-07-13 1989-09-05 The Ohio State University Particulate material feeder utilizing vibration and aeration
US4958213A (en) * 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5565697A (en) * 1988-06-28 1996-10-15 Ricoh Company, Ltd. Semiconductor structure having island forming grooves
US5459346A (en) * 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5006913A (en) * 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5108843A (en) * 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) * 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US5310446A (en) * 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5060030A (en) * 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US6006126A (en) * 1991-01-28 1999-12-21 Cosman; Eric R. System and method for stereotactic registration of image scan data
US5081513A (en) * 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5371399A (en) * 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5391510A (en) * 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US5571741A (en) * 1992-04-08 1996-11-05 Leedy; Glenn J. Membrane dielectric isolation IC fabrication
US5946559A (en) * 1992-04-08 1999-08-31 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5354695A (en) * 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5592007A (en) * 1992-04-08 1997-01-07 Leedy; Glenn J. Membrane dielectric isolation transistor fabrication
US5592018A (en) * 1992-04-08 1997-01-07 Leedy; Glenn J. Membrane dielectric isolation IC fabrication
US5840593A (en) * 1992-04-08 1998-11-24 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5417948A (en) * 1992-11-09 1995-05-23 Japan Pionics Co., Ltd. Process for cleaning harmful gas
US6048484A (en) * 1993-08-18 2000-04-11 W. L. Gore & Associates, Inc. Process for forming a seamless tube of expanded PTFE from a sheet of expanded PTFE
US5561302A (en) * 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5683934A (en) * 1994-09-26 1997-11-04 Motorola, Inc. Enhanced mobility MOSFET device and method
US5679965A (en) * 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5670798A (en) * 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5557122A (en) * 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
US6403975B1 (en) * 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5880040A (en) * 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US5861651A (en) * 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5940736A (en) * 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6246095B1 (en) * 1997-03-11 2001-06-12 Agere Systems Guardian Corp. System and method for forming a uniform thin gate oxide layer
US6261964B1 (en) * 1997-03-14 2001-07-17 Micron Technology, Inc. Material removal method for forming a structure
US6025280A (en) * 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US5960297A (en) * 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
US6133071A (en) * 1997-10-15 2000-10-17 Nec Corporation Semiconductor device with plate heat sink free from cracks due to thermal stress and process for assembling it with package
US6066545A (en) * 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US20010009784A1 (en) * 1998-01-09 2001-07-26 Yanjun Ma Structure and method of making a sub-micron MOS transistor
US6107143A (en) * 1998-03-02 2000-08-22 Samsung Electronics Co., Ltd. Method for forming a trench isolation structure in an integrated circuit
US6165383A (en) * 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
US6361885B1 (en) * 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US5989978A (en) * 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
US6090684A (en) * 1998-07-31 2000-07-18 Hitachi, Ltd. Method for manufacturing semiconductor device
US6319794B1 (en) * 1998-10-14 2001-11-20 International Business Machines Corporation Structure and method for producing low leakage isolation devices
US6521964B1 (en) * 1998-11-13 2003-02-18 Intel Corporation Device having spacers for improved salicide resistance on polysilicon gates
US6509618B2 (en) * 1998-11-13 2003-01-21 Intel Corporation Device having thin first spacers and partially recessed thick second spacers for improved salicide resistance on polysilicon gates
US6506652B2 (en) * 1998-11-13 2003-01-14 Intel Corporation Method of recessing spacers to improved salicide resistance on polysilicon gates
US6255169B1 (en) * 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
US6284626B1 (en) * 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6362082B1 (en) * 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
US6228694B1 (en) * 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
US6281532B1 (en) * 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US20020074598A1 (en) * 1999-06-28 2002-06-20 Doyle Brian S. Methodology for control of short channel effects in MOS transistors
US20020090791A1 (en) * 1999-06-28 2002-07-11 Brian S. Doyle Method for reduced capacitance interconnect system using gaseous implants into the ild
US6406973B1 (en) * 1999-06-29 2002-06-18 Hyundai Electronics Industries Co., Ltd. Transistor in a semiconductor device and method of manufacturing the same
US6486513B1 (en) * 1999-07-23 2002-11-26 Mitsubishi Denki Kabushiki Kaisha Semiconductor device
US6274444B1 (en) * 1999-07-30 2001-08-14 United Microelectronics Corp. Method for forming mosfet
US6476462B2 (en) * 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6221735B1 (en) * 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6531369B1 (en) * 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6368931B1 (en) * 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
US6969875B2 (en) * 2000-05-26 2005-11-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US6493497B1 (en) * 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
US6501121B1 (en) * 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
US20020086472A1 (en) * 2000-12-29 2002-07-04 Brian Roberds Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020086497A1 (en) * 2000-12-30 2002-07-04 Kwok Siang Ping Beaker shape trench with nitride pull-back for STI
US6265317B1 (en) * 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
US6403486B1 (en) * 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
US6531740B2 (en) * 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US6498358B1 (en) * 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
US20030032261A1 (en) * 2001-08-08 2003-02-13 Ling-Yen Yeh Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
US20030040158A1 (en) * 2001-08-21 2003-02-27 Nec Corporation Semiconductor device and method of fabricating the same
US20030057184A1 (en) * 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US20030067035A1 (en) * 2001-09-28 2003-04-10 Helmut Tews Gate processing method with reduced gate oxide corner and edge thinning
US6703271B2 (en) * 2001-11-30 2004-03-09 Taiwan Semiconductor Manufacturing Company Complementary metal oxide semiconductor transistor technology using selective epitaxy of a strained silicon germanium layer
US7329923B2 (en) * 2003-06-17 2008-02-12 International Business Machines Corporation High-performance CMOS devices on hybrid crystal oriented substrates
US7205210B2 (en) * 2004-02-17 2007-04-17 Freescale Semiconductor, Inc. Semiconductor structure having strained semiconductor and method therefor
US20060157706A1 (en) * 2005-01-18 2006-07-20 International Business Machines Corporation Structure and method for manufacturing strained silicon directly-on-insulator substrate with hybrid crystalline orientation and different stress levels
US20060214225A1 (en) * 2005-03-24 2006-09-28 International Business Machines Corporation High performance field effect transistors on SOI substrate with stress-inducing material as buried insulator and methods

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090108302A1 (en) * 2007-10-31 2009-04-30 International Business Machines Corporation Multiple crystallographic orientation semiconductor structures
US7696573B2 (en) * 2007-10-31 2010-04-13 International Business Machines Corporation Multiple crystallographic orientation semiconductor structures
US20100197118A1 (en) * 2007-10-31 2010-08-05 International Business Machines Corporation Multiple crystallographic orientation semiconductor structures
US7993990B2 (en) 2007-10-31 2011-08-09 International Business Machines Corporation Multiple crystallographic orientation semiconductor structures
US8680576B2 (en) * 2012-05-16 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device and method of forming the same
US8927362B2 (en) 2012-05-16 2015-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device and method of forming the same
WO2015094239A1 (en) * 2013-12-18 2015-06-25 Intel Corporation Heterogeneous layer device
US9590051B2 (en) 2013-12-18 2017-03-07 Intel Corporation Heterogeneous layer device
US20160211328A1 (en) * 2015-01-15 2016-07-21 International Business Machines Corporation Complementary metal-oxide silicon having silicon and silicon germanium channels
US9466673B2 (en) * 2015-01-15 2016-10-11 International Business Machines Corporation Complementary metal-oxide silicon having silicon and silicon germanium channels
US9543388B2 (en) * 2015-01-15 2017-01-10 International Business Machines Corporation Complementary metal-oxide silicon having silicon and silicon germanium channels

Also Published As

Publication number Publication date
US20060172495A1 (en) 2006-08-03
US7220626B2 (en) 2007-05-22
CN1828831A (en) 2006-09-06
CN100424823C (en) 2008-10-08

Similar Documents

Publication Publication Date Title
US7220626B2 (en) Structure and method for manufacturing planar strained Si/SiGe substrate with multiple orientations and different stress levels
US7423303B2 (en) Strained silicon directly-on-insulator substrate with hybrid crystalline orientation and different stress levels
EP1685584B1 (en) SILICON DEVICE ON Si:C-OI and SGOI AND METHOD OF MANUFACTURE
US7560328B2 (en) Strained Si on multiple materials for bulk or SOI substrates
EP1790012B1 (en) Improved strained-silicon pfet device and method
US7691482B2 (en) Structure for planar SOI substrate with multiple orientations
US7198995B2 (en) Strained finFETs and method of manufacture
US7312134B2 (en) Dual stressed SOI substrates
US7425483B2 (en) Structure and method of fabricating a hybrid substrate for high-performance hybrid-orientation silicon-on-insulator CMOS devices
US20090035897A1 (en) Hybrid orientation cmos with partial insulation process
US7834425B2 (en) Hybrid orientation SOI substrates, and method for forming the same

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910