US20070145498A1 - Device with scavenging spacer layer - Google Patents

Device with scavenging spacer layer Download PDF

Info

Publication number
US20070145498A1
US20070145498A1 US11/320,305 US32030505A US2007145498A1 US 20070145498 A1 US20070145498 A1 US 20070145498A1 US 32030505 A US32030505 A US 32030505A US 2007145498 A1 US2007145498 A1 US 2007145498A1
Authority
US
United States
Prior art keywords
layer
spacer layer
metal
gate dielectric
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US11/320,305
Other versions
US7226831B1 (en
Inventor
Matthew Metz
Mark Doczy
Justin Brask
Robert Chau
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Priority to US11/320,305 priority Critical patent/US7226831B1/en
Assigned to INTEL CORPORATION reassignment INTEL CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: DOCZY, MARK L., METZ, MATTHEW V., BRASK, JUSTIN K., CHAU, ROBERT S.
Application granted granted Critical
Publication of US7226831B1 publication Critical patent/US7226831B1/en
Publication of US20070145498A1 publication Critical patent/US20070145498A1/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled

Definitions

  • MOS field-effect transistors with very thin silicon dioxide based gate dielectrics may experience unacceptable gate leakage currents.
  • Forming the gate dielectric from certain high-k dielectric materials, instead of silicon dioxide, can reduce gate leakage.
  • a silicon dioxide transition layer may form between the high-k dielectric and the substrate. This transition layer may grow larger during high temperature processes when available oxygen may react with the substrate to form more silicon dioxide. The presence of a thick transition layer may unfavorably contribute to the overall electrical thickness of the gate dielectric stack.
  • FIG. 1 is a cross sectional side view that illustrates the semiconductor device of one embodiment of the present invention.
  • FIG. 2 is a cross sectional side view that illustrates the electrode in more detail.
  • FIG. 3 is a cross sectional side view that illustrates the thicknesses and sizes of various parts of the device of FIG. 1 .
  • FIGS. 4 through 11 are cross sectional side views that illustrate how the device of FIG. 1 may be made in some embodiments.
  • FIG. 12 is a flow chart that summarizes a method according to an embodiment of the present invention.
  • FIG. 13 illustrates a system in accordance with one embodiment of the present invention.
  • an apparatus and method relating to the formation of a device with a scavenging spacer layer are described.
  • various embodiments will be described. However, one skilled in the relevant art will recognize that the various embodiments may be practiced without one or more of the specific details, or with other replacement and/or additional methods, materials, or components. In other instances, well-known structures, materials, or operations are not shown or described in detail to avoid obscuring aspects of various embodiments of the invention. Similarly, for purposes of explanation, specific numbers, materials, and configurations are set forth in order to provide a thorough understanding of the invention. Nevertheless, the invention may be practiced without specific details. Furthermore, it is understood that the various embodiments shown in the figures are illustrative representations and are not necessarily drawn to scale.
  • FIG. 1 is a cross sectional side view that illustrates the semiconductor device 100 of one embodiment of the present invention.
  • the device 100 may include a substrate 102 .
  • Substrate 102 may comprise any material that may serve as a foundation upon which a semiconductor device may be built.
  • the substrate 102 may be a silicon containing substrate 102 .
  • the substrate 102 may be a bulk substrate 102 , such as a wafer of single crystal silicon, a semiconductor-on-insulator (SOI) substrate 102 , such as a layer of silicon on a layer of insulating material on another layer of silicon, or another type of substrate 102 .
  • SOI semiconductor-on-insulator
  • the device 100 may be a transistor in some embodiments.
  • the device 100 may be a planar transistor on a bulk substrate 102 , a planar transistor on an SOI substrate 102 , a FIN-FET transistor on a bulk substrate 102 , a FIN-FET transistor on an SOI substrate 102 , a tri-gate transistor on a bulk substrate 102 , a tri-gate transistor on an SOI substrate 102 , or another type of transistor or another device.
  • a high-k gate dielectric layer 106 may be formed on the substrate 102 .
  • the high-k gate dielectric layer 106 may comprise, for example, hafnium oxide, hafnium silicon oxide, lanthanum oxide, lanthanum aluminum oxide, zirconium oxide, zirconium silicon oxide, titanium oxide, tantalum oxide, barium strontium titanium oxide, barium titanium oxide, strontium titanium oxide, yttrium oxide, aluminum oxide, lead scandium tantalum oxide, and lead zinc niobate.
  • the high-k gate dielectric layer 106 may have a k-value higher than about 7.5 in some embodiments. In other embodiments, the high-k gate dielectric layer 106 may have a k-value higher than about 10. In other embodiments, the high-k gate dielectric layer 106 may comprise a material such as Al 2 O 3 with a k-value of about 12, or may comprise a material with a higher k-value than that. In other embodiments, the high-k gate dielectric layer 106 may have a k-value between about 15 and about 25, e.g. HfO 2 . In yet other embodiments, the high-k gate dielectric layer 106 may have a k-value even higher, such as 35, 80 or even higher.
  • This transition layer 104 may be a thin layer 104 of oxide, such as a thin layer 104 of silicon dioxide in embodiments where the substrate 102 comprises silicon, in some embodiments. If, during high temperature processes (such as processes performed at about 500 degrees Celsius or higher) oxygen is available to react with the substrate at the region of the transition layer 104 , it may form an unwanted thicker oxide layer 104 beneath the high-k dielectric layer 106 and reduce the performance of the transistor.
  • high temperature processes such as processes performed at about 500 degrees Celsius or higher
  • An electrode 108 may be on the high-k gate dielectric layer 106 .
  • the electrode 108 may include multiple layers.
  • the electrode 108 may include a different number of layers, and the layers may be different.
  • the polysilicon layer 118 may comprise doped polysilicon in an embodiment.
  • the polysilicon layer 118 may not be a polysilicon layer 118 in some embodiments, but instead comprise other non-polysilicon materials, or it may be absent.
  • the cap layer 116 may comprise silicon in some embodiments, although it may comprise other materials in other embodiments.
  • the work function layer 115 may comprise a metal work function layer 115 .
  • the metal work function layer 115 may be an n-type metal gate electrode.
  • Materials that may be used to form n-type metal gate electrodes include: hafnium, zirconium, titanium, tantalum, aluminum, their alloys (e.g., metal carbides that include these elements, i.e., hafnium carbide, zirconium carbide, titanium carbide, tantalum carbide, and aluminum carbide), and aluminides (e.g., an aluminide that comprises hafnium, zirconium, titanium, tantalum, or tungsten).
  • the metal work function layer 115 may be a p-type metal gate electrode.
  • Materials for forming p-type metal gate electrodes include: ruthenium, palladium, platinum, cobalt, nickel, and conductive metal oxides, e.g., ruthenium oxide.
  • the metal work function layer 115 may be a mid-gap metal gate electrode.
  • the work function layer 115 may comprise stoichiometric titanium nitride, tantalum nitride, or another mid-gap material.
  • the device 100 may be a transistor, such as an NMOS, PMOS, or mid-gap transistor.
  • metal work function layers 115 for NMOS transistor devices 100 may have a workfunction that is between about 3.9 eV and about 4.2 eV.
  • metal work function layers 115 for PMOS devices 100 may have a workfunction that is between about 4.9 eV and about 5.2 eV.
  • metal work function layers 115 for semiconductor on insulator (SOI) mid-gap transistor devices 100 may have a workfunction that is between the workfunctions of NMOS and PMOS gate electrode materials.
  • a metal work function layer 115 may consist essentially of a homogeneous metal layer. Alternatively, relatively thin n-type, p-type, or mid-gap metal layers (like those listed above) may generate the lower part of the metal work function layer 115 , with the remainder of the metal work function layer 115 comprising another metal or metals, e.g., a metal that may be easily polished like tungsten, aluminum, titanium, or titanium nitride. Such a material may make up the remainder of the gate electrode 108 , or additional layers, such as the cap layer 116 and polysilicon layer 118 illustrated in FIG. 3 may be part of the gate electrode 108 as well. Although a few examples of materials for forming a metal work function layer 115 are identified here, such a component may be made from many other materials, as will be apparent to those skilled in the art.
  • the inner spacers 110 may comprise a layer of material that can be formed at a temperature below about 500 degrees Celsius.
  • the inner spacers 110 may comprise silicon nitride, aluminum nitride, silicon oxide, carbon doped silicon nitride, or another material.
  • the material of the inner spacers 110 has a high etch bias relative to other materials in the device 100 . Some embodiments may lack the inner spacers 110 .
  • scavenger spacers 112 may be on side walls of the high-k dielectric layer 106 and electrode 108 .
  • the scavenger spacers 112 may be on side walls the inner spacers 110 .
  • the scavenger spacers 112 may comprise a material that is reactive with oxygen in embodiments where the scavenger spacers 112 are oxygen scavenger spacers 112 .
  • the scavenger spacers 112 may originally comprise a layer of oxidizable material such as a group III, IV or V metal (e.g., Hf, Zr, Ti, Ta), aluminum, a nitride of a group III, IV or V metal (e.g., HfN, ZrN, TiN, TaN or Ta 3 N 5 ), a nitride of a group III, IV or V metal that is deficient in nitrogen (e.g., Ti 1 N 1-x , or Ta 3 N 5-x ), a silicon nitride deficient in nitrogen (e.g., Si 3 N 4-x ), or another material.
  • Deficient in nitride as used herein means the material is relatively rich in the non-nitrogen element.
  • Oxygen present may react with the scavenger spacers 112 , which prevents the oxygen from reacting with other materials.
  • the scavenger spacers 112 may react with oxygen and thus reduce an oxide, such as silicon dioxide, forming from the reaction of the oxygen and the substrate 102 . This may result in reduction in thickness of the transition layer 104 ; some oxygen that would react with the substrate 102 to form a thicker transition layer 104 may instead react with the scavenger spacers 112 .
  • the scavenger spacers 112 may at least partially prevent oxidation of the substrate 102 , which could result in the formation of undesired oxide, such as silicon oxide, beneath the high-k dielectric layer 106 and electrode 108 .
  • undesired oxide such as silicon oxide
  • Such an undesired oxide could be of a thickness enough to degrade the performance of the device if its formation is not prevented.
  • outer spacers 114 may be on side walls of the high-k dielectric layer 106 and electrode 108 .
  • the outer spacers 114 may be on side walls of the scavenger spacers 112 .
  • Outer spacers 114 may comprise a layer any material suitable for forming spacers, including materials that require high temperatures to form. Some embodiments may lack the outer spacers 114 .
  • FIG. 3 is a cross sectional side view that illustrates the thicknesses and sizes of various parts of the device 100 of FIG. 1 , according to one embodiment.
  • the length 202 may be the length of the gate electrode 108 , which is substantially the same as the length of the channel of the transistor.
  • the electrode 108 may have a length 202 of 30-35 nm. In other embodiments, the length 202 may be different.
  • the transition layer 104 may have a thickness 204 .
  • This transition layer 104 may be as thin as a monolayer of oxide in some embodiments at the time of formation of the scavenger spacer layer 112 .
  • the thickness 204 may be as thin as about 3 angstroms or less at the time of formation of the scavenger spacer layer 112 .
  • the transition layer 104 may have more than one layer of oxide and/or may be thicker than about 3 angstroms.
  • the thickness 204 may grow larger, but because of the presence of the scavenging material in the scavenger spacers 112 , the thickness 204 may not grow as big as it would absent the scavenging material.
  • the thickness 204 of the transition layer 104 may remain about 3 angstroms in the completed device 100 .
  • the transition layer 104 may have a thickness 204 between about 4 angstroms and about 8 angstroms in the completed device 100 .
  • Other embodiments may have a transition layer 104 with a different thickness 204 in the completed device 100 .
  • the inner spacer layer 110 may also have a thickness 206 .
  • the inner spacer layer 110 and thus the inner spacers 110 , may have a thickness 206 between about 10 angstroms and about 100 angstroms, although the thickness 206 may be different in other embodiments.
  • the scavenging spacer layer 112 may have a thickness 208 .
  • the thickness 208 may be between about 30 angstroms and about 50 angstroms, although the scavenging spacer layer 112 may have a different thickness 208 in other embodiments.
  • the thickness 208 may be chosen based at least in part on the fabrication of the device 100 . For example, if higher temperatures are used or there is more ambient oxygen, the thickness 208 may be greater than if lower temperatures are used, or less ambient oxygen.
  • the thickness 208 may be chosen so that the metal is substantially entirely oxidized by the completion of fabrication of the device, to prevent source-to-drain short circuits.
  • the outer spacers 114 may have a thickness 210 .
  • This thickness 210 may be any suitable thickness 210 to result in the sum of the thicknesses 206 , 208 , 210 being a desired thickness for the device's 100 spacers.
  • the high-k dielectric layer 106 may have a thickness 212 .
  • the thickness 212 may be selected to provide an acceptable level of leakage current in the device 100 , and to provide a selected electrical thickness of the gate stack, in embodiments where the device 100 is a transistor.
  • the high-k gate dielectric layer 106 may be less than about 40 angstroms thick 212 .
  • the high-k dielectric layer 106 may have a thickness 212 less than about 25 angstroms.
  • the high-k gate dielectric layer 106 may be between about 5 angstroms and about 20 angstroms thick 212 .
  • the high-k dielectric layer 106 may have a different thickness 212 in other embodiments.
  • the electrode 108 and a work function layer 115 may have thicknesses (not shown).
  • the thickness of the work function layer 115 may be chosen to provide a desired work function and threshold voltage (V Th ) of the gate of the device 100 .
  • V Th threshold voltage
  • the thickness may between about 50 angstroms and about 200 angstroms. In other embodiments, the thickness may be greater than about 75 angstroms. In yet other embodiments, the thickness may be different.
  • the device 100 may reduce leakage current without increasing the electrical thickness of the gate stack (including the transition layer 104 and high-k dielectric layer 106 ).
  • the use of the scavenging spacer layer 112 may allow a stable amount of leakage current but allow faster performance of the device 100 , compared to a device without a scavenging spacer layer 112 .
  • Other embodiments may use intermediate values, such as increasing performance somewhat and decreasing leakage current somewhat.
  • FIGS. 4 through 11 are cross sectional side views that illustrate how the device 100 of FIG. 1 may be made in some embodiments.
  • FIG. 4 is a cross sectional side view that illustrates a SOI substrate 102 that may be used in the device 100 .
  • the SOI substrate 102 may include a semiconductor substrate layer 120 , which may also be referred to as a first semiconductor layer 120 .
  • This semiconductor substrate 120 may comprise any suitable semiconductor material or materials, including silicon.
  • On the semiconductor substrate 120 may be an insulating layer 122 .
  • the insulating layer 122 may comprise any suitable insulating material and may function to electrically isolate various devices formed on the substrate. In embodiments where the insulating layer 122 comprises an oxide, the insulating layer may also be referred to as a buried oxide layer.
  • On the insulating layer 122 may be a second semiconductor layer 124 .
  • the second semiconductor layer 124 may comprise any suitable semiconductor material or materials, including silicon.
  • FIG. 5 is a cross sectional side view that illustrates the SOI substrate 102 after formation of a transition layer 104 , high-k dielectric layer 106 , and electrode layer 108 on the substrate 102 , according to one embodiment of the present invention.
  • the transition layer 104 may form on the surface of the substrate 102 .
  • the transition layer 104 may be between about a monolayer of a material such as silicon dioxide and about three angstroms, although in other embodiments it may be thicker.
  • the high-k dielectric layer 106 may be deposited on the transition layer 104 .
  • high-k gate dielectric layer 106 may be formed on the substrate 102 by an atomic layer deposition (“ALD”) process.
  • ALD atomic layer deposition
  • a growth cycle may be repeated until a high-k gate dielectric layer 106 of a desired thickness is created.
  • Such a growth cycle may comprise the following sequence in an embodiment. Steam is introduced into a CVD (“chemical vapor deposition”) reactor for a selected pulse time, followed by a purging gas. A precursor (e.g., an organometallic compound, a metal chloride or other metal halide) is then pulsed into the reactor, followed by a second purge pulse. (A carrier gas that comprises nitrogen or another inert gas may be injected into the reactor at the same time.)
  • CVD chemical vapor deposition
  • the pressure at which the reactor is operated, the gases' flow rates, and the temperature at which the substrate is maintained may be varied depending upon the application and the precursor that is used.
  • the CVD reactor may be operated long enough to form the high-k gate dielectric layer 106 with the desired thickness 212 .
  • Metal work function layer 115 may be formed on the high-k gate dielectric layer 106 .
  • Metal work function layer 115 may be formed using conventional metal deposition processes, e.g. CVD or PVD processes, by using ALD, or another suitable method, and may comprise any conductive material from which metal gate electrodes may be derived.
  • the other layers (if any) of the electrode 108 may then be formed on the work function layer 115 by any suitable method.
  • the cap layer 116 may comprise silicon and may be formed by sputtering silicon at a relatively low temperature below about 500 degrees Celsius.
  • the cap layer 116 may comprise a different material and may be formed by a different process in other embodiments.
  • the polysilicon layer 118 may be deposited at a temperature of over 500 degrees Celsius. However, the cap layer 116 at this point may seal layers beneath the cap layer 116 from contact with oxygen, thus preventing or reducing growth in the thickness of the transition layer 104 in some embodiments.
  • FIG. 6 is a cross sectional side view that illustrates the device 100 after the transition layer 104 , high-k dielectric layer 106 , and electrode 108 have been patterned to remove them from areas on which they are not desired, according to one embodiment of the present invention.
  • Any suitable method may be used to pattern the transition layer 104 , high-k dielectric layer 106 , and electrode 108 .
  • the portions of the layers 104 , 106 , 108 desired to remain in place may be protected by patterned photoresist and/or hardmask material and exposed portions of the conductive layers 104 , 106 , 108 removed by an etching procedure, such as a plasma-based dry etch.
  • FIG. 7 is a cross sectional side view that illustrates the device 100 after formation of the inner spacer layer 110 , according to one embodiment.
  • the inner spacer layer 110 may comprise silicon nitride, silicon nitride with carbon, or other materials in other embodiments.
  • the inner spacer layer 110 may be formed by chemical vapor deposition (“CVD”), plasma-enhanced chemical vapor deposition (“PECVD”), atomic layer deposition (“ALD”), or other suitable processes.
  • the inner spacer layer 110 may be formed at a temperature below about 500 degrees Celsius.
  • the inner spacer layer 110 may be formed at a temperature between about 300 to about 400 degrees Celsius, although other temperatures may be used in other embodiments.
  • the inner spacer layer 110 in some embodiments may not provide a good enough seal of the layers on which it is deposited to prevent oxygen from reaching those layers.
  • FIG. 8 is a cross sectional side view that illustrates the device 100 after formation of the scavenger spacer layer 112 , according to one embodiment.
  • the scavenger spacer layer 112 may comprise a layer of oxidizable material such as a group III, IV or V metal (e.g., Hf, Zr, Ti, Ta), aluminum, a nitride of a group III, IV or V metal (e.g., HfN, ZrN, TiN, TaN or Ta 3 N 5 ), a nitride of a group III, IV or V metal that is deficient in nitrogen (e.g., Ti 1 N 1-x , or Ta 3 N 5-x ), a silicon nitride deficient in nitrogen (e.g., Si 3 N 4-x ), or another material.
  • a group III, IV or V metal e.g., Hf, Zr, Ti, Ta
  • a nitride of a group III, IV or V metal
  • the scavenger spacer layer 112 may be formed at a temperature below about 500 degrees Celsius. Any suitable process, depending on the material chosen for the scavenger spacer layer 112 , may be used to form the scavenger spacer layer 112 .
  • FIG. 9 is a cross sectional side view that illustrates the device 100 after formation of the outer spacer layer 114 , according to one embodiment.
  • the outer spacer layer 114 may comprise any suitable material and may be formed by any suitable process. As the scavenger spacer layer 112 is in place, the outer spacer layer 114 may be formed at high temperature (over 500 degrees Celsius). The scavenger spacer layer 112 material will react with oxygen to reduce or elimination growth in the thickness 204 of the transition layer 104 .
  • Portions of the inner spacer layer 110 , scavenger spacer layer 112 , and outer spacer layer 114 may be removed to result in the device 100 as illustrated in FIG. 1 .
  • Any suitable process such as a dry etching process, may be used to remove portions of the spacer layers 110 , 112 , 114 and result in the spacers 110 , 112 , 114 .
  • FIG. 10 is a cross sectional side view that illustrates additional regions 130 added to the substrate 102 in some embodiments.
  • the additional regions 130 may be omitted in some embodiments.
  • the additional regions 130 may be added by epitaxy.
  • the additional regions 130 may comprise the same material as the original substrate 102 .
  • the additional regions 130 may be considered portions of the substrate 102 after formation of the additional regions 130 . As shown in FIG.
  • the additional regions 130 may have a height above the original substrate 102 a distance away from the spacers 110 , 112 , 114 , but the thickness of the additional regions 130 may decrease closer to the spacers 110 , 112 , 114 .
  • FIG. 11 is a cross sectional side view that illustrates the formation of source/drain implant regions 142 by implantation of ions 140 .
  • the ions 140 are implanted into the substrate 142 to form the source/drain implanted regions 142 of the substrate 102 .
  • the scavenging spacers 112 may act to react with ambient oxygen to prevent that oxygen from reaching and reacting with the substrate 102 under the gate stack 106 , 108 , which would result in a thicker transition layer 104 .
  • the scavenging spacers 112 may keep the transition layer 104 thinner than it would be otherwise.
  • transition layer 104 may be thinner than it would be otherwise.
  • Such a thinner transition layer 104 may allow the device 100 to have faster performance than it would with a thicker transition layer 104 .
  • FIG. 12 is a flow chart 300 that summarizes a method according to an embodiment of the present invention.
  • a gate stack of a device such as gate stack 106 , 108 shown in FIG. 1 , may be formed 302 .
  • a scavenging spacer 112 may be formed 304 . This scavenging spacer 112 may be reactive with oxygen to prevent oxygen from reaching a transition layer 104 beneath the gate stack 106 , 108 or reduce the amount of oxygen reaching a transition layer 104 beneath the gate stack 106 , 108 . Processes at high temperature or processes that involve oxygen (as part of an ambient atmosphere or part of the material of a structure) may then be performed 306 .
  • These processes may include forming additional spacers, annealing processes, ion implantation, and other processes. Since the under gate region is sealed by the scavenging spacer 112 , oxygen may be mostly or entirely prevented from being transported to the under gate region during these processes. Thus, reaction of oxygen with the substrate beneath the gate and formation of a thick oxide layer 104 beneath the gate may be avoided, which may prevent degradation of the performance of the device.
  • the device 100 may have one or more layers of dielectric and interconnections above the spacers 110 , 112 , 114 and electrode 108 .
  • the material of the scavenging spacers 112 may have reacted with oxygen.
  • the material of the scavenging spacers 112 thus may be different than it was when the scavenging spacer layer 112 was originally formed (illustrated in FIG. 8 ).
  • the scavenger spacers 112 may originally comprise a layer of oxidizable material such as a group III, IV or V metal (e.g., Hf, Zr, Ti, Ta), aluminum, a nitride of a group III, IV or V metal (e.g., HfN, ZrN, TiN, TaN or Ta 3 N 5 ), a nitride of a group III, IV or V metal that is deficient in nitrogen (e.g., Ti 1 N 1-x , or Ta 3 N 5-x ), a silicon nitride deficient in nitrogen (e.g., Si 3 N 4-x ), or another material.
  • a group III, IV or V metal e.g., Hf, Zr, Ti, Ta
  • a nitride of a group III, IV or V metal e.g., HfN, ZrN, TiN, TaN or Ta 3 N 5
  • the scavenging spacers 112 may comprise one of the above-listed materials with the addition of oxygen in varying amounts.
  • the scavenging spacers 112 may comprise an oxynitride of a group III, IV or V metal.
  • the scavenging spacer 112 originally comprises HfN, it may comprise HfON after completion of the device 100 .
  • the scavenging spacer 112 originally comprises ZrN, it may comprise ZrON after completion of the device 100 .
  • the scavenging spacer 112 originally comprises TiN it may comprise TiON after completion of the device 100 .
  • the scavenging spacer 112 may comprise TaON after completion of the device 100 . In an embodiment where the scavenging spacer 112 originally comprises Si 3 N 4-x , it may comprise Si 3 N 4-x O 1.5x after completion of the device 100 . In other examples, the scavenging spacer 112 may comprise an oxidized group III, IV, or V metal, oxidized aluminum, a silicon oxynitride, or another material.
  • the transition layer 104 may have a thickness 204 of around three angstroms or less in an embodiment. In another embodiment, the transition layer 104 may have a thickness 204 of around four angstroms or less after the device 100 has been completely formed, although other embodiments may have a thicker transition layer 104 . Absent the scavenging spacers 112 , the minimum size of the transition layer 104 is significantly greater. The transition layer 104 would have a larger thickness 204 had oxygen been free to reach and react with the substrate 102 , as would be the case in the absence of the scavenging spacers 112 .
  • FIG. 13 illustrates a system 400 in accordance with one embodiment of the present invention.
  • One or more devices 100 formed with the scavenging spacers 112 as described above may be included in the system 400 of FIG. 13 .
  • system 400 includes a computing device 402 for processing data.
  • Computing device 402 may include a motherboard 404 . Coupled to or part of the motherboard 404 may be in particular a processor 406 , and a networking interface 408 coupled to a bus 410 .
  • a chipset may form part or all of the bus 410 .
  • the processor 406 , chipset, and/or other parts of the system 400 may include one or more devices 100 with scavenging spacers 112 .
  • system 400 may include other components, including but are not limited to volatile and non-volatile memory 412 , a graphics processor (integrated with the motherboard 404 or connected to the motherboard as a separate removable component such as an AGP or PCI-E graphics processor), a digital signal processor, a crypto processor, mass storage 414 (such as hard disk, compact disk (CD), digital versatile disk (DVD) and so forth), input and/or output devices 416 , and so forth.
  • volatile and non-volatile memory 412 e.g., a graphics processor (integrated with the motherboard 404 or connected to the motherboard as a separate removable component such as an AGP or PCI-E graphics processor), a digital signal processor, a crypto processor, mass storage 414 (such as hard disk, compact disk (CD), digital versatile disk (DVD) and so forth), input and/or output devices 416 , and so forth.
  • graphics processor integrated with the motherboard 404 or connected to the motherboard as a separate removable component such as an AGP or PCI-E graphics processor
  • system 400 may be a personal digital assistant (PDA), a mobile phone, a tablet computing device, a laptop computing device, a desktop computing device, a set-top box, an entertainment control unit, a digital camera, a digital video recorder, a CD player, a DVD player, or other digital device of the like.
  • PDA personal digital assistant
  • any of one or more of the components 406 , 414 , etc. in FIG. 13 may include one or more devices with the scavenging spacers 112 as described herein.
  • a transistor formed with the scavenging spacers 112 may be part of the CPU 406 , motherboard 404 , graphics processor, digital signal processor, or other devices.
  • terms designating relative vertical position refer to a situation where a device side (or active surface) of a substrate or integrated circuit is the “top” surface of that substrate; the substrate may actually be in any orientation so that a “top” side of a substrate may be lower than the “bottom” side in a standard terrestrial frame of reference and still fall within the meaning of the term “top.”
  • the term “on” as used herein does not indicate that a first layer “on” a second layer is directly on and in immediate contact with the second layer unless such is specifically stated; there may be a third layer or other structure between the first layer and the second layer on the first layer.
  • the embodiments of a device or article described herein can be manufactured, used, or shipped in a number of positions and orientations.

Abstract

Embodiments of the invention provide a device with a metal gate, a high-k gate dielectric layer and reduced oxidation of a substrate beneath the high-k gate dielectric layer. An oxygen-scavenging spacer layer on side walls of the high-k gate dielectric layer and metal gate may reduce such oxidation during high temperature processes.

Description

    BACKGROUND Background of the Invention
  • MOS field-effect transistors with very thin silicon dioxide based gate dielectrics may experience unacceptable gate leakage currents. Forming the gate dielectric from certain high-k dielectric materials, instead of silicon dioxide, can reduce gate leakage. When conventional processes are used to form such transistors, a silicon dioxide transition layer may form between the high-k dielectric and the substrate. This transition layer may grow larger during high temperature processes when available oxygen may react with the substrate to form more silicon dioxide. The presence of a thick transition layer may unfavorably contribute to the overall electrical thickness of the gate dielectric stack.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a cross sectional side view that illustrates the semiconductor device of one embodiment of the present invention.
  • FIG. 2 is a cross sectional side view that illustrates the electrode in more detail.
  • FIG. 3 is a cross sectional side view that illustrates the thicknesses and sizes of various parts of the device of FIG. 1.
  • FIGS. 4 through 11 are cross sectional side views that illustrate how the device of FIG. 1 may be made in some embodiments.
  • FIG. 12 is a flow chart that summarizes a method according to an embodiment of the present invention.
  • FIG. 13 illustrates a system in accordance with one embodiment of the present invention.
  • DETAILED DESCRIPTION
  • In various embodiments, an apparatus and method relating to the formation of a device with a scavenging spacer layer are described. In the following description, various embodiments will be described. However, one skilled in the relevant art will recognize that the various embodiments may be practiced without one or more of the specific details, or with other replacement and/or additional methods, materials, or components. In other instances, well-known structures, materials, or operations are not shown or described in detail to avoid obscuring aspects of various embodiments of the invention. Similarly, for purposes of explanation, specific numbers, materials, and configurations are set forth in order to provide a thorough understanding of the invention. Nevertheless, the invention may be practiced without specific details. Furthermore, it is understood that the various embodiments shown in the figures are illustrative representations and are not necessarily drawn to scale.
  • Reference throughout this specification to “one embodiment” or “an embodiment” means that a particular feature, structure, material, or characteristic described in connection with the embodiment is included in at least one embodiment of the invention, but do not denote that they are present in every embodiment. Thus, the appearances of the phrases “in one embodiment” or “in an embodiment” in various places throughout this specification are not necessarily referring to the same embodiment of the invention. Furthermore, the particular features, structures, materials, or characteristics may be combined in any suitable manner in one or more embodiments. Various additional layers and/or structures may be included and/or described features may be omitted in other embodiments.
  • Various operations will be described as multiple discrete operations in turn, in a manner that is most helpful in understanding the invention. However, the order of description should not be construed as to imply that these operations are necessarily order dependent. In particular, these operations need not be performed in the order of presentation. Operations described may be performed in a different order than the described embodiment. Various additional operations may be performed and/or described operations may be omitted in additional embodiments.
  • FIG. 1 is a cross sectional side view that illustrates the semiconductor device 100 of one embodiment of the present invention. The device 100 may include a substrate 102. Substrate 102 may comprise any material that may serve as a foundation upon which a semiconductor device may be built. The substrate 102 may be a silicon containing substrate 102. The substrate 102 may be a bulk substrate 102, such as a wafer of single crystal silicon, a semiconductor-on-insulator (SOI) substrate 102, such as a layer of silicon on a layer of insulating material on another layer of silicon, or another type of substrate 102.
  • The device 100 may be a transistor in some embodiments. The device 100 may be a planar transistor on a bulk substrate 102, a planar transistor on an SOI substrate 102, a FIN-FET transistor on a bulk substrate 102, a FIN-FET transistor on an SOI substrate 102, a tri-gate transistor on a bulk substrate 102, a tri-gate transistor on an SOI substrate 102, or another type of transistor or another device.
  • In that semiconductor device 100, a high-k gate dielectric layer 106 may be formed on the substrate 102. The high-k gate dielectric layer 106 may comprise, for example, hafnium oxide, hafnium silicon oxide, lanthanum oxide, lanthanum aluminum oxide, zirconium oxide, zirconium silicon oxide, titanium oxide, tantalum oxide, barium strontium titanium oxide, barium titanium oxide, strontium titanium oxide, yttrium oxide, aluminum oxide, lead scandium tantalum oxide, and lead zinc niobate. Although a few examples of materials that may be used to form the high-k gate dielectric layer 106 are described here, the high-k gate dielectric layer 106 may be made from other materials that serve to reduce gate leakage in other embodiments.
  • The high-k gate dielectric layer 106 may have a k-value higher than about 7.5 in some embodiments. In other embodiments, the high-k gate dielectric layer 106 may have a k-value higher than about 10. In other embodiments, the high-k gate dielectric layer 106 may comprise a material such as Al2O3 with a k-value of about 12, or may comprise a material with a higher k-value than that. In other embodiments, the high-k gate dielectric layer 106 may have a k-value between about 15 and about 25, e.g. HfO2. In yet other embodiments, the high-k gate dielectric layer 106 may have a k-value even higher, such as 35, 80 or even higher.
  • Between the high-k gate dielectric layer 106 and the substrate 102 may be a transition layer 104. This transition layer 104 may be a thin layer 104 of oxide, such as a thin layer 104 of silicon dioxide in embodiments where the substrate 102 comprises silicon, in some embodiments. If, during high temperature processes (such as processes performed at about 500 degrees Celsius or higher) oxygen is available to react with the substrate at the region of the transition layer 104, it may form an unwanted thicker oxide layer 104 beneath the high-k dielectric layer 106 and reduce the performance of the transistor.
  • An electrode 108 may be on the high-k gate dielectric layer 106. As seen in FIG. 2, the electrode 108 may include multiple layers. In the embodiment illustrated in FIG. 2, there is a work function layer 115, a cap layer 116 on the work function layer 115 and a polysilicon layer 118 on the cap layer 116. In other embodiments, the electrode 108 may include a different number of layers, and the layers may be different. The polysilicon layer 118 may comprise doped polysilicon in an embodiment. The polysilicon layer 118 may not be a polysilicon layer 118 in some embodiments, but instead comprise other non-polysilicon materials, or it may be absent. The cap layer 116 may comprise silicon in some embodiments, although it may comprise other materials in other embodiments. The work function layer 115 may comprise a metal work function layer 115.
  • The metal work function layer 115 may be an n-type metal gate electrode. Materials that may be used to form n-type metal gate electrodes include: hafnium, zirconium, titanium, tantalum, aluminum, their alloys (e.g., metal carbides that include these elements, i.e., hafnium carbide, zirconium carbide, titanium carbide, tantalum carbide, and aluminum carbide), and aluminides (e.g., an aluminide that comprises hafnium, zirconium, titanium, tantalum, or tungsten).
  • The metal work function layer 115 may be a p-type metal gate electrode. Materials for forming p-type metal gate electrodes include: ruthenium, palladium, platinum, cobalt, nickel, and conductive metal oxides, e.g., ruthenium oxide.
  • Rather than n- or p-type, the metal work function layer 115 may be a mid-gap metal gate electrode. In such embodiments, the work function layer 115 may comprise stoichiometric titanium nitride, tantalum nitride, or another mid-gap material.
  • The device 100 may be a transistor, such as an NMOS, PMOS, or mid-gap transistor. In some embodiments, metal work function layers 115 for NMOS transistor devices 100 may have a workfunction that is between about 3.9 eV and about 4.2 eV. In some embodiments, metal work function layers 115 for PMOS devices 100 may have a workfunction that is between about 4.9 eV and about 5.2 eV. In some embodiments, metal work function layers 115 for semiconductor on insulator (SOI) mid-gap transistor devices 100 may have a workfunction that is between the workfunctions of NMOS and PMOS gate electrode materials.
  • A metal work function layer 115 may consist essentially of a homogeneous metal layer. Alternatively, relatively thin n-type, p-type, or mid-gap metal layers (like those listed above) may generate the lower part of the metal work function layer 115, with the remainder of the metal work function layer 115 comprising another metal or metals, e.g., a metal that may be easily polished like tungsten, aluminum, titanium, or titanium nitride. Such a material may make up the remainder of the gate electrode 108, or additional layers, such as the cap layer 116 and polysilicon layer 118 illustrated in FIG. 3 may be part of the gate electrode 108 as well. Although a few examples of materials for forming a metal work function layer 115 are identified here, such a component may be made from many other materials, as will be apparent to those skilled in the art.
  • Returning to FIG. 1, there may be inner spacers 110 on side walls of the high-k dielectric layer 106 and electrode 108. The inner spacers 110 may comprise a layer of material that can be formed at a temperature below about 500 degrees Celsius. In various embodiments, the inner spacers 110 may comprise silicon nitride, aluminum nitride, silicon oxide, carbon doped silicon nitride, or another material. In some embodiments, the material of the inner spacers 110 has a high etch bias relative to other materials in the device 100. Some embodiments may lack the inner spacers 110.
  • There may be scavenger spacers 112 on side walls of the high-k dielectric layer 106 and electrode 108. In embodiments that include inner spacers 110, the scavenger spacers 112 may be on side walls the inner spacers 110. The scavenger spacers 112 may comprise a material that is reactive with oxygen in embodiments where the scavenger spacers 112 are oxygen scavenger spacers 112. The scavenger spacers 112 may originally comprise a layer of oxidizable material such as a group III, IV or V metal (e.g., Hf, Zr, Ti, Ta), aluminum, a nitride of a group III, IV or V metal (e.g., HfN, ZrN, TiN, TaN or Ta3N5), a nitride of a group III, IV or V metal that is deficient in nitrogen (e.g., Ti1N1-x, or Ta3N5-x), a silicon nitride deficient in nitrogen (e.g., Si3N4-x), or another material. Deficient in nitride as used herein means the material is relatively rich in the non-nitrogen element.
  • Oxygen present may react with the scavenger spacers 112, which prevents the oxygen from reacting with other materials. For example, in an embodiment the scavenger spacers 112 may react with oxygen and thus reduce an oxide, such as silicon dioxide, forming from the reaction of the oxygen and the substrate 102. This may result in reduction in thickness of the transition layer 104; some oxygen that would react with the substrate 102 to form a thicker transition layer 104 may instead react with the scavenger spacers 112. In other words the scavenger spacers 112 may at least partially prevent oxidation of the substrate 102, which could result in the formation of undesired oxide, such as silicon oxide, beneath the high-k dielectric layer 106 and electrode 108. Such an undesired oxide could be of a thickness enough to degrade the performance of the device if its formation is not prevented.
  • There may be outer spacers 114 on side walls of the high-k dielectric layer 106 and electrode 108. The outer spacers 114 may be on side walls of the scavenger spacers 112. Outer spacers 114 may comprise a layer any material suitable for forming spacers, including materials that require high temperatures to form. Some embodiments may lack the outer spacers 114.
  • FIG. 3 is a cross sectional side view that illustrates the thicknesses and sizes of various parts of the device 100 of FIG. 1, according to one embodiment. As shown in FIG. 3, there may be a length 202. In an embodiment where the device 100 is a transistor, the length 202 may be the length of the gate electrode 108, which is substantially the same as the length of the channel of the transistor. In an embodiment, the electrode 108 may have a length 202 of 30-35 nm. In other embodiments, the length 202 may be different.
  • The transition layer 104 may have a thickness 204. This transition layer 104 may be as thin as a monolayer of oxide in some embodiments at the time of formation of the scavenger spacer layer 112. In some embodiments, the thickness 204 may be as thin as about 3 angstroms or less at the time of formation of the scavenger spacer layer 112. In other embodiments, the transition layer 104 may have more than one layer of oxide and/or may be thicker than about 3 angstroms. In an embodiment, during high-temperature processes (above about 500 degrees Celsius), the thickness 204 may grow larger, but because of the presence of the scavenging material in the scavenger spacers 112, the thickness 204 may not grow as big as it would absent the scavenging material. In an embodiment, the thickness 204 of the transition layer 104 may remain about 3 angstroms in the completed device 100. In another embodiment, the transition layer 104 may have a thickness 204 between about 4 angstroms and about 8 angstroms in the completed device 100. Other embodiments may have a transition layer 104 with a different thickness 204 in the completed device 100.
  • The inner spacer layer 110 may also have a thickness 206. In an embodiment, the inner spacer layer 110, and thus the inner spacers 110, may have a thickness 206 between about 10 angstroms and about 100 angstroms, although the thickness 206 may be different in other embodiments.
  • The scavenging spacer layer 112 may have a thickness 208. In some embodiments, the thickness 208 may be between about 30 angstroms and about 50 angstroms, although the scavenging spacer layer 112 may have a different thickness 208 in other embodiments. In some embodiments, the thickness 208 may be chosen based at least in part on the fabrication of the device 100. For example, if higher temperatures are used or there is more ambient oxygen, the thickness 208 may be greater than if lower temperatures are used, or less ambient oxygen. In embodiments where the scavenging spacers 112 comprise a metal, the thickness 208 may be chosen so that the metal is substantially entirely oxidized by the completion of fabrication of the device, to prevent source-to-drain short circuits.
  • The outer spacers 114 may have a thickness 210. This thickness 210 may be any suitable thickness 210 to result in the sum of the thicknesses 206, 208, 210 being a desired thickness for the device's 100 spacers.
  • The high-k dielectric layer 106 may have a thickness 212. The thickness 212 may be selected to provide an acceptable level of leakage current in the device 100, and to provide a selected electrical thickness of the gate stack, in embodiments where the device 100 is a transistor. In some embodiments, the high-k gate dielectric layer 106 may be less than about 40 angstroms thick 212. The high-k dielectric layer 106 may have a thickness 212 less than about 25 angstroms. In other embodiments, the high-k gate dielectric layer 106 may be between about 5 angstroms and about 20 angstroms thick 212. The high-k dielectric layer 106 may have a different thickness 212 in other embodiments.
  • The electrode 108 and a work function layer 115 may have thicknesses (not shown). The thickness of the work function layer 115 may be chosen to provide a desired work function and threshold voltage (VTh) of the gate of the device 100. In an embodiment, the thickness may between about 50 angstroms and about 200 angstroms. In other embodiments, the thickness may be greater than about 75 angstroms. In yet other embodiments, the thickness may be different.
  • By avoiding a thick transition layer 104 through the use of a scavenging spacer layer 112, the device 100 may reduce leakage current without increasing the electrical thickness of the gate stack (including the transition layer 104 and high-k dielectric layer 106). Alternatively, the use of the scavenging spacer layer 112 may allow a stable amount of leakage current but allow faster performance of the device 100, compared to a device without a scavenging spacer layer 112. Other embodiments may use intermediate values, such as increasing performance somewhat and decreasing leakage current somewhat.
  • FIGS. 4 through 11 are cross sectional side views that illustrate how the device 100 of FIG. 1 may be made in some embodiments.
  • FIG. 4 is a cross sectional side view that illustrates a SOI substrate 102 that may be used in the device 100. Other types of substrates 102 may be used in other embodiments. The SOI substrate 102 may include a semiconductor substrate layer 120, which may also be referred to as a first semiconductor layer 120. This semiconductor substrate 120 may comprise any suitable semiconductor material or materials, including silicon. On the semiconductor substrate 120 may be an insulating layer 122. The insulating layer 122 may comprise any suitable insulating material and may function to electrically isolate various devices formed on the substrate. In embodiments where the insulating layer 122 comprises an oxide, the insulating layer may also be referred to as a buried oxide layer. On the insulating layer 122 may be a second semiconductor layer 124. The second semiconductor layer 124 may comprise any suitable semiconductor material or materials, including silicon.
  • FIG. 5 is a cross sectional side view that illustrates the SOI substrate 102 after formation of a transition layer 104, high-k dielectric layer 106, and electrode layer 108 on the substrate 102, according to one embodiment of the present invention. The transition layer 104 may form on the surface of the substrate 102. As stated above, the transition layer 104 may be between about a monolayer of a material such as silicon dioxide and about three angstroms, although in other embodiments it may be thicker.
  • The high-k dielectric layer 106 may be deposited on the transition layer 104. In one embodiment of the present invention, high-k gate dielectric layer 106 may be formed on the substrate 102 by an atomic layer deposition (“ALD”) process. In an ALD process, a growth cycle may be repeated until a high-k gate dielectric layer 106 of a desired thickness is created. Such a growth cycle may comprise the following sequence in an embodiment. Steam is introduced into a CVD (“chemical vapor deposition”) reactor for a selected pulse time, followed by a purging gas. A precursor (e.g., an organometallic compound, a metal chloride or other metal halide) is then pulsed into the reactor, followed by a second purge pulse. (A carrier gas that comprises nitrogen or another inert gas may be injected into the reactor at the same time.)
  • While operating the reactor at a selected pressure and maintaining the substrate at a selected temperature, steam, the purging gas, and the precursor are, in turn, fed at selected flow rates into the reactor. By repeating this growth cycle—steam, purging gas, precursor, and purging gas—multiple times, one may create a high-k gate dielectric layer 106 of a desired thickness 212 on the substrate 102. The pressure at which the reactor is operated, the gases' flow rates, and the temperature at which the substrate is maintained may be varied depending upon the application and the precursor that is used. The CVD reactor may be operated long enough to form the high-k gate dielectric layer 106 with the desired thickness 212.
  • After forming the high-k gate dielectric layer 106 on the substrate 102, the metal or other work function layer 115 may be formed on the high-k gate dielectric layer 106. Metal work function layer 115 may be formed using conventional metal deposition processes, e.g. CVD or PVD processes, by using ALD, or another suitable method, and may comprise any conductive material from which metal gate electrodes may be derived.
  • The other layers (if any) of the electrode 108 may then be formed on the work function layer 115 by any suitable method. For example, the cap layer 116 may comprise silicon and may be formed by sputtering silicon at a relatively low temperature below about 500 degrees Celsius. The cap layer 116 may comprise a different material and may be formed by a different process in other embodiments. The polysilicon layer 118 may be deposited at a temperature of over 500 degrees Celsius. However, the cap layer 116 at this point may seal layers beneath the cap layer 116 from contact with oxygen, thus preventing or reducing growth in the thickness of the transition layer 104 in some embodiments.
  • FIG. 6 is a cross sectional side view that illustrates the device 100 after the transition layer 104, high-k dielectric layer 106, and electrode 108 have been patterned to remove them from areas on which they are not desired, according to one embodiment of the present invention. Any suitable method may be used to pattern the transition layer 104, high-k dielectric layer 106, and electrode 108. For example, the portions of the layers 104, 106, 108 desired to remain in place may be protected by patterned photoresist and/or hardmask material and exposed portions of the conductive layers 104, 106, 108 removed by an etching procedure, such as a plasma-based dry etch.
  • FIG. 7 is a cross sectional side view that illustrates the device 100 after formation of the inner spacer layer 110, according to one embodiment. The inner spacer layer 110 may comprise silicon nitride, silicon nitride with carbon, or other materials in other embodiments. In an embodiment, the inner spacer layer 110 may be formed by chemical vapor deposition (“CVD”), plasma-enhanced chemical vapor deposition (“PECVD”), atomic layer deposition (“ALD”), or other suitable processes. The inner spacer layer 110 may be formed at a temperature below about 500 degrees Celsius. In an embodiment, the inner spacer layer 110 may be formed at a temperature between about 300 to about 400 degrees Celsius, although other temperatures may be used in other embodiments. The inner spacer layer 110 in some embodiments may not provide a good enough seal of the layers on which it is deposited to prevent oxygen from reaching those layers.
  • FIG. 8 is a cross sectional side view that illustrates the device 100 after formation of the scavenger spacer layer 112, according to one embodiment. The scavenger spacer layer 112 may comprise a layer of oxidizable material such as a group III, IV or V metal (e.g., Hf, Zr, Ti, Ta), aluminum, a nitride of a group III, IV or V metal (e.g., HfN, ZrN, TiN, TaN or Ta3N5), a nitride of a group III, IV or V metal that is deficient in nitrogen (e.g., Ti1N1-x, or Ta3N5-x), a silicon nitride deficient in nitrogen (e.g., Si3N4-x), or another material. Deficient in nitride as used herein means the material is relatively rich in the non-nitrogen element. The scavenger spacer layer 112 may be formed at a temperature below about 500 degrees Celsius. Any suitable process, depending on the material chosen for the scavenger spacer layer 112, may be used to form the scavenger spacer layer 112.
  • FIG. 9 is a cross sectional side view that illustrates the device 100 after formation of the outer spacer layer 114, according to one embodiment. The outer spacer layer 114 may comprise any suitable material and may be formed by any suitable process. As the scavenger spacer layer 112 is in place, the outer spacer layer 114 may be formed at high temperature (over 500 degrees Celsius). The scavenger spacer layer 112 material will react with oxygen to reduce or elimination growth in the thickness 204 of the transition layer 104.
  • Portions of the inner spacer layer 110, scavenger spacer layer 112, and outer spacer layer 114 may be removed to result in the device 100 as illustrated in FIG. 1. Any suitable process, such as a dry etching process, may be used to remove portions of the spacer layers 110, 112, 114 and result in the spacers 110, 112, 114.
  • FIG. 10 is a cross sectional side view that illustrates additional regions 130 added to the substrate 102 in some embodiments. The additional regions 130 may be omitted in some embodiments. For example, when the device is a Fin-FET transistor or tri-gate transistor, there may be only a small amount of substrate on either side of the gate stack 106, 108. In such an embodiment, it may be beneficial to add material to the substrate 102 by forming the additional regions 130. In some embodiments, the additional regions 130 may be added by epitaxy. In an embodiment, the additional regions 130 may comprise the same material as the original substrate 102. The additional regions 130 may be considered portions of the substrate 102 after formation of the additional regions 130. As shown in FIG. 10, the additional regions 130 may have a height above the original substrate 102 a distance away from the spacers 110, 112, 114, but the thickness of the additional regions 130 may decrease closer to the spacers 110, 112, 114.
  • FIG. 11 is a cross sectional side view that illustrates the formation of source/drain implant regions 142 by implantation of ions 140. In the illustrated embodiment, the ions 140 are implanted into the substrate 142 to form the source/drain implanted regions 142 of the substrate 102. During ion implantation, the scavenging spacers 112 may act to react with ambient oxygen to prevent that oxygen from reaching and reacting with the substrate 102 under the gate stack 106, 108, which would result in a thicker transition layer 104. Thus, the scavenging spacers 112 may keep the transition layer 104 thinner than it would be otherwise. Other processes may also take place, such as high-temperature post-implant annealing, during which the scavenging spacers 112 may react with oxygen and keep the transition layer 104 thinner than it would be otherwise. Such a thinner transition layer 104 may allow the device 100 to have faster performance than it would with a thicker transition layer 104.
  • FIG. 12 is a flow chart 300 that summarizes a method according to an embodiment of the present invention. A gate stack of a device, such as gate stack 106, 108 shown in FIG. 1, may be formed 302. A scavenging spacer 112 may be formed 304. This scavenging spacer 112 may be reactive with oxygen to prevent oxygen from reaching a transition layer 104 beneath the gate stack 106, 108 or reduce the amount of oxygen reaching a transition layer 104 beneath the gate stack 106, 108. Processes at high temperature or processes that involve oxygen (as part of an ambient atmosphere or part of the material of a structure) may then be performed 306. These processes may include forming additional spacers, annealing processes, ion implantation, and other processes. Since the under gate region is sealed by the scavenging spacer 112, oxygen may be mostly or entirely prevented from being transported to the under gate region during these processes. Thus, reaction of oxygen with the substrate beneath the gate and formation of a thick oxide layer 104 beneath the gate may be avoided, which may prevent degradation of the performance of the device. At the end of the fabrication processes, the device 100 may have one or more layers of dielectric and interconnections above the spacers 110, 112, 114 and electrode 108.
  • After the device 100 has been completely formed, the material of the scavenging spacers 112 may have reacted with oxygen. The material of the scavenging spacers 112 thus may be different than it was when the scavenging spacer layer 112 was originally formed (illustrated in FIG. 8). As stated above, the scavenger spacers 112 may originally comprise a layer of oxidizable material such as a group III, IV or V metal (e.g., Hf, Zr, Ti, Ta), aluminum, a nitride of a group III, IV or V metal (e.g., HfN, ZrN, TiN, TaN or Ta3N5), a nitride of a group III, IV or V metal that is deficient in nitrogen (e.g., Ti1N1-x, or Ta3N5-x), a silicon nitride deficient in nitrogen (e.g., Si3N4-x), or another material. After the device 100 has been completely formed, the scavenging spacers 112 may comprise one of the above-listed materials with the addition of oxygen in varying amounts. For example, the scavenging spacers 112 may comprise an oxynitride of a group III, IV or V metal. In an embodiment where the scavenging spacer 112 originally comprises HfN, it may comprise HfON after completion of the device 100. In an embodiment where the scavenging spacer 112 originally comprises ZrN, it may comprise ZrON after completion of the device 100. In an embodiment where the scavenging spacer 112 originally comprises TiN, it may comprise TiON after completion of the device 100. In an embodiment where the scavenging spacer 112 originally comprises TaN, it may comprise TaON after completion of the device 100. In an embodiment where the scavenging spacer 112 originally comprises Si3N4-x, it may comprise Si3N4-xO1.5x after completion of the device 100. In other examples, the scavenging spacer 112 may comprise an oxidized group III, IV, or V metal, oxidized aluminum, a silicon oxynitride, or another material.
  • Further, after the device 100 has been completely formed, the transition layer 104 may have a thickness 204 of around three angstroms or less in an embodiment. In another embodiment, the transition layer 104 may have a thickness 204 of around four angstroms or less after the device 100 has been completely formed, although other embodiments may have a thicker transition layer 104. Absent the scavenging spacers 112, the minimum size of the transition layer 104 is significantly greater. The transition layer 104 would have a larger thickness 204 had oxygen been free to reach and react with the substrate 102, as would be the case in the absence of the scavenging spacers 112.
  • FIG. 13 illustrates a system 400 in accordance with one embodiment of the present invention. One or more devices 100 formed with the scavenging spacers 112 as described above may be included in the system 400 of FIG. 13. As illustrated, for the embodiment, system 400 includes a computing device 402 for processing data. Computing device 402 may include a motherboard 404. Coupled to or part of the motherboard 404 may be in particular a processor 406, and a networking interface 408 coupled to a bus 410. A chipset may form part or all of the bus 410. The processor 406, chipset, and/or other parts of the system 400 may include one or more devices 100 with scavenging spacers 112.
  • Depending on the applications, system 400 may include other components, including but are not limited to volatile and non-volatile memory 412, a graphics processor (integrated with the motherboard 404 or connected to the motherboard as a separate removable component such as an AGP or PCI-E graphics processor), a digital signal processor, a crypto processor, mass storage 414 (such as hard disk, compact disk (CD), digital versatile disk (DVD) and so forth), input and/or output devices 416, and so forth.
  • In various embodiments, system 400 may be a personal digital assistant (PDA), a mobile phone, a tablet computing device, a laptop computing device, a desktop computing device, a set-top box, an entertainment control unit, a digital camera, a digital video recorder, a CD player, a DVD player, or other digital device of the like.
  • Any of one or more of the components 406, 414, etc. in FIG. 13 may include one or more devices with the scavenging spacers 112 as described herein. For example, a transistor formed with the scavenging spacers 112 may be part of the CPU 406, motherboard 404, graphics processor, digital signal processor, or other devices.
  • The foregoing description of the embodiments of the invention has been presented for the purposes of illustration and description. It is not intended to be exhaustive or to limit the invention to the precise forms disclosed. This description and the claims following include terms, such as left, right, top, bottom, over, under, upper, lower, first, second, etc. that are used for descriptive purposes only and are not to be construed as limiting. For example, terms designating relative vertical position refer to a situation where a device side (or active surface) of a substrate or integrated circuit is the “top” surface of that substrate; the substrate may actually be in any orientation so that a “top” side of a substrate may be lower than the “bottom” side in a standard terrestrial frame of reference and still fall within the meaning of the term “top.” The term “on” as used herein (including in the claims) does not indicate that a first layer “on” a second layer is directly on and in immediate contact with the second layer unless such is specifically stated; there may be a third layer or other structure between the first layer and the second layer on the first layer. The embodiments of a device or article described herein can be manufactured, used, or shipped in a number of positions and orientations. Persons skilled in the relevant art can appreciate that many modifications and variations are possible in light of the above teaching. Persons skilled in the art will recognize various equivalent combinations and substitutions for various components shown in the Figures. It is therefore intended that the scope of the invention be limited not by this detailed description, but rather by the claims appended hereto.

Claims (9)

1. A method for making a semiconductor device, comprising:
providing a substrate;
forming a transition layer on the substrate;
forming a high-k gate dielectric layer on the transition layer;
forming a metal work function layer on the high-k gate dielectric layer;
forming an oxygen scavenging spacer layer on side walls of the transition layer, the high-k gate dielectric layer, and the metal work function layer, the oxygen scavenging spacer layer comprising oxidizable material; and
entirely oxidizing the oxygen scavenging spacer layer.
2. The method of claim 2, further comprising forming an inner spacer layer on side walls of the high-k gate dielectric layer, between the oxygen scavenging spacer layer and the side walls of the high-k gate dielectric layer.
3. The method of claim 2, wherein formation of the inner spacer layer occurs at a temperature at about 400 degrees Celsius or below.
4. The method of claim 3, further comprising forming an outer spacer layer on side walls of the high-k gate dielectric layer, so that the oxygen scavenging layer is between the outer spacer layer and the side walls of the high-k gate dielectric layer.
5. The method of claim 1, further comprising forming an outer spacer layer on side walls of the high-k gate dielectric layer, so that the oxygen scavenging spacer layer is between the outer spacer layer and the side walls of the high-k gate dielectric layer.
6. The method of claim 1, wherein the oxygen scavenging spacer layer comprises a material selected from the group consisting of a Group III metal, a group IV metal, a group V metal, and aluminum.
7. The method of claim 1, wherein the oxygen scavenging spacer layer comprises a material selected from the group consisting of a nitride of a group III, IV or V metal.
8. The method of claim 1, wherein the oxygen scavenging spacer layer comprises a material selected from the group consisting of a nitride of a group III, IV or V metal that is deficient in nitrogen, and a silicon nitride deficient in nitrogen.
9.-16. (canceled)
US11/320,305 2005-12-27 2005-12-27 Device with scavenging spacer layer Expired - Fee Related US7226831B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/320,305 US7226831B1 (en) 2005-12-27 2005-12-27 Device with scavenging spacer layer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/320,305 US7226831B1 (en) 2005-12-27 2005-12-27 Device with scavenging spacer layer

Publications (2)

Publication Number Publication Date
US7226831B1 US7226831B1 (en) 2007-06-05
US20070145498A1 true US20070145498A1 (en) 2007-06-28

Family

ID=38090129

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/320,305 Expired - Fee Related US7226831B1 (en) 2005-12-27 2005-12-27 Device with scavenging spacer layer

Country Status (1)

Country Link
US (1) US7226831B1 (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070037335A1 (en) * 2005-08-15 2007-02-15 Texas Instruments Incorporated Dual work function CMOS devices utilizing carbide based electrodes
US20080001202A1 (en) * 2006-06-30 2008-01-03 Schaeffer James K A method of making metal gate transistors
US20090035911A1 (en) * 2007-07-30 2009-02-05 Willy Rachmady Method for forming a semiconductor device having abrupt ultra shallow epi-tip regions
JP2011003899A (en) * 2009-06-18 2011-01-06 Internatl Business Mach Corp <Ibm> Semiconductor structure capturing impurity oxygen for high-k gate dielectric, and method for forming the structure (capture metal stack for high-k gate dielectric)
US20110298053A1 (en) * 2010-06-03 2011-12-08 Institute of Microelectronics, Chinese Academy of Sciences Manufacturing method of gate stack and semiconductor device
WO2013053175A1 (en) * 2011-10-11 2013-04-18 中国科学院微电子研究所 Semiconductor structure and manufacturing method thereof
US20140065783A1 (en) * 2011-10-03 2014-03-06 International Business Machines Corporation Oxygen scavenging spacer for a gate electrode
US8697514B2 (en) 2011-11-10 2014-04-15 International Business Machines Corporation FinFET device
TWI479659B (en) * 2011-12-31 2015-04-01 Intel Corp Methods for forming semiconductor device and semiconductor structure thereof
US10551317B2 (en) 2017-12-28 2020-02-04 Illumina, Inc. Detector with reduced fluorescence range noise

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8306509B2 (en) * 2007-08-31 2012-11-06 At&T Mobility Ii Llc Enhanced messaging with language translation feature
US7768079B2 (en) * 2007-09-26 2010-08-03 Intel Corporation Transistors with high-k dielectric spacer liner to mitigate lateral oxide encroachement
US20090283922A1 (en) * 2007-12-27 2009-11-19 Willy Rachmady Integrating high stress cap layer in high-k metal gate transistor
JP2009295621A (en) * 2008-06-02 2009-12-17 Panasonic Corp Semiconductor device and method of manufacturing the same
US8193586B2 (en) * 2008-08-25 2012-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Sealing structure for high-K metal gate
US20100044804A1 (en) * 2008-08-25 2010-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Novel high-k metal gate structure and method of making
US8143131B2 (en) 2009-03-31 2012-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating spacers in a strained semiconductor device
US20100244206A1 (en) * 2009-03-31 2010-09-30 International Business Machines Corporation Method and structure for threshold voltage control and drive current improvement for high-k metal gate transistors
US20100327370A1 (en) * 2009-06-26 2010-12-30 Chia-Hong Jan Non-planar embedded polysilicon resistor
US8258588B2 (en) * 2009-08-07 2012-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Sealing layer of a field effect transistor
CN102194870B (en) * 2010-03-17 2012-08-29 中国科学院微电子研究所 Semiconductor device and manufacturing method thereof
US9000525B2 (en) * 2010-05-19 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for alignment marks
CN102270607B (en) * 2010-06-03 2014-01-29 中国科学院微电子研究所 Manufacturing method of grid stack and semiconductor device
CN102655168A (en) * 2011-03-04 2012-09-05 中国科学院微电子研究所 Gate structure and manufacturing method thereof
US8541867B2 (en) 2011-06-28 2013-09-24 International Business Machines Corporation Metal insulator metal structure with remote oxygen scavenging
US8912061B2 (en) 2011-06-28 2014-12-16 International Business Machines Corporation Floating gate device with oxygen scavenging element
US8564074B2 (en) 2011-11-29 2013-10-22 International Business Machines Corporation Self-limiting oxygen seal for high-K dielectric and design structure
US9093495B2 (en) 2012-01-03 2015-07-28 International Business Machines Corporation Method and structure to reduce FET threshold voltage shift due to oxygen diffusion
US8633118B2 (en) * 2012-02-01 2014-01-21 Tokyo Electron Limited Method of forming thin metal and semi-metal layers by thermal remote oxygen scavenging
US8865538B2 (en) 2012-03-30 2014-10-21 Tokyo Electron Limited Method of integrating buried threshold voltage adjustment layers for CMOS processing
US8716088B2 (en) 2012-06-27 2014-05-06 International Business Machines Corporation Scavenging metal stack for a high-K gate dielectric
US8865551B2 (en) 2012-06-28 2014-10-21 International Business Machines Corporation Reducing the inversion oxide thickness of a high-k stack fabricated on high mobility semiconductor material
US8865581B2 (en) 2012-10-19 2014-10-21 Tokyo Electron Limited Hybrid gate last integration scheme for multi-layer high-k gate stacks
US8900952B2 (en) 2013-03-11 2014-12-02 International Business Machines Corporation Gate stack including a high-k gate dielectric that is optimized for low voltage applications
US8802527B1 (en) 2013-03-15 2014-08-12 International Business Machines Corporation Gate electrode optimized for low voltage operation
US9647094B2 (en) 2013-08-02 2017-05-09 University Of Kentucky Research Foundation Method of manufacturing a semiconductor heteroepitaxy structure
US20150311138A1 (en) * 2014-04-29 2015-10-29 Qualcomm Incorporated Transistors with improved thermal conductivity
US10535512B2 (en) 2017-11-21 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device with gate spacer
US10541361B2 (en) 2017-11-30 2020-01-21 Taiwan Semiconductor Manufacturing Co., Ltd. Magnetic random access memory and manufacturing method thereof

Citations (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5696394A (en) * 1993-08-02 1997-12-09 Motorola, Inc. Capacitor having a metal-oxide dielectric
US5880508A (en) * 1997-10-20 1999-03-09 Texas Instruments--Acer Incorporated MOSFET with a high permitivity gate dielectric
US6063698A (en) * 1997-06-30 2000-05-16 Motorola, Inc. Method for manufacturing a high dielectric constant gate oxide for use in semiconductor integrated circuits
US6184072B1 (en) * 2000-05-17 2001-02-06 Motorola, Inc. Process for forming a high-K gate dielectric
US6255698B1 (en) * 1999-04-28 2001-07-03 Advanced Micro Devices, Inc. Separately optimized gate structures for n-channel and p-channel transistors in an integrated circuit
US6348709B1 (en) * 1999-03-15 2002-02-19 Micron Technology, Inc. Electrical contact for high dielectric constant capacitors and method for fabricating the same
US6365450B1 (en) * 2001-03-15 2002-04-02 Advanced Micro Devices, Inc. Fabrication of P-channel field effect transistor with minimized degradation of metal oxide gate
US20020058374A1 (en) * 2000-11-16 2002-05-16 Tae-Kyun Kim Method of forming dual-metal gates in semiconductor device
US6410376B1 (en) * 2001-03-02 2002-06-25 Chartered Semiconductor Manufacturing Ltd. Method to fabricate dual-metal CMOS transistors for sub-0.1 μm ULSI integration
US20020086504A1 (en) * 2000-12-29 2002-07-04 Park Dae Gyu Method of manufacturing semiconductor devices
US6420279B1 (en) * 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
US6475874B2 (en) * 2000-12-07 2002-11-05 Advanced Micro Devices, Inc. Damascene NiSi metal gate high-k transistor
US20020197790A1 (en) * 1997-12-22 2002-12-26 Kizilyalli Isik C. Method of making a compound, high-K, gate and capacitor insulator layer
US6514828B2 (en) * 2001-04-20 2003-02-04 Micron Technology, Inc. Method of fabricating a highly reliable gate oxide
US6518631B1 (en) * 2001-04-02 2003-02-11 Advanced Micro Devices, Inc. Multi-Thickness silicide device formed by succesive spacers
US20030032303A1 (en) * 2001-08-13 2003-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Ozone-enhanced oxidation for high-k dielectric semiconductor devices
US20030045080A1 (en) * 2001-08-31 2003-03-06 Visokay Mark R. Gate structure and method
US20030057432A1 (en) * 1998-12-09 2003-03-27 Mark I. Gardner Ultrathin high-k gate dielectric with favorable interface properties for improved semiconductor device performance
US6544906B2 (en) * 2000-12-21 2003-04-08 Texas Instruments Incorporated Annealing of high-k dielectric materials
US6617210B1 (en) * 2002-05-31 2003-09-09 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6617209B1 (en) * 2002-02-22 2003-09-09 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6620713B2 (en) * 2002-01-02 2003-09-16 Intel Corporation Interfacial layer for gate electrode and high-k dielectric layer and methods of fabrication
US20030186508A1 (en) * 2002-03-26 2003-10-02 Kim Do-Hyung Methods of forming source/drain regions using multilayer side wall spacers and structures so formed
US6642131B2 (en) * 2001-06-21 2003-11-04 Matsushita Electric Industrial Co., Ltd. Method of forming a silicon-containing metal-oxide gate dielectric by depositing a high dielectric constant film on a silicon substrate and diffusing silicon from the substrate into the high dielectric constant film
US6667246B2 (en) * 2001-12-04 2003-12-23 Matsushita Electric Industrial Co., Ltd. Wet-etching method and method for manufacturing semiconductor device
US6689675B1 (en) * 2002-10-31 2004-02-10 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US20040033678A1 (en) * 2002-08-14 2004-02-19 Reza Arghavani Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier
US6696327B1 (en) * 2003-03-18 2004-02-24 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6696345B2 (en) * 2002-01-07 2004-02-24 Intel Corporation Metal-gate electrode for CMOS transistor applications
US6709911B1 (en) * 2003-01-07 2004-03-23 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6713358B1 (en) * 2002-11-05 2004-03-30 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6716707B1 (en) * 2003-03-11 2004-04-06 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6727130B2 (en) * 2001-04-11 2004-04-27 Samsung Electronics Co., Ltd. Method of forming a CMOS type semiconductor device having dual gates
US6746967B2 (en) * 2002-09-30 2004-06-08 Intel Corporation Etching metal using sonication
US6770568B2 (en) * 2002-09-12 2004-08-03 Intel Corporation Selective etching using sonication
US6787440B2 (en) * 2002-12-10 2004-09-07 Intel Corporation Method for making a semiconductor device having an ultra-thin high-k gate dielectric
US6794234B2 (en) * 2002-01-30 2004-09-21 The Regents Of The University Of California Dual work function CMOS gate technology based on metal interdiffusion
US6806146B1 (en) * 2003-05-20 2004-10-19 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6855639B1 (en) * 2003-08-01 2005-02-15 Intel Corporation Precise patterning of high-K films
US6858483B2 (en) * 2002-12-20 2005-02-22 Intel Corporation Integrating n-type and p-type metal gate transistors
US6900481B2 (en) * 2002-02-21 2005-05-31 Intel Corporation Non-silicon semiconductor and high-k gate dielectric metal oxide semiconductor field effect transistors

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6261887B1 (en) 1997-08-28 2001-07-17 Texas Instruments Incorporated Transistors with independently formed gate structures and method
GB2358737A (en) 1999-03-01 2001-08-01 Nec Corp Methods for manufacturing a complimentary integrated circuit

Patent Citations (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5696394A (en) * 1993-08-02 1997-12-09 Motorola, Inc. Capacitor having a metal-oxide dielectric
US6063698A (en) * 1997-06-30 2000-05-16 Motorola, Inc. Method for manufacturing a high dielectric constant gate oxide for use in semiconductor integrated circuits
US5880508A (en) * 1997-10-20 1999-03-09 Texas Instruments--Acer Incorporated MOSFET with a high permitivity gate dielectric
US20020197790A1 (en) * 1997-12-22 2002-12-26 Kizilyalli Isik C. Method of making a compound, high-K, gate and capacitor insulator layer
US20030057432A1 (en) * 1998-12-09 2003-03-27 Mark I. Gardner Ultrathin high-k gate dielectric with favorable interface properties for improved semiconductor device performance
US6348709B1 (en) * 1999-03-15 2002-02-19 Micron Technology, Inc. Electrical contact for high dielectric constant capacitors and method for fabricating the same
US6255698B1 (en) * 1999-04-28 2001-07-03 Advanced Micro Devices, Inc. Separately optimized gate structures for n-channel and p-channel transistors in an integrated circuit
US6184072B1 (en) * 2000-05-17 2001-02-06 Motorola, Inc. Process for forming a high-K gate dielectric
US20020058374A1 (en) * 2000-11-16 2002-05-16 Tae-Kyun Kim Method of forming dual-metal gates in semiconductor device
US6586288B2 (en) * 2000-11-16 2003-07-01 Hynix Semiconductor Inc. Method of forming dual-metal gates in semiconductor device
US6475874B2 (en) * 2000-12-07 2002-11-05 Advanced Micro Devices, Inc. Damascene NiSi metal gate high-k transistor
US6544906B2 (en) * 2000-12-21 2003-04-08 Texas Instruments Incorporated Annealing of high-k dielectric materials
US20020086504A1 (en) * 2000-12-29 2002-07-04 Park Dae Gyu Method of manufacturing semiconductor devices
US6410376B1 (en) * 2001-03-02 2002-06-25 Chartered Semiconductor Manufacturing Ltd. Method to fabricate dual-metal CMOS transistors for sub-0.1 μm ULSI integration
US6365450B1 (en) * 2001-03-15 2002-04-02 Advanced Micro Devices, Inc. Fabrication of P-channel field effect transistor with minimized degradation of metal oxide gate
US6518631B1 (en) * 2001-04-02 2003-02-11 Advanced Micro Devices, Inc. Multi-Thickness silicide device formed by succesive spacers
US6727130B2 (en) * 2001-04-11 2004-04-27 Samsung Electronics Co., Ltd. Method of forming a CMOS type semiconductor device having dual gates
US6514828B2 (en) * 2001-04-20 2003-02-04 Micron Technology, Inc. Method of fabricating a highly reliable gate oxide
US6642131B2 (en) * 2001-06-21 2003-11-04 Matsushita Electric Industrial Co., Ltd. Method of forming a silicon-containing metal-oxide gate dielectric by depositing a high dielectric constant film on a silicon substrate and diffusing silicon from the substrate into the high dielectric constant film
US6420279B1 (en) * 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
US20030032303A1 (en) * 2001-08-13 2003-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Ozone-enhanced oxidation for high-k dielectric semiconductor devices
US20030045080A1 (en) * 2001-08-31 2003-03-06 Visokay Mark R. Gate structure and method
US6667246B2 (en) * 2001-12-04 2003-12-23 Matsushita Electric Industrial Co., Ltd. Wet-etching method and method for manufacturing semiconductor device
US6620713B2 (en) * 2002-01-02 2003-09-16 Intel Corporation Interfacial layer for gate electrode and high-k dielectric layer and methods of fabrication
US6696345B2 (en) * 2002-01-07 2004-02-24 Intel Corporation Metal-gate electrode for CMOS transistor applications
US6794234B2 (en) * 2002-01-30 2004-09-21 The Regents Of The University Of California Dual work function CMOS gate technology based on metal interdiffusion
US6900481B2 (en) * 2002-02-21 2005-05-31 Intel Corporation Non-silicon semiconductor and high-k gate dielectric metal oxide semiconductor field effect transistors
US6617209B1 (en) * 2002-02-22 2003-09-09 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US20030186508A1 (en) * 2002-03-26 2003-10-02 Kim Do-Hyung Methods of forming source/drain regions using multilayer side wall spacers and structures so formed
US6617210B1 (en) * 2002-05-31 2003-09-09 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US20040033678A1 (en) * 2002-08-14 2004-02-19 Reza Arghavani Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier
US6770568B2 (en) * 2002-09-12 2004-08-03 Intel Corporation Selective etching using sonication
US6746967B2 (en) * 2002-09-30 2004-06-08 Intel Corporation Etching metal using sonication
US6689675B1 (en) * 2002-10-31 2004-02-10 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6713358B1 (en) * 2002-11-05 2004-03-30 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6787440B2 (en) * 2002-12-10 2004-09-07 Intel Corporation Method for making a semiconductor device having an ultra-thin high-k gate dielectric
US6858483B2 (en) * 2002-12-20 2005-02-22 Intel Corporation Integrating n-type and p-type metal gate transistors
US6709911B1 (en) * 2003-01-07 2004-03-23 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6716707B1 (en) * 2003-03-11 2004-04-06 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6696327B1 (en) * 2003-03-18 2004-02-24 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6867102B2 (en) * 2003-05-20 2005-03-15 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6806146B1 (en) * 2003-05-20 2004-10-19 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6855639B1 (en) * 2003-08-01 2005-02-15 Intel Corporation Precise patterning of high-K films

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070037335A1 (en) * 2005-08-15 2007-02-15 Texas Instruments Incorporated Dual work function CMOS devices utilizing carbide based electrodes
US7470577B2 (en) * 2005-08-15 2008-12-30 Texas Instruments Incorporated Dual work function CMOS devices utilizing carbide based electrodes
US20090068828A1 (en) * 2005-08-15 2009-03-12 Texas Instruments Incorporated Dual work function cmos devices utilizing carbide based electrodes
US7842567B2 (en) 2005-08-15 2010-11-30 Texas Instruments Incorporated Dual work function CMOS devices utilizing carbide based electrodes
US20080001202A1 (en) * 2006-06-30 2008-01-03 Schaeffer James K A method of making metal gate transistors
US20090035911A1 (en) * 2007-07-30 2009-02-05 Willy Rachmady Method for forming a semiconductor device having abrupt ultra shallow epi-tip regions
JP2011003899A (en) * 2009-06-18 2011-01-06 Internatl Business Mach Corp <Ibm> Semiconductor structure capturing impurity oxygen for high-k gate dielectric, and method for forming the structure (capture metal stack for high-k gate dielectric)
US20110298053A1 (en) * 2010-06-03 2011-12-08 Institute of Microelectronics, Chinese Academy of Sciences Manufacturing method of gate stack and semiconductor device
US8716095B2 (en) * 2010-06-03 2014-05-06 Institute of Microelectronics, Chinese Academy of Sciences Manufacturing method of gate stack and semiconductor device
US20140065783A1 (en) * 2011-10-03 2014-03-06 International Business Machines Corporation Oxygen scavenging spacer for a gate electrode
US9059211B2 (en) 2011-10-03 2015-06-16 International Business Machines Corporation Oxygen scavenging spacer for a gate electrode
US9196707B2 (en) * 2011-10-03 2015-11-24 International Business Machines Corporation Oxygen scavenging spacer for a gate electrode
WO2013053175A1 (en) * 2011-10-11 2013-04-18 中国科学院微电子研究所 Semiconductor structure and manufacturing method thereof
US8697514B2 (en) 2011-11-10 2014-04-15 International Business Machines Corporation FinFET device
US9059021B2 (en) 2011-11-10 2015-06-16 International Business Machines Corporation FinFET device
US9048260B2 (en) 2011-12-31 2015-06-02 Intel Corporation Method of forming a semiconductor device with tall fins and using hard mask etch stops
US20150287779A1 (en) * 2011-12-31 2015-10-08 Intel Corporation Method of forming a semiconductor device with tall fins and using hard mask etch stops
TWI479659B (en) * 2011-12-31 2015-04-01 Intel Corp Methods for forming semiconductor device and semiconductor structure thereof
US9923054B2 (en) * 2011-12-31 2018-03-20 Intel Corporation Fin structure having hard mask etch stop layers underneath gate sidewall spacers
US10551317B2 (en) 2017-12-28 2020-02-04 Illumina, Inc. Detector with reduced fluorescence range noise
US10883937B2 (en) 2017-12-28 2021-01-05 Illumina, Inc. Detector with reduced fluorescence range noise
US11333604B2 (en) 2017-12-28 2022-05-17 Illumina, Inc. Detector with reduced fluorescence range noise
US11852586B2 (en) 2017-12-28 2023-12-26 Illumina, Inc. Detector with reduced fluorescence range noise

Also Published As

Publication number Publication date
US7226831B1 (en) 2007-06-05

Similar Documents

Publication Publication Date Title
US7226831B1 (en) Device with scavenging spacer layer
US7501336B2 (en) Metal gate device with reduced oxidation of a high-k gate dielectric
US7768079B2 (en) Transistors with high-k dielectric spacer liner to mitigate lateral oxide encroachement
US7402875B2 (en) Lateral undercut of metal gate in SOI device
US7776765B2 (en) Tantalum silicon oxynitride high-k dielectrics and metal gates
US8951880B2 (en) Dielectrics containing at least one of a refractory metal or a non-refractory metal
US8084370B2 (en) Hafnium tantalum oxynitride dielectric
US8546211B2 (en) Replacement gate having work function at valence band edge
US9281373B2 (en) Semiconductor device having tungsten gate electrode and method for fabricating the same
JP5535706B2 (en) Manufacturing method of semiconductor device
US7652341B2 (en) Semiconductor apparatus having a semicondutor element with a high dielectric constant film
US20080057659A1 (en) Hafnium aluminium oxynitride high-K dielectric and metal gates
JP2012004577A (en) Semiconductor device having high dielectric constant-gate insulating film, and manufacturing method of the same
US20070262399A1 (en) Sealing spacer to reduce or eliminate lateral oxidation of a high-k gate dielectric
JP2006024894A (en) Semiconductor device having high dielectric constant-gate insulating film, and manufacturing method of the same
JP2008130797A (en) Semiconductor device, and manufacturing method thereof
JP4967407B2 (en) Manufacturing method of semiconductor device
US20230377879A1 (en) Barrier layer for preventing aluminum diffusion
US20070218639A1 (en) Formation of a smooth polysilicon layer
JP4966490B2 (en) Manufacturing method of semiconductor device
US20080242114A1 (en) Thermal anneal method for a high-k dielectric

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTEL CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:METZ, MATTHEW V.;DOCZY, MARK L.;BRASK, JUSTIN K.;AND OTHERS;REEL/FRAME:017425/0630;SIGNING DATES FROM 20051208 TO 20051216

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

LAPS Lapse for failure to pay maintenance fees

Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20190605