US20070141830A1 - Methods for making integrated-circuit wiring from copper, silver, gold, and other metals - Google Patents

Methods for making integrated-circuit wiring from copper, silver, gold, and other metals Download PDF

Info

Publication number
US20070141830A1
US20070141830A1 US11/652,310 US65231007A US2007141830A1 US 20070141830 A1 US20070141830 A1 US 20070141830A1 US 65231007 A US65231007 A US 65231007A US 2007141830 A1 US2007141830 A1 US 2007141830A1
Authority
US
United States
Prior art keywords
layer
forming
conductive material
openings
mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/652,310
Inventor
Kie Ahn
Leonard Forbes
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Priority to US11/652,310 priority Critical patent/US20070141830A1/en
Publication of US20070141830A1 publication Critical patent/US20070141830A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • H01L21/76852Barrier, adhesion or liner layers the layer covering a conductive structure the layer also covering the sidewalls of the conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs

Definitions

  • the present invention concerns methods of semiconductor device or integrated circuit manufacturing, particularly methods of forming interconnects from copper and other metals.
  • Integrated circuits the key components in thousands of electronic and computer products, are interconnected networks of electrical components fabricated on a common foundation, or substrate. Fabricators typically use various techniques, such as layering, doping, masking, and etching, to build thousands and even millions of microscopic resistors, transistors, and other electrical components on a silicon substrate, known as a wafer. The components are then wired, or interconnected, together with aluminum wires to define a specific electric circuit, such as a computer memory.
  • the aluminum wires are typically about one micron thick, or about 100 times thinner than a human hair.
  • fabricators sometimes use a dual-damascene metallization technique, which takes its name from the ancient Damascan metalworking art of inlaying metal in grooves or channels to form ornamental patterns.
  • the dual-damascene technique entails covering the components on a wafer with an insulative layer of silicon dioxide, etching small holes in the insulative layer to expose portions of the components underneath, and subsequently etching shallow trenches from hole to hole to define a wiring pattern.
  • Etching the trenches and holes entails forming a mask, using photolithographic techniques, on the insulative layer.
  • the masks which typically consists of a material called photoresist, shields some portions of the insulative layer from the etchant and allows the etchant to dissolve away other portions.
  • fabricators remove the mask to expose the patterned insulative layer. They then blanket the entire insulative layer with a thin sheet of aluminum and polish off the excess, leaving behind aluminum vias, or contact plugs, in the holes and thin aluminum wires in the trenches.
  • Some circuits such as microprocessors have five or six interconnected levels, with each level formed by repeating the basic dual-damascene produce.
  • fabricators apply a new insulative layer over the first wiring layer, form another mask on the new layer, etch holes and trenches into the new layer, remove the mask, blanket the new layer with aluminum, before finally polishing off the excess to complete it.
  • Fabrication of copper-wired integrated circuits sometimes follows an extension of the dual-damascene method which includes an additional step of lining the holes and trenches of an insulative layer with a copper-diffusion barrier before blanketing the layer with copper and polishing off the excess.
  • the diffusion barrier is generally necessary because copper atoms readily diffuse through common insulators, such as silicon dioxide, resulting in unreliable or inoperative integrated circuits.
  • the copper-diffusion barrier is more than 30 nanometers thick and consists of tantalum, tantalum nitride, tantalum-silicon-nitride, titanium nitride, or tungsten nitride.
  • Filling the barrier-lined holes and trenches with copper generally entails depositing a thin copper seed layer on the copper-diffusion barrier, electroplating copper on the seed layer, and then polishing off the excess.
  • the present inventors identified at least two problems with using the extended dual-damascene technique for making the copper wiring.
  • the first is that typical copper-diffusion barriers add appreciable resistance to the copper wiring, and thus negate some promised performance advantages.
  • the second is that the number of separate procedures or steps necessary to make the copper wiring using the extended technique makes fabrication both costly and time consuming.
  • FIG. 1 is a cross-sectional view of an exemplary integrated-circuit assembly 100 , including two transistors 214 a and 214 b and a mask layer 216 with via holes 216 a and 216 b, and a trench 216 c;
  • FIG. 2 is a cross-sectional view of the FIG. 1 assembly after formation of conductive structure 218 within holes 216 a and 216 b and trench 216 c;
  • FIG. 5 is a cross-sectional view of the FIG. 4 assembly after removal of mask layers 116 and 220 to define space 224 ;
  • FIG. 6 is a cross-sectional view of the FIG. 5 assembly after forming a diffusion-barrier 226 on conductive structures 218 and 222 ;
  • FIG. 7 is a cross-sectional view of the FIG. 6 assembly after filling space 224 with one or more insulative materials to form a two-level insulative structure 228 ;
  • FIG. 7A is a cross-sectional taken along line 7 A- 7 A of FIG. 7 ;
  • FIGS. 1-7 show a number of cross-sectional views of a partial integrated-circuits assembly 100 , which taken collectively and sequentially, illustrate a unique exemplary method of making integrated circuits, and more particularly making integrated-circuit wiring in accord with teachings of the present invention.
  • the method begins with a known integrated-circuit assembly or structure 100 , which can exist within any integrated circuit, a dynamic-random-access memory, for example.
  • Assembly 100 includes a substrate 212 .
  • substrate encompasses a semiconductor wafer as well as structures having one or more insulative, conductive, or semiconductive layers and materials. Thus, for example, the term embraces silicon-on-insulator, silicon-on-sapphire, and other advanced structures.
  • Substrate 212 supports a number of integrated elements 214 , for example transistors 214 a and 214 b.
  • Transistors 214 a and 214 b are covered by a mask layer 216 , which, for example, comprises photoresist.
  • the transistors are metal-oxide-semiconductor field-effect transistors (MOSFETs); however, in other embodiments, the transistors are other types of field-effect transistors or bipolar junction transistors, or mixed transistor types. Still other embodiments use other types of integrated devices.
  • MOSFETs metal-oxide-semiconductor field-effect transistors
  • FIG. 2 shows that the exemplary method next forms a conductive structure 218 on mask 216 , with one or more portions of the conductive structure contacting one or more exposed portions of the transistors.
  • this entails depositing a 20-30-nanometer-thick copper-, silver-, or gold-based seed layer (not shown separately) using a chemical-vapor-deposition, ionized-magnetron sputtering technique, or DC magnetron self-sputtering technique, and then electroplating additional copper-, silver-, or gold-based material on the seed layer to a total thickness of, for example, 0.5 microns.
  • a copper-, silver-, or gold-based material includes at least 25 weight-percent of the base material.
  • An exemplary chemical-vapor-deposition technique follows a procedure such as that described in Y. Senzaki, “Chemical Vapor Deposition of Copper Using a New Liquid Precursor with Improved Thermal Stability,” MRS Conference Proceedings of Advanced Metallization and Interconnect Systems for ULSI Applications in 1997, ULSI XIII, P. 451-455, 1998, which is incorporated herein by reference. This procedure yields copper films at a typical deposition rate of 150-170 nanometers per minute at wafer temperatures of 195-225° C. The resistance of these films is in the range of 2.0 micro-ohm-centimeter after annealing at 400° C. for five minutes.
  • Exemplary ionized sputtering technique and d-c magnetron sputtering techniques follow procedures similar to those outlined in S. M. Rossnagel et al., Metal Ion Deposition from Ionized Magnetron Sputtering Discharge,” J. Vac. Sci. Technology B, 12(1), p. 449-453, 1994. And Z. J. Radzimski et al, “Directional Copper Deposition using D-C Magnetron Self-sputtering,” J. Vac. Sci Technology B 16(3), p. 1102-1106, 1998.
  • the exemplary method forms a diffusion barrier 226 on at least portions of conductive structures 218 and 222 .
  • this entails growing or depositing a two-to-six nanometer-thick layer of WSiN over substantially all of conductive structures 218 and 222 .
  • Exemplary formation of this layer of WSiN occurs within a hybrid reaction chamber such as that described in co-filed and co-assigned patent application entitled Methods and Apparatus for Making Copper Wiring in Integrated Circuits. This application, attorney docket 303.618US1 (99-0469), is incorporated herein by reference.
  • exemplary formation of diffusion barrier 226 entails forming a graded composition of tungsten silicide (WSi x ), with x varying from 2.0 to 2.5. This entails heating the assembly to a temperature of 360° C. and introducing hydrogen, tungsten hexafluoride, and silane gases into a process chamber enclosing the assembly.
  • the exemplary embodiment introduces the hydrogen and tungsten hexaflouride gases about one-to-three seconds before introducing the silane gas and stops introducing the silane gas about one-to-three seconds before stopping introduction of the hydrogen and tungsten hexaflouride.
  • Exemplary flow rates for the silane and tungsten hexaflouride gases are respectively 1000 sccm and 14 sccm. These flow rates result in a composition of WSi 2.3 , with a growth rate of approximately 50 nanometers per minute.
  • the exemplary method nitrides the graded composition of WSi x , forming WSi x N y .
  • the exemplary nitridation follows an electron-cyclotron-resonance (ECR) plasma nitridation procedure.
  • ECR electron-cyclotron-resonance
  • One version of this procedure is described in A. Hirata et al., WSiN Diffusion Barrier Formed by ECR Plasma Nitridation for Copper Damascene Interconnection, Extended Abstracts of 1998 International Conference on Solid State Devices and Materials, p. 260-261, which is incorporated herein by reference. This entails introducing nitrogen gas and argon gas into the chamber, with the argon gas exciting a plasma.
  • the WSi x N y is not a compound-forming barrier, but a stuffed barrier, which prevents diffusion by stuffing nitrogen atoms into diffusion paths, such as interstitial sites, within the tungsten silicide.
  • Other embodiments uses diffusion barriers having different compositions and thicknesses, and some entirely omit a diffusion barrier.
  • FIG. 8 shows one example of the unlimited number of applications for one or more embodiments of the present invention: a generic integrated memory circuit 600 .
  • Circuit 600 which operates according to well-known and understood principles, is generally coupled to a processor (not shown) to form a computer system. More precisely, circuit 600 includes a memory array 642 which comprises a number of memory cells 643 a - 643 d , a column address decoder 644 , and a row address decoder 645 , bit lines 646 , word lines 647 , and voltage-sense-amplifier circuit 648 coupled to bit lines 646 .
  • each of the memory cells, the address decoders, and the amplifier circuit includes one or more copper-, silver, or gold-based conductors according to the present invention.
  • Other embodiments use conductors of other materials, made in accord with one or more methods of the present invention.
  • connections between the address decoders, the memory array, the amplifier circuit are implemented using similar interconnects.

Abstract

Integrated circuits, the key components in thousands of electronic and computer products, include interconnected networks of electrical components. The components are typically wired, or interconnected, together with aluminum wires. In recent years, researchers have begun using copper instead of aluminum to form integrated-circuit wiring, because copper offers lower electrical resistance and better reliability at smaller dimensions. However, copper typically requires use of a diffusion barrier to prevent it from contaminating other parts of an integrated circuit. Unfortunately, typical diffusion barrier materials add appreciable resistance to the copper wiring, and thus negate some advantages of using copper. Moreover, conventional methods of forming the copper wiring are costly and time consuming. Accordingly, the inventors devised one or more exemplary methods for making integrated-circuit wiring from materials, such as copper-, silver-, and gold-based metals. One exemplary method removes two or more masks in a single removal procedure, forms a low-resistance diffusion barrier on two or more wiring levels in a single formation procedure, and fills insulative material around and between two or more wiring levels in a single fill procedure. This and other embodiments hold the promise of simplifying fabrication of integrated-circuit wiring dramatically.

Description

    RELATED APPLICATIONS
  • This application is a Continuation of U.S. application Ser. No. 09/484,303, filed Jan. 18, 2000, which is incorporated herein be reference.
  • TECHNICAL FIELD
  • The present invention concerns methods of semiconductor device or integrated circuit manufacturing, particularly methods of forming interconnects from copper and other metals.
  • BACKGROUND OF THE INVENTION
  • Integrated circuits, the key components in thousands of electronic and computer products, are interconnected networks of electrical components fabricated on a common foundation, or substrate. Fabricators typically use various techniques, such as layering, doping, masking, and etching, to build thousands and even millions of microscopic resistors, transistors, and other electrical components on a silicon substrate, known as a wafer. The components are then wired, or interconnected, together with aluminum wires to define a specific electric circuit, such as a computer memory. The aluminum wires are typically about one micron thick, or about 100 times thinner than a human hair.
  • To form the aluminum wires, fabricators sometimes use a dual-damascene metallization technique, which takes its name from the ancient Damascan metalworking art of inlaying metal in grooves or channels to form ornamental patterns. The dual-damascene technique entails covering the components on a wafer with an insulative layer of silicon dioxide, etching small holes in the insulative layer to expose portions of the components underneath, and subsequently etching shallow trenches from hole to hole to define a wiring pattern.
  • Etching the trenches and holes entails forming a mask, using photolithographic techniques, on the insulative layer. The masks, which typically consists of a material called photoresist, shields some portions of the insulative layer from the etchant and allows the etchant to dissolve away other portions. After etching, fabricators remove the mask to expose the patterned insulative layer. They then blanket the entire insulative layer with a thin sheet of aluminum and polish off the excess, leaving behind aluminum vias, or contact plugs, in the holes and thin aluminum wires in the trenches.
  • The complexity of some integrated circuits demand several interconnected levels of wiring. Some circuits, such as microprocessors, have five or six interconnected levels, with each level formed by repeating the basic dual-damascene produce. For example, to form a second wiring level, fabricators apply a new insulative layer over the first wiring layer, form another mask on the new layer, etch holes and trenches into the new layer, remove the mask, blanket the new layer with aluminum, before finally polishing off the excess to complete it.
  • In recent years, researchers have begun using copper instead of aluminum to form integrated-circuit wiring, because copper offers lower electrical resistance and better reliability at smaller dimensions. Fabrication of copper-wired integrated circuits sometimes follows an extension of the dual-damascene method which includes an additional step of lining the holes and trenches of an insulative layer with a copper-diffusion barrier before blanketing the layer with copper and polishing off the excess. (The diffusion barrier is generally necessary because copper atoms readily diffuse through common insulators, such as silicon dioxide, resulting in unreliable or inoperative integrated circuits.) Typically, the copper-diffusion barrier is more than 30 nanometers thick and consists of tantalum, tantalum nitride, tantalum-silicon-nitride, titanium nitride, or tungsten nitride. Filling the barrier-lined holes and trenches with copper generally entails depositing a thin copper seed layer on the copper-diffusion barrier, electroplating copper on the seed layer, and then polishing off the excess.
  • The present inventors identified at least two problems with using the extended dual-damascene technique for making the copper wiring. The first is that typical copper-diffusion barriers add appreciable resistance to the copper wiring, and thus negate some promised performance advantages. And, the second is that the number of separate procedures or steps necessary to make the copper wiring using the extended technique makes fabrication both costly and time consuming.
  • Accordingly, there is a need for better ways of making copper wiring for integrated circuits.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a cross-sectional view of an exemplary integrated-circuit assembly 100, including two transistors 214 a and 214 b and a mask layer 216 with via holes 216 a and 216 b, and a trench 216 c;
  • FIG. 2 is a cross-sectional view of the FIG. 1 assembly after formation of conductive structure 218 within holes 216 a and 216 b and trench 216 c;
  • FIG. 3 is a cross-sectional view of the FIG. 2 integrated-circuit assembly after formation of a mask layer 220 on conductive structure 218;
  • FIG. 4 is a cross-sectional view of the FIG. 3 assembly after formation of a conductive structure 222 on mask layer 220;
  • FIG. 5 is a cross-sectional view of the FIG. 4 assembly after removal of mask layers 116 and 220 to define space 224;
  • FIG. 6 is a cross-sectional view of the FIG. 5 assembly after forming a diffusion-barrier 226 on conductive structures 218 and 222;
  • FIG. 7 is a cross-sectional view of the FIG. 6 assembly after filling space 224 with one or more insulative materials to form a two-level insulative structure 228;
  • FIG. 7A is a cross-sectional taken along line 7A-7A of FIG. 7;
  • FIG. 8 is a block diagram of an exemplary integrated memory circuit which incorporates the present invention.
  • DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • The following detailed description, which references and incorporates FIGS. 1-8, describes and illustrates specific embodiments of the invention. These embodiments, offered not to limit but only to exemplify and teach the concepts of the invention, are shown and described in sufficient detail to enable those skilled in the art to implement or practice the invention. Thus, where appropriate to avoid obscuring the invention, the description may omit certain information known to those of skill in the art.
  • FIGS. 1-7 show a number of cross-sectional views of a partial integrated-circuits assembly 100, which taken collectively and sequentially, illustrate a unique exemplary method of making integrated circuits, and more particularly making integrated-circuit wiring in accord with teachings of the present invention. The method, as shown in FIG. 1, begins with a known integrated-circuit assembly or structure 100, which can exist within any integrated circuit, a dynamic-random-access memory, for example. Assembly 100 includes a substrate 212. The term “substrate,” as used herein, encompasses a semiconductor wafer as well as structures having one or more insulative, conductive, or semiconductive layers and materials. Thus, for example, the term embraces silicon-on-insulator, silicon-on-sapphire, and other advanced structures.
  • Substrate 212 supports a number of integrated elements 214, for example transistors 214 a and 214 b. Transistors 214 a and 214 b are covered by a mask layer 216, which, for example, comprises photoresist. In the exemplary embodiment, the transistors are metal-oxide-semiconductor field-effect transistors (MOSFETs); however, in other embodiments, the transistors are other types of field-effect transistors or bipolar junction transistors, or mixed transistor types. Still other embodiments use other types of integrated devices.
  • Layer 216 includes two exemplary via holes 216 a and 216 b positioned over respective contact regions (not shown) of transistors 214 a and 214 b and a trench 216 c connecting the via holes. The exemplary embodiment forms layer 216 from photoresist, through use of spincoating, lithography, and photoresist remover. Some embodiments use plasma ashing to pattern the photoresist. Also, in the exemplary embodiment, via holes 216 a and 216 b are cylindrical with diameters of about 1000 nanometers and depths of about 500 nanometers. Trench 216 c is less than 0.50 microns wide and at least one micron deep. The invention, however, is not limited to any particular mask material, formation technique, geometry, or dimensions.
  • FIG. 2 shows that the exemplary method next forms a conductive structure 218 on mask 216, with one or more portions of the conductive structure contacting one or more exposed portions of the transistors. In the exemplary embodiment, this entails depositing a 20-30-nanometer-thick copper-, silver-, or gold-based seed layer (not shown separately) using a chemical-vapor-deposition, ionized-magnetron sputtering technique, or DC magnetron self-sputtering technique, and then electroplating additional copper-, silver-, or gold-based material on the seed layer to a total thickness of, for example, 0.5 microns. (As used herein, a copper-, silver-, or gold-based material includes at least 25 weight-percent of the base material.) An exemplary chemical-vapor-deposition technique follows a procedure such as that described in Y. Senzaki, “Chemical Vapor Deposition of Copper Using a New Liquid Precursor with Improved Thermal Stability,” MRS Conference Proceedings of Advanced Metallization and Interconnect Systems for ULSI Applications in 1997, ULSI XIII, P. 451-455, 1998, which is incorporated herein by reference. This procedure yields copper films at a typical deposition rate of 150-170 nanometers per minute at wafer temperatures of 195-225° C. The resistance of these films is in the range of 2.0 micro-ohm-centimeter after annealing at 400° C. for five minutes.
  • Exemplary ionized sputtering technique and d-c magnetron sputtering techniques follow procedures similar to those outlined in S. M. Rossnagel et al., Metal Ion Deposition from Ionized Magnetron Sputtering Discharge,” J. Vac. Sci. Technology B, 12(1), p. 449-453, 1994. And Z. J. Radzimski et al, “Directional Copper Deposition using D-C Magnetron Self-sputtering,” J. Vac. Sci Technology B 16(3), p. 1102-1106, 1998. Exemplary conditions for the ionized-magnetron sputtering operation are: target power range of 10-30 kilowatts for a 200-300 millimeter diameter wafer (or integrated-circuit assembly), RF coil power at 3-5 kilowatts, negative DC bias of 100-200 volts, sputtering argon gas pressurized at 1-35 millitorrs. Ionized-magnetron sputtering, which provides greater acceleration of the metal deposition material than conventional sputtering, forces the sputtered material to more closely conform to the interior profiles of holes and trenches of the targeted surface.
  • Notably, the exemplary embodiment omits formation of an adhesion layer to promote adhesion of copper (or other materials) to the mask layer. Some embodiments use a 20-50 nanometer-thick layer of titanium nitride (TiN) over the transistor contacts as an adhesion layer and a diffusion barrier. However, other embodiments provide an adhesion layer of titanium nitride. After depositing the conductive material, the exemplary method removes excess material, for example, using a chemical-mechanical planarization or polishing procedure.
  • Next, as FIG. 3 shows, the exemplary method forms a mask layer 220 over conductive structure 218. Mask layer 220 includes an opening (via) 220 a which exposes a portion of conductive structure 218 and a trench 220 b which intersects opening 220 a. Exemplary formation of conductive structure follows a procedure similar to that used to form mask layer 216 and occurs with at least a portion of mask layer 216 still in place.
  • FIG. 4 shows that the exemplary method next forms a conductive structure 222 on mask 216, with portions of structure 222 contacting exposed portions of conductive structure 218. In the exemplary embodiment, this entails depositing a 20-30-nanometer-thick copper-, silver-, or gold-based seed layer and electroplating additional copper-, silver-, or gold-based material to an exemplary thickness of 0.5 microns. Excess material is then removed using a chemical-mechanical planarization or polishing procedure. Subsequently, one or more higher-level conductive structures can be formed similarly. FIG. 5 shows that after forming conductive structure 222, the method removes at least a portion of mask structures 216 and 220, defining one or more spaces or voids 224 around conductive structures 218 and 222. Without the surrounding masks, conductive structures 218 and 222 appears as a two-level airbridge. The exemplary embodiment removes substantially all of the mask structures by ashing them in an oxygen plasma.
  • After removal of the mask structures, the exemplary method forms a diffusion barrier 226 on at least portions of conductive structures 218 and 222. In the exemplary embodiment, this entails growing or depositing a two-to-six nanometer-thick layer of WSiN over substantially all of conductive structures 218 and 222. Exemplary formation of this layer of WSiN occurs within a hybrid reaction chamber such as that described in co-filed and co-assigned patent application entitled Methods and Apparatus for Making Copper Wiring in Integrated Circuits. This application, attorney docket 303.618US1 (99-0469), is incorporated herein by reference.
  • More particularly, exemplary formation of diffusion barrier 226 entails forming a graded composition of tungsten silicide (WSix), with x varying from 2.0 to 2.5. This entails heating the assembly to a temperature of 360° C. and introducing hydrogen, tungsten hexafluoride, and silane gases into a process chamber enclosing the assembly. The exemplary embodiment introduces the hydrogen and tungsten hexaflouride gases about one-to-three seconds before introducing the silane gas and stops introducing the silane gas about one-to-three seconds before stopping introduction of the hydrogen and tungsten hexaflouride. Exemplary flow rates for the silane and tungsten hexaflouride gases are respectively 1000 sccm and 14 sccm. These flow rates result in a composition of WSi2.3, with a growth rate of approximately 50 nanometers per minute.
  • To complete the diffusion barrier, the exemplary method nitrides the graded composition of WSix, forming WSixNy. The exemplary nitridation follows an electron-cyclotron-resonance (ECR) plasma nitridation procedure. One version of this procedure is described in A. Hirata et al., WSiN Diffusion Barrier Formed by ECR Plasma Nitridation for Copper Damascene Interconnection, Extended Abstracts of 1998 International Conference on Solid State Devices and Materials, p. 260-261, which is incorporated herein by reference. This entails introducing nitrogen gas and argon gas into the chamber, with the argon gas exciting a plasma. In the exemplary embodiment, the WSixNy is not a compound-forming barrier, but a stuffed barrier, which prevents diffusion by stuffing nitrogen atoms into diffusion paths, such as interstitial sites, within the tungsten silicide. Other embodiments uses diffusion barriers having different compositions and thicknesses, and some entirely omit a diffusion barrier.
  • FIG. 7 shows that after completion of diffusion barrier 226, the exemplary method fills at least a portion of the remainder of space 224 (denoted 224′ in FIG. 6) with one or more insulative materials to form a two-level insulative structure 228. The exemplary embodiment fills substantially all of space 224, which was previously occupied by mask structures 216 and 220, with a single dielectric material using a single procedure. More particularly, the exemplary embodiment vapor deposits a silicon oxide, such as SiO2, or low-k (that is, low-dielectric-constant) materials, such as xerogels or aerogels. Various methods, such as physical-vapor deposition, chemical-vapor deposition, spin-coating, sol-gel procedures, and so forth can be used to apply these dielectrics.
  • FIG. 8 shows one example of the unlimited number of applications for one or more embodiments of the present invention: a generic integrated memory circuit 600. Circuit 600, which operates according to well-known and understood principles, is generally coupled to a processor (not shown) to form a computer system. More precisely, circuit 600 includes a memory array 642 which comprises a number of memory cells 643 a-643 d, a column address decoder 644, and a row address decoder 645, bit lines 646, word lines 647, and voltage-sense-amplifier circuit 648 coupled to bit lines 646.
  • In the exemplary embodiment, each of the memory cells, the address decoders, and the amplifier circuit includes one or more copper-, silver, or gold-based conductors according to the present invention. Other embodiments, use conductors of other materials, made in accord with one or more methods of the present invention. In addition, connections between the address decoders, the memory array, the amplifier circuit are implemented using similar interconnects.
  • CONCLUSION
  • In furtherance of the art, the inventors have one or more exemplary methods for making integrated-circuit wiring from materials, such as copper-, silver-, and gold-based metals, some of which allow fabrication of wiring with fewer steps and lower electrical resistance than some conventional methods. One exemplary method initially forms a first mask and a first metal structure on the first mask and then forms a second mask and a second metal structure on the second mask, with the first mask and first metal structure still in place. Continuing, this exemplary method removes both masks in a single removal procedure, forms a diffusion barrier to both metal structures in a single formation procedure, and fills insulative material in and around both metal structures in a single fill procedure. Applying one or more procedures across multiple wiring levels, as in this embodiment, ultimately precludes the necessity of applying these procedures separately to each wiring level and thus promises to simplify fabrication.
  • The embodiments described above are intended only to illustrate and teach one or more ways of practicing or implementing the present invention, not to restrict its breadth or scope. The actual scope of the invention, which embraces all ways of practicing or implementing the invention, is defined only by the following claims and their equivalents.

Claims (47)

1. A method of making integrated circuits, comprising:
forming a first mask layer having a first plurality of openings in an insulator layer having a specified vertical thickness on a semiconductor substrate having at least one transistor structure, at least one of the plurality of openings extending completely through the insulator layer to selected contact portions of the transistor;
forming a first conductive material layer on the first mask layer and inside each one of the first plurality of openings;
forming a second mask layer having a second plurality of openings, at least one openings exposing a portion of the first conductive material layer;
forming a second conductive material layer disposed upon the first conductive material and extending upward to a top surface of the second mask layer;
forming a third mask layer having a third plurality of openings, at least one opening exposing a portion of the second conductive material layer; and
forming a third conductive material layer disposed upon the second conductive material and extending upward to a top surface of the third mask layer.
2. The method of claim 1, wherein forming the first and second plurality of openings includes at least one opening having a length at least five times longer than a width to form a trench.
3. The method of claim 2, wherein forming a trench includes the trench having a depth that does not extend completely through the mask layer, and having a horizontal extent to contact at least one of the plurality of openings extending completely through the insulator layer to selected contact portions of the transistor.
4. The method of claim 1, wherein the insulator layer has a thickness of zero.
5. The method of claim 1, wherein forming the first mask layer includes coating the semiconductor substrate and insulator layer with a photosensitive polymer layer.
6. The method of claim 1, wherein forming the first mask layer includes removing an exposed portion of the insulator layer.
7. The method of claim 1, wherein forming the first mask layer includes coating the semiconductor substrate and insulator layer with a first photosensitive polymer layer, exposing the first photosensitive polymer layer to a first optical pattern of light and dark areas, developing the first pattern to form voids in the first photosensitive layer, baking the first photosensitive layer, coating the first photosensitive layer with a second photosensitive polymer layer, exposing the second photosensitive polymer layer to a second pattern of light and dark areas different from the first pattern, developing the second pattern to form voids in the second photosensitive layer, and baking the second photosensitive layer to form the first mask layer.
8. The method of claim 1, wherein forming the openings extending completely through the insulator layer to selected contact portions of the transistor includes forming a diffusion barrier on the selected contact portions of the transistor between the transistor contact areas and the first conductive material layer.
9. The method of claim 1, wherein the second plurality of openings is at least partially different from the first plurality of openings.
10. The method of claim 1, wherein the second plurality of openings has a diffusion barrier formed prior to the formation of the second conductive material layer disposed upon the first conductive material.
11. The method of claim 1, further including forming a plating seed layer after the formation of the first plurality of openings and prior to the formation of the first conductive material layer.
12. The method of claim 1, wherein further forming the first conductive material layer includes electroplating to a thickness approximately equal to the first mask layer.
13. The method of claim 1, further including removing substantially all of the first, second and third mask layers resulting in at least some portions of the first second and third conductive material layers being surrounded by a gas on a top, a bottom and side areas.
14. The method of claim 13, further including forming a diffusion barrier layer on substantially all portions of the first, second and third conductive materials not contacting one of the selected portions of the transistor, one of the other conductive material layers, and the insulator layer.
15. The method of claim 14, further including forming a dielectric layer surrounding substantially all portions of the first, second and third conductive materials not contacting one of the selected portions of the transistor, one of the other conductive material layers, and the insulator layer.
16. The method of claim 13, wherein the first, second and third mask layers are formed of a photosensitive polymer layer and the method of removing substantially all of the first, second and third mask layers includes an oxygen plasma operation.
17. The method of claim 16, wherein the oxygen plasma removes the first, second and third mask layers in a single operation.
18. A method, comprising:
a step for forming a conductive structure;
a step for forming a diffusion-barrier lining around the conductive structure after forming the conductive structure; and
a step for forming an insulative structure around the conductive structure after forming the diffusion-barrier lining.
19. The method of claim 18, wherein the conductive structure is formed on a surface of a semiconductor substrate by electroplating a conductive material on a patterned photo-resist layer forming contact holes to the substrate.
20. The method of claim 19, further including a step of forming a seed layer on the patterned photo-resist layer prior to electroplating.
21. The method of claim 20, further including electroplating for a time period sufficient for a thickness of the conductive material to substantially equal a thickness of the photo-resist layer.
22. The method of claim 18, further including forming the conductive structure by one of sputtering and evaporation of a conductive material on a patterned photo-resist layer forming contact holes to the substrate, and chemical mechanical polishing of the conductive material to result in the top surface of the conductive material being substantially level with a top surface of the photo-resist layer.
23. The method of claim 21, further including removal of the patterned photo-resist layer to result in an air bridge conductor arrangement.
24. The method of claim 23, further including removing the patterned photo-resist by a plasma oxygen operation.
25. The method of claim 18, wherein forming the diffusion barrier layer includes forming a layer of tungsten silicide in contact with a majority of the top, side and bottom surfaces of the conductive structure.
26. The method of claim 25, wherein the diffusion barrier layer includes forming a graded composition of tungsten silicon nitride with the nitrogen composition varying from substantially zero at an interface of the diffusion barrier with the conductive material.
27. The method of claim 26, wherein the diffusion barrier is formed by introducing tungsten hexafluoride and hydrogen gas into a processing chamber at a selected temperature and pressure, introducing silane gas into the processing chamber after the tungsten hexafluoride gas has substantially filled the processing chamber, and terminating the flow of silane before terminating the flow of the tungsten hexafluoride.
28. The method of claim 18, wherein the conductive material is selected from at least one of gold, silver, copper, titanium, tungsten, alloys thereof, silicides thereof, nitrides thereof, and combinations thereof.
29. The method of claim 19, wherein forming an insulative structure around the conductive structure after forming the diffusion-barrier lining includes providing a liquid dielectric material and thermally treating the dielectric material to form a solid dielectric substantially surrounding all portions of the conductive structure not in direct contact with the substrate.
30. The method of claim 18, further including at least a second conductive structure disposed upon the first conductive structure forming a two layer air bridge arrangement, and the diffusion-barrier lining formed around all the conductive structures, substantially covering all exposed portions.
31. A method of making an integrated circuit, comprising:
a step for forming a first mask layer in an integrated circuit assembly having a first plurality of openings;
a step for forming a second mask layer having a second plurality of openings;
a step for forming a first conductive material layer having a thickness of from 20 to 30 nanometers in the first and second plurality of openings;
a step for forming a second conductive material layer having a thickness of from 300 to 600 nanometers upon the first conductive material and extending upward to a top surface of the second mask layer;
a step for forming a third mask layer having a third plurality of openings;
a step for forming a fourth mask layer with a fourth plurality of opening;
a step for forming a third conductive material having a thickness of from 20 to 30 nanometers in the third and fourth plurality of openings and contacting the second conductive material;
a step for forming a fourth conductive material having a thickness of from 300 to 600 upon the third conductive material and extending upward to a top surface of the fourth mask layer;
a step for removing substantially all of the mask layers; and
a step for forming a diffusion barrier layer on substantially all portions of the conductive materials not directly in contact with one of the integrated circuit and portions of other ones of the conductive materials.
32. The method of claim 31, further including forming at least some of the first plurality of openings to portions of the integrated circuit.
33. The method of claim 31, wherein removing substantially all of the mask layers is performed in a single operation including at least one of plasma ashing, plasma etching, solvent striping, chemical dissolution and thermal decomposition.
34. The method of claim 31, wherein the removing operation creates a void between a majority of all surfaces of the conductive materials, and the void is substantially filled with at least one of an aerogel, silicon dioxide, xerogel, polyimide, siloxanes and silicon oxynitrides.
35. The method of claim 31, further including forming an adhesion promoter layer prior to forming the second conductive material.
36. The method of claim 35, wherein the adhesion promoter layer includes titanium nitride.
37. The method of claim 31, wherein the forming of at least one of the conductive material layers includes at least one of sputter deposition, ionized magnetron sputtering, DC magnetron sputtering, evaporation deposition, chemical vapor deposition, electroplating, electroless plating and chemical-mechanical polishing, and wherein at least one conductive material is selected from at least one of gold, silver, copper, titanium, tungsten, alloys thereof, silicides thereof, nitrides thereof, and combinations thereof.
38. A method of making an integrated circuit, comprising:
a step for forming a first wiring level in an integrated circuit assembly;
a step for forming a second wiring level in the integrated circuit assembly; and
a step for forming a diffusion barrier layer around at least a portion of the first and second wiring levels in a single barrier formation procedure.
39. The method of claim 38, further including forming the first and second wiring levels as air bridges having substantially all the top, bottom, and side surfaces of the wiring exposed to a gas.
40. The method of claim 38, further including forming the diffusion barrier layer on substantially all the top, bottom, and side surfaces of the wiring.
41. The method of claim 38, wherein the first and second wiring layers are formed by embedding a conductive material in holes and trenches formed in a patterned photo-resist layer.
42. The method of claim 41, further comprising forming the first and second wiring layers by sputtering the conductive material, and planarizing the conductive material by chemical mechanical polishing to a level approximately equal to a top surface of the patterned photo-resist layer.
43. The method of claim 38, wherein the first and second wiring layers are formed by electroplating a conductive material in holes and trenches formed in a patterned photo-resist layer.
44. The method of claim 43, further comprising terminating the electroplating operation when a thickness of the conductive material is approximately equal to a thickness of the patterned photo-resist layer.
45. The method of claim 44, further comprising removing the patterned photo-resist layers in a single operation.
46. The method of claim 45, wherein further, after removing the patterned photo-resist layers, forming the diffusion barrier surrounding substantially the entirety of a top, a bottom and a side portion of the first and second wiring levels in a single operation.
47. The method of claim 46, wherein further, a void formed by the removing of the patterned photo-resist layers is substantially filled with at least one of an aerogel, silicon dioxide, xerogel, polyimide, siloxanes and silicon oxynitrides.
US11/652,310 2000-01-18 2007-01-11 Methods for making integrated-circuit wiring from copper, silver, gold, and other metals Abandoned US20070141830A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/652,310 US20070141830A1 (en) 2000-01-18 2007-01-11 Methods for making integrated-circuit wiring from copper, silver, gold, and other metals

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/484,303 US7262130B1 (en) 2000-01-18 2000-01-18 Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US11/652,310 US20070141830A1 (en) 2000-01-18 2007-01-11 Methods for making integrated-circuit wiring from copper, silver, gold, and other metals

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/484,303 Continuation US7262130B1 (en) 2000-01-18 2000-01-18 Methods for making integrated-circuit wiring from copper, silver, gold, and other metals

Publications (1)

Publication Number Publication Date
US20070141830A1 true US20070141830A1 (en) 2007-06-21

Family

ID=34102658

Family Applications (5)

Application Number Title Priority Date Filing Date
US09/484,303 Expired - Lifetime US7262130B1 (en) 2000-01-18 2000-01-18 Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US10/931,541 Expired - Lifetime US7253521B2 (en) 2000-01-18 2004-08-31 Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US11/457,099 Expired - Fee Related US7402516B2 (en) 2000-01-18 2006-07-12 Method for making integrated circuits
US11/458,975 Expired - Fee Related US7368378B2 (en) 2000-01-18 2006-07-20 Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US11/652,310 Abandoned US20070141830A1 (en) 2000-01-18 2007-01-11 Methods for making integrated-circuit wiring from copper, silver, gold, and other metals

Family Applications Before (4)

Application Number Title Priority Date Filing Date
US09/484,303 Expired - Lifetime US7262130B1 (en) 2000-01-18 2000-01-18 Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US10/931,541 Expired - Lifetime US7253521B2 (en) 2000-01-18 2004-08-31 Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US11/457,099 Expired - Fee Related US7402516B2 (en) 2000-01-18 2006-07-12 Method for making integrated circuits
US11/458,975 Expired - Fee Related US7368378B2 (en) 2000-01-18 2006-07-20 Methods for making integrated-circuit wiring from copper, silver, gold, and other metals

Country Status (1)

Country Link
US (5) US7262130B1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7745934B2 (en) 2000-01-18 2010-06-29 Micron Technology, Inc. Integrated circuit and seed layers
US8779596B2 (en) 2000-01-18 2014-07-15 Micron Technology, Inc. Structures and methods to enhance copper metallization

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US7211512B1 (en) * 2000-01-18 2007-05-01 Micron Technology, Inc. Selective electroless-plated copper metallization
US7262130B1 (en) * 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US6921551B2 (en) 2000-08-10 2005-07-26 Asm Nutool, Inc. Plating method and apparatus for controlling deposition on predetermined portions of a workpiece
US6511912B1 (en) * 2000-08-22 2003-01-28 Micron Technology, Inc. Method of forming a non-conformal layer over and exposing a trench
US7687917B2 (en) 2002-05-08 2010-03-30 Nec Electronics Corporation Single damascene structure semiconductor device having silicon-diffused metal wiring layer
JP4316469B2 (en) * 2004-10-15 2009-08-19 株式会社東芝 Automatic design equipment
US7361585B2 (en) * 2004-12-23 2008-04-22 Advantech Global, Ltd System for and method of planarizing the contact region of a via by use of a continuous inline vacuum deposition
TWI395258B (en) * 2005-11-11 2013-05-01 Semiconductor Energy Lab Manufacturing method of microstructure and microelectromechanical system
CN101432251A (en) * 2006-04-27 2009-05-13 佳能株式会社 4-arylfluorene compound and organic light-emitting device using same
US8500985B2 (en) * 2006-07-21 2013-08-06 Novellus Systems, Inc. Photoresist-free metal deposition
KR100760920B1 (en) * 2006-07-25 2007-09-21 동부일렉트로닉스 주식회사 Method for forming copper metal lines in semiconductor integrated circuit devices
WO2008137480A2 (en) * 2007-05-01 2008-11-13 Dsm Solutions, Inc. Active area junction isolation structure and junction isolated transistors including igfet, jfet and mos transistors and method for making
TWI514608B (en) * 2010-01-14 2015-12-21 Dow Global Technologies Llc Moisture resistant photovoltaic devices with exposed conductive grid
WO2011100085A2 (en) * 2010-02-09 2011-08-18 Dow Global Technologies Inc. Moisture resistant photovoltaic devices with improved adhesion of barrier film
US8957519B2 (en) * 2010-10-22 2015-02-17 International Business Machines Corporation Structure and metallization process for advanced technology nodes
JP2012148428A (en) * 2011-01-17 2012-08-09 Toshiba Tec Corp Method of manufacturing inkjet head
US8525339B2 (en) 2011-07-27 2013-09-03 International Business Machines Corporation Hybrid copper interconnect structure and method of fabricating same
US20140120711A1 (en) * 2012-10-26 2014-05-01 United Microelectronics Corp. Method of forming metal gate
US9312203B2 (en) 2013-01-02 2016-04-12 Globalfoundries Inc. Dual damascene structure with liner
KR101983137B1 (en) * 2013-03-04 2019-05-28 삼성전기주식회사 Power inductor and manufacturing method thereof
KR101998788B1 (en) 2013-04-22 2019-07-11 삼성전자주식회사 Semiconductor Devices and Methods of Fabricating the Same
US9564362B2 (en) * 2015-02-05 2017-02-07 International Business Machines Corporation Interconnects based on subtractive etching of silver
US9286917B1 (en) 2015-04-30 2016-03-15 Seagate Technology Llc Write pole formed with evaporation deposition
US11217532B2 (en) * 2018-03-14 2022-01-04 Sandisk Technologies Llc Three-dimensional memory device containing compositionally graded word line diffusion barrier layer for and methods of forming the same
CN109545738A (en) * 2018-11-12 2019-03-29 苏州汉骅半导体有限公司 Air bridges manufacturing method
US11094655B2 (en) 2019-06-13 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same

Citations (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2244608A (en) * 1939-02-09 1941-06-03 Cooper Wilford Beryllium Ltd Process of making alloys of aluminum beryllium
US2842438A (en) * 1956-08-02 1958-07-08 American Metal Climax Inc Copper-zirconium alloys
US3642448A (en) * 1969-10-10 1972-02-15 Parsons Co Ralph M Process for removal of hydrogen sulfide from gas streams
US3658849A (en) * 1969-02-19 1972-04-25 Reynolds Tobacco Co R Treatment of 2-substituted aldehydes with lead dioxide
US3954570A (en) * 1974-11-11 1976-05-04 Amp Incorporated Sensitized polyimides and circuit elements thereof
US4213818A (en) * 1979-01-04 1980-07-22 Signetics Corporation Selective plasma vapor etching process
US4386116A (en) * 1981-12-24 1983-05-31 International Business Machines Corporation Process for making multilayer integrated circuit substrate
US4394223A (en) * 1981-10-06 1983-07-19 The United States Of America As Represented By The Secretary Of The Air Force Tin and gold plating process
US4423547A (en) * 1981-06-01 1984-01-03 International Business Machines Corporation Method for forming dense multilevel interconnection metallurgy for semiconductor devices
US4565157A (en) * 1983-03-29 1986-01-21 Genus, Inc. Method and apparatus for deposition of tungsten silicides
US4574095A (en) * 1984-11-19 1986-03-04 International Business Machines Corporation Selective deposition of copper
US4824544A (en) * 1987-10-29 1989-04-25 International Business Machines Corporation Large area cathode lift-off sputter deposition device
US4931410A (en) * 1987-08-25 1990-06-05 Hitachi, Ltd. Process for producing semiconductor integrated circuit device having copper interconnections and/or wirings, and device produced
US4933743A (en) * 1989-03-11 1990-06-12 Fairchild Semiconductor Corporation High performance interconnect system for an integrated circuit
US4996584A (en) * 1985-01-31 1991-02-26 Gould, Inc. Thin-film electrical connections for integrated circuits
US5000818A (en) * 1989-08-14 1991-03-19 Fairchild Semiconductor Corporation Method of fabricating a high performance interconnect system for an integrated circuit
US5019531A (en) * 1988-05-23 1991-05-28 Nippon Telegraph And Telephone Corporation Process for selectively growing thin metallic film of copper or gold
US5084412A (en) * 1989-10-02 1992-01-28 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device with a copper wiring layer
US5100499A (en) * 1989-12-20 1992-03-31 Texas Instruments Incorporated Copper dry etch process using organic and amine radicals
US5209987A (en) * 1983-07-08 1993-05-11 Raychem Limited Wire and cable
US5384284A (en) * 1993-10-01 1995-01-24 Micron Semiconductor, Inc. Method to form a low resistant bond pad interconnect
US5401680A (en) * 1992-02-18 1995-03-28 National Semiconductor Corporation Method for forming a ceramic oxide capacitor having barrier layers
US5413687A (en) * 1991-11-27 1995-05-09 Rogers Corporation Method for metallizing fluoropolymer substrates
US5426330A (en) * 1992-02-26 1995-06-20 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5495667A (en) * 1994-11-07 1996-03-05 Micron Technology, Inc. Method for forming contact pins for semiconductor dice and interconnects
US5506449A (en) * 1993-03-24 1996-04-09 Kawasaki Steel Corporation Interconnection structure for semiconductor integrated circuit and manufacture of the same
US5595937A (en) * 1995-04-13 1997-01-21 Nec Corporation Method for fabricating semiconductor device with interconnections buried in trenches
US5609721A (en) * 1994-03-11 1997-03-11 Fujitsu Limited Semiconductor device manufacturing apparatus and its cleaning method
US5633200A (en) * 1996-05-24 1997-05-27 Micron Technology, Inc. Process for manufacturing a large grain tungsten nitride film and process for manufacturing a lightly nitrided titanium salicide diffusion barrier with a large grain tungsten nitride cover layer
US5635253A (en) * 1994-08-30 1997-06-03 International Business Machines Corporation Method of replenishing electroless gold plating baths
US5719089A (en) * 1996-06-21 1998-02-17 Vanguard International Semiconductor Corporation Method for etching polymer-assisted reduced small contacts for ultra large scale integration semiconductor devices
US5719410A (en) * 1993-12-28 1998-02-17 Kabushiki Kaisha Toshiba Semiconductor device wiring or electrode
US5719447A (en) * 1993-06-03 1998-02-17 Intel Corporation Metal alloy interconnections for integrated circuits
US5739579A (en) * 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5763953A (en) * 1993-01-05 1998-06-09 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US5858877A (en) * 1992-07-28 1999-01-12 Micron Technology, Inc. Self-aligned process for making contacts to silicon substrates during the manufacture of integrated circuits therein
US5889295A (en) * 1996-02-26 1999-03-30 Kabushiki Kaisha Toshiba Semiconductor device
US5891804A (en) * 1996-04-18 1999-04-06 Texas Instruments Incorporated Process for conductors with selective deposition
US5891797A (en) * 1997-10-20 1999-04-06 Micron Technology, Inc. Method of forming a support structure for air bridge wiring of an integrated circuit
US5895740A (en) * 1996-11-13 1999-04-20 Vanguard International Semiconductor Corp. Method of forming contact holes of reduced dimensions by using in-situ formed polymeric sidewall spacers
US5897370A (en) * 1994-08-05 1999-04-27 International Business Machines Corporation High aspect ratio low resistivity lines/vias by surface diffusion
US5899740A (en) * 1997-03-04 1999-05-04 Samsung Electronics Co., Ltd. Methods of fabricating copper interconnects for integrated circuits
US5907772A (en) * 1996-02-26 1999-05-25 Nec Corporation Method of producing cylindrical storage node of stacked capacitor in memory cell
US5911113A (en) * 1997-03-18 1999-06-08 Applied Materials, Inc. Silicon-doped titanium wetting layer for aluminum plug
US6015465A (en) * 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6028362A (en) * 1997-05-12 2000-02-22 Yamaha Corporation Damascene wiring with flat surface
US6030877A (en) * 1997-10-06 2000-02-29 Industrial Technology Research Institute Electroless gold plating method for forming inductor structures
US6030895A (en) * 1995-01-03 2000-02-29 International Business Machines Corporation Method of making a soft metal conductor
US6037248A (en) * 1997-06-13 2000-03-14 Micron Technology, Inc. Method of fabricating integrated circuit wiring with low RC time delay
US6065424A (en) * 1995-12-19 2000-05-23 Cornell Research Foundation, Inc. Electroless deposition of metal films with spray processor
US6069068A (en) * 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
US6071810A (en) * 1996-12-24 2000-06-06 Kabushiki Kaisha Toshiba Method of filling contact holes and wiring grooves of a semiconductor device
US6171661B1 (en) * 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
US6174804B1 (en) * 1998-05-26 2001-01-16 United Microelectronics Corp. Dual damascene manufacturing process
US6177350B1 (en) * 1998-04-14 2001-01-23 Applied Materials, Inc. Method for forming a multilayered aluminum-comprising structure on a substrate
US6181012B1 (en) * 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
US6183564B1 (en) * 1998-11-12 2001-02-06 Tokyo Electron Limited Buffer chamber for integrating physical and chemical vapor deposition chambers together in a processing system
US6187656B1 (en) * 1997-10-07 2001-02-13 Texas Instruments Incorporated CVD-based process for manufacturing stable low-resistivity poly-metal gate electrodes
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6197688B1 (en) * 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6207553B1 (en) * 1999-01-26 2001-03-27 Advanced Micro Devices, Inc. Method of forming multiple levels of patterned metallization
US6207222B1 (en) * 1997-08-19 2001-03-27 Applied Materials, Inc. Dual damascene metallization
US6207558B1 (en) * 1999-10-21 2001-03-27 Applied Materials, Inc. Barrier applications for aluminum planarization
US6208016B1 (en) * 1998-09-10 2001-03-27 Micron Technology, Inc. Forming submicron integrated-circuit wiring from gold, silver, copper and other metals
US6211073B1 (en) * 1998-02-27 2001-04-03 Micron Technology, Inc. Methods for making copper and other metal interconnections in integrated circuits
US6215186B1 (en) * 1998-01-12 2001-04-10 Texas Instruments Incorporated System and method of forming a tungstein plug
US6221763B1 (en) * 1999-04-05 2001-04-24 Micron Technology, Inc. Method of forming a metal seed layer for subsequent plating
US6232219B1 (en) * 1998-05-20 2001-05-15 Micron Technology, Inc. Self-limiting method of reducing contamination in a contact opening, method of making contacts and semiconductor devices therewith, and resulting structures
US20010002333A1 (en) * 1999-03-05 2001-05-31 Chao-Yuan Huang Method of fabricating dual damascene structure
US6245662B1 (en) * 1998-07-23 2001-06-12 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
US6249056B1 (en) * 1997-08-16 2001-06-19 Samsung Electronics Co., Ltd. Low resistance interconnect for a semiconductor device and method of fabricating the same
US6251781B1 (en) * 1999-08-16 2001-06-26 Chartered Semiconductor Manufacturing Ltd. Method to deposit a platinum seed layer for use in selective copper plating
US20020014646A1 (en) * 1997-01-31 2002-02-07 Robert Tsu Integrated circuit capacitor
US6350678B1 (en) * 1999-09-17 2002-02-26 Advanced Micro Devices, Inc. Chemical-mechanical polishing of semiconductors
US20020028552A1 (en) * 1998-10-17 2002-03-07 Ki-Young Lee Capacitor of semiconductor integrated circuit and its fabricating method
US6359328B1 (en) * 1998-12-31 2002-03-19 Intel Corporation Methods for making interconnects and diffusion barriers in integrated circuits
US6358842B1 (en) * 2000-08-07 2002-03-19 Chartered Semiconductor Manufacturing Ltd. Method to form damascene interconnects with sidewall passivation to protect organic dielectrics
US6361667B1 (en) * 1997-03-18 2002-03-26 Anelva Corporation Ionization sputtering apparatus
US6365511B1 (en) * 1999-06-03 2002-04-02 Agere Systems Guardian Corp. Tungsten silicide nitride as a barrier for high temperature anneals to improve hot carrier reliability
US6368966B1 (en) * 1998-06-30 2002-04-09 Semitool, Inc. Metallization structures for microelectronic applications and process for forming the structures
US6372622B1 (en) * 1999-10-26 2002-04-16 Motorola, Inc. Fine pitch bumping with improved device standoff and bump volume
US6376370B1 (en) * 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US6376368B1 (en) * 1999-08-05 2002-04-23 Samsung Electronics Co., Ltd. Method of forming contact structure in a semiconductor device
US6383920B1 (en) * 2001-01-10 2002-05-07 International Business Machines Corporation Process of enclosing via for improved reliability in dual damascene interconnects
US6387542B1 (en) * 2000-07-06 2002-05-14 Honeywell International Inc. Electroless silver plating
US6399489B1 (en) * 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
US6403481B1 (en) * 1998-08-11 2002-06-11 Kabushiki Kaisha Toshiba Film formation method
US6508920B1 (en) * 1998-02-04 2003-01-21 Semitool, Inc. Apparatus for low-temperature annealing of metallization microstructures in the production of a microelectronic device
US6518198B1 (en) * 2000-08-31 2003-02-11 Micron Technology, Inc. Electroless deposition of doped noble metals and noble metal alloys
US20030034560A1 (en) * 1997-11-05 2003-02-20 Kimihiro Matsuse Wiring structure of semiconductor device, electrode, and method for forming them
US6565729B2 (en) * 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6743716B2 (en) * 2000-01-18 2004-06-01 Micron Technology, Inc. Structures and methods to enhance copper metallization
US6756298B2 (en) * 2000-01-18 2004-06-29 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US20050023697A1 (en) * 2000-01-18 2005-02-03 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US20050023699A1 (en) * 2000-01-18 2005-02-03 Micron Technology, Inc. Selective electroless-plated copper metallization
US7067421B2 (en) * 2000-05-31 2006-06-27 Micron Technology, Inc. Multilevel copper interconnect with double passivation

Family Cites Families (161)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1254987A (en) 1917-10-15 1918-01-29 Cooper Res Company Alloy.
US1976375A (en) 1931-01-27 1934-10-09 Beryllium Corp Beryllium-aluminum alloy and method of heat treating the same
US3147110A (en) 1961-11-27 1964-09-01 Dow Chemical Co Die-expressed article of aluminum-base alloy and method of making
US3832456A (en) 1962-10-18 1974-08-27 Ethyl Corp Process for the manufacture of beryllium hydride
US3337334A (en) 1963-12-06 1967-08-22 Lockheed Aircraft Corp Beryllium-aluminum alloy
US3506438A (en) 1967-07-24 1970-04-14 Mallory & Co Inc P R Method of producing beryllium composites by liquid phase sintering
US3515663A (en) * 1968-02-01 1970-06-02 Hewlett Packard Co Triode sputtering apparatus using an electron emitter
US3548948A (en) 1969-01-23 1970-12-22 Mallory & Co Inc P R Procedure for chill casting beryllium composite
US3548915A (en) 1969-06-11 1970-12-22 Mallory & Co Inc P R New procedure for chill casting beryllium composite
US3687737A (en) 1970-07-17 1972-08-29 Mallory & Co Inc P R Method of making beryllium-aluminum-copper-silicon wrought material
US3923500A (en) 1971-08-11 1975-12-02 Toyo Valve Co Ltd Copper base alloy
SE360391B (en) 1972-04-27 1973-09-24 Elektrokoppar Ab
US4022931A (en) 1974-07-01 1977-05-10 Motorola, Inc. Process for making semiconductor device
US4065330A (en) 1974-09-26 1977-12-27 The Foundation: The Research Institute Of Electric And Magnetic Alloys Wear-resistant high-permeability alloy
US3932226A (en) 1974-12-06 1976-01-13 Rca Corporation Method of electrically interconnecting semiconductor elements
US4029377A (en) 1976-02-03 1977-06-14 Rogers Corporation Push-on bus bar
US4101855A (en) 1976-11-05 1978-07-18 Hi-G Incorporated Miniature relay
NL176090C (en) 1977-02-26 1985-02-18 Vacuumschmelze Gmbh METHOD FOR REDUCING THE MAGNETICIZATION LOSSES IN THIN-WEEK-MAGNETIC AMORPHIC METAL ALLOYS.
US4158719A (en) 1977-06-09 1979-06-19 Carpenter Technology Corporation Low expansion low resistivity composite powder metallurgy member and method of making the same
NL181611C (en) 1978-11-14 1987-09-16 Philips Nv METHOD FOR MANUFACTURING A WIRING SYSTEM, AND A SEMICONDUCTOR DEVICE EQUIPPED WITH SUCH WIRING SYSTEM.
US4389429A (en) 1980-06-16 1983-06-21 Rockwell International Corporation Method of forming integrated circuit chip transmission line
US4709359A (en) 1982-06-28 1987-11-24 Magnovox Government And Industrial Electronics Company End weighted reed sound transducer
EP0173715B1 (en) 1984-02-13 1992-04-22 SCHMITT, Jerome J. III Method and apparatus for the gas jet deposition of conducting and dielectric thin solid films and products produced thereby
US4762728A (en) 1985-04-09 1988-08-09 Fairchild Semiconductor Corporation Low temperature plasma nitridation process and applications of nitride films formed thereby
US4670297A (en) 1985-06-21 1987-06-02 Raytheon Company Evaporated thick metal and airbridge interconnects and method of manufacture
DE3724617A1 (en) 1986-07-25 1988-01-28 Fuji Photo Film Co Ltd Recording medium and method of carrying out recording/reproduction using the recording medium
GB2214709A (en) 1988-01-20 1989-09-06 Philips Nv A method of enabling connection to a substructure forming part of an electronic device
US4847111A (en) 1988-06-30 1989-07-11 Hughes Aircraft Company Plasma-nitridated self-aligned tungsten system for VLSI interconnections
JPH02220464A (en) 1989-02-22 1990-09-03 Toshiba Corp Semiconductor device and manufacture thereof
US4857481A (en) 1989-03-14 1989-08-15 Motorola, Inc. Method of fabricating airbridge metal interconnects
US4962058A (en) 1989-04-14 1990-10-09 International Business Machines Corporation Process for fabricating multi-level integrated circuit wiring structure from a single metal deposit
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5045635A (en) 1989-06-16 1991-09-03 Schlegel Corporation Conductive gasket with flame and abrasion resistant conductive coating
JP2856778B2 (en) 1989-09-07 1999-02-10 株式会社東芝 Wiring structure of semiconductor device
US5071518A (en) 1989-10-24 1991-12-10 Microelectronics And Computer Technology Corporation Method of making an electrical multilayer interconnect
US5171713A (en) 1990-01-10 1992-12-15 Micrunity Systems Eng Process for forming planarized, air-bridge interconnects on a semiconductor substrate
US5356672A (en) 1990-05-09 1994-10-18 Jet Process Corporation Method for microwave plasma assisted supersonic gas jet deposition of thin films
US5256205A (en) 1990-05-09 1993-10-26 Jet Process Corporation Microwave plasma assisted supersonic gas jet deposition of thin film materials
US5173442A (en) 1990-07-23 1992-12-22 Microelectronics And Computer Technology Corporation Methods of forming channels and vias in insulating layers
US5196919A (en) 1990-12-07 1993-03-23 Kyocera America, Inc. Use of a contamination shield during the manufacture of semiconductor packages
US5149615A (en) 1991-01-08 1992-09-22 The Boeing Company Method for producing a planar surface on which a conductive layer can be applied
US5243222A (en) 1991-04-05 1993-09-07 International Business Machines Corporation Copper alloy metallurgies for VLSI interconnection structures
US5130274A (en) 1991-04-05 1992-07-14 International Business Machines Corporation Copper alloy metallurgies for VLSI interconnection structures
US5158986A (en) 1991-04-05 1992-10-27 Massachusetts Institute Of Technology Microcellular thermoplastic foamed with supercritical fluid
US5240878A (en) 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
US5219793A (en) 1991-06-03 1993-06-15 Motorola Inc. Method for forming pitch independent contacts and a semiconductor device having the same
KR960015348B1 (en) 1991-06-19 1996-11-09 니뽄 덴끼 가부시끼가이샤 Semiconductor memory device
JP3166221B2 (en) 1991-07-23 2001-05-14 日本電気株式会社 Semiconductor device and manufacturing method thereof
JP2868167B2 (en) 1991-08-05 1999-03-10 インターナショナル・ビジネス・マシーンズ・コーポレイション Multi-level high density interconnect structures and high density interconnect structures
JP3019884B2 (en) 1991-09-05 2000-03-13 松下電器産業株式会社 Semiconductor device and manufacturing method thereof
US5442237A (en) 1991-10-21 1995-08-15 Motorola Inc. Semiconductor device having a low permittivity dielectric
US5232866A (en) 1991-10-23 1993-08-03 International Business Machines Corporation Isolated films using an air dielectric
US5227658A (en) 1991-10-23 1993-07-13 International Business Machines Corporation Buried air dielectric isolation of silicon islands
US5408742A (en) 1991-10-28 1995-04-25 Martin Marietta Corporation Process for making air bridges for integrated circuits
US5171712A (en) 1991-12-20 1992-12-15 Vlsi Technology, Inc. Method of constructing termination electrodes on yielded semiconductor die by visibly aligning the die pads through a transparent substrate
US5231056A (en) 1992-01-15 1993-07-27 Micron Technology, Inc. Tungsten silicide (WSix) deposition process for semiconductor manufacture
US5324684A (en) 1992-02-25 1994-06-28 Ag Processing Technologies, Inc. Gas phase doping of semiconductor material in a cold-wall radiantly heated reactor under reduced pressure
JP2756887B2 (en) 1992-03-02 1998-05-25 三菱電機株式会社 Semiconductor device conductive layer connection structure and method of manufacturing the same
JPH05335529A (en) * 1992-05-28 1993-12-17 Fujitsu Ltd Semiconductor device and manufacture thereof
US5371042A (en) 1992-06-16 1994-12-06 Applied Materials, Inc. Method of filling contacts in semiconductor devices
US5268315A (en) 1992-09-04 1993-12-07 Tektronix, Inc. Implant-free heterojunction bioplar transistor integrated circuit process
CA2082771C (en) 1992-11-12 1998-02-10 Vu Quoc Ho Method for forming interconnect structures for integrated circuits
JPH06172895A (en) 1992-12-03 1994-06-21 Yamaha Metanikusu Kk Copper alloy for lead frame
JPH0722583A (en) 1992-12-15 1995-01-24 Internatl Business Mach Corp <Ibm> Multilayer circuit device
US5681441A (en) 1992-12-22 1997-10-28 Elf Technologies, Inc. Method for electroplating a substrate containing an electroplateable pattern
JP3326698B2 (en) 1993-03-19 2002-09-24 富士通株式会社 Manufacturing method of integrated circuit device
US5654245A (en) 1993-03-23 1997-08-05 Sharp Microelectronics Technology, Inc. Implantation of nucleating species for selective metallization and products thereof
US5485037A (en) 1993-04-12 1996-01-16 Amkor Electronics, Inc. Semiconductor device having a thermal dissipator and electromagnetic shielding
US5324683A (en) 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
US5470801A (en) 1993-06-28 1995-11-28 Lsi Logic Corporation Low dielectric constant insulation layer for integrated circuit structure and method of making same
US5539060A (en) 1993-07-30 1996-07-23 Nippon Zeon Co., Ltd. Method for hydrogenation of metathesis polymers
US5461243A (en) 1993-10-29 1995-10-24 International Business Machines Corporation Substrate for tensilely strained semiconductor
US5539227A (en) 1993-11-24 1996-07-23 Mitsubishi Denki Kabushiki Kaisha Multi-layer wiring
US5399897A (en) 1993-11-29 1995-03-21 Raytheon Company Microstructure and method of making such structure
US5457344A (en) 1994-03-25 1995-10-10 Bartelink; Dirk J. Test fixtures for C4 solder-bump technology
WO1995026422A1 (en) * 1994-03-28 1995-10-05 Nippon Steel Corporation High-strength steel wire material of excellent fatigue characteristics and high-strength steel wire
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US5535156A (en) * 1994-05-05 1996-07-09 California Institute Of Technology Transistorless, multistable current-mode memory cells and memory arrays and methods of reading and writing to the same
US5366911A (en) 1994-05-11 1994-11-22 United Microelectronics Corporation VLSI process with global planarization
US5476817A (en) 1994-05-31 1995-12-19 Texas Instruments Incorporated Method of making reliable metal leads in high speed LSI semiconductors using both dummy leads and thermoconductive layers
US5413962A (en) 1994-07-15 1995-05-09 United Microelectronics Corporation Multi-level conductor process in VLSI fabrication utilizing an air bridge
US5625232A (en) * 1994-07-15 1997-04-29 Texas Instruments Incorporated Reliability of metal leads in high speed LSI semiconductors using dummy vias
FR2723254B1 (en) 1994-07-26 1996-10-11 Pixel Int Sa FLAT DISPLAY ANODE
US5534731A (en) 1994-10-28 1996-07-09 Advanced Micro Devices, Incorporated Layered low dielectric constant technology
KR0144085B1 (en) 1994-12-05 1998-08-17 김주용 Method for forming metal circuit of semiconductor device
US5550405A (en) 1994-12-21 1996-08-27 Advanced Micro Devices, Incorporated Processing techniques for achieving production-worthy, low dielectric, low interconnect resistance and high performance ICS
US5625233A (en) 1995-01-13 1997-04-29 Ibm Corporation Thin film multi-layer oxygen diffusion barrier consisting of refractory metal, refractory metal aluminide, and aluminum oxide
KR100413890B1 (en) 1995-03-02 2004-03-19 동경 엘렉트론 주식회사 Manufacturing method and manufacturing apparatus of semiconductor device
TW290731B (en) 1995-03-30 1996-11-11 Siemens Ag
EP0746027A3 (en) * 1995-05-03 1998-04-01 Applied Materials, Inc. Polysilicon/tungsten silicide multilayer composite formed on an integrated circuit structure, and improved method of making same
US5962923A (en) 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
JPH09102541A (en) 1995-10-05 1997-04-15 Mitsubishi Electric Corp Semiconductor device and its manufacture
JPH09129727A (en) 1995-10-30 1997-05-16 Nec Corp Semiconductor device and manufacturing method thereof
US5824599A (en) 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US6004884A (en) * 1996-02-15 1999-12-21 Lam Research Corporation Methods and apparatus for etching semiconductor wafers
US5789264A (en) * 1996-03-27 1998-08-04 Daewoo Electronics Co., Ltd. Method for manufacturing a thin film actuated mirror having a flat light reflecting surface
US6008117A (en) 1996-03-29 1999-12-28 Texas Instruments Incorporated Method of forming diffusion barriers encapsulating copper
US5780358A (en) 1996-04-08 1998-07-14 Chartered Semiconductor Manufacturing Ltd. Method for chemical-mechanical polish (CMP) planarizing of cooper containing conductor layers
US5814557A (en) 1996-05-20 1998-09-29 Motorola, Inc. Method of forming an interconnect structure
US5925930A (en) 1996-05-21 1999-07-20 Micron Technology, Inc. IC contacts with palladium layer and flexible conductive epoxy bumps
US6313035B1 (en) * 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US5693563A (en) 1996-07-15 1997-12-02 Chartered Semiconductor Manufacturing Pte Ltd. Etch stop for copper damascene process
US6051858A (en) * 1996-07-26 2000-04-18 Symetrix Corporation Ferroelectric/high dielectric constant integrated circuit and method of fabricating same
US5801098A (en) 1996-09-03 1998-09-01 Motorola, Inc. Method of decreasing resistivity in an electrically conductive layer
US5792522A (en) 1996-09-18 1998-08-11 Intel Corporation High density plasma physical vapor deposition
US5880018A (en) * 1996-10-07 1999-03-09 Motorola Inc. Method for manufacturing a low dielectric constant inter-level integrated circuit structure
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US6204065B1 (en) * 1997-03-27 2001-03-20 Ngk Insulators, Ltd. Conduction assist member and manufacturing method of the same
US5930669A (en) 1997-04-03 1999-07-27 International Business Machines Corporation Continuous highly conductive metal wiring structures and method for fabricating the same
JP3846970B2 (en) 1997-04-14 2006-11-15 キヤノンアネルバ株式会社 Ionization sputtering equipment
US6194317B1 (en) * 1998-04-30 2001-02-27 3M Innovative Properties Company Method of planarizing the upper surface of a semiconductor wafer
US5932928A (en) 1997-07-03 1999-08-03 Micron Technology, Inc. Semiconductor circuit interconnections and methods of making such interconnections
US5893752A (en) * 1997-12-22 1999-04-13 Motorola, Inc. Process for forming a semiconductor device
US6184121B1 (en) * 1997-07-10 2001-02-06 International Business Machines Corporation Chip interconnect wiring structure with low dielectric constant insulator and methods for fabricating the same
US5821168A (en) 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device
US5972804A (en) 1997-08-05 1999-10-26 Motorola, Inc. Process for forming a semiconductor device
JPH1154451A (en) 1997-08-07 1999-02-26 Mitsubishi Electric Corp Semiconductor device and manufacture thereof
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6333255B1 (en) * 1997-08-21 2001-12-25 Matsushita Electronics Corporation Method for making semiconductor device containing low carbon film for interconnect structures
US6054173A (en) * 1997-08-22 2000-04-25 Micron Technology, Inc. Copper electroless deposition on a titanium-containing surface
US5972179A (en) 1997-09-30 1999-10-26 Lucent Technologies Inc. Silicon IC contacts using composite TiN barrier layer
JPH11162829A (en) * 1997-11-21 1999-06-18 Nec Corp Method for manufacturing semiconductor device
US6150214A (en) * 1997-11-21 2000-11-21 Texas Instruments Incorporated Titanium nitride metal interconnection system and method of forming the same
US5985759A (en) 1998-02-24 1999-11-16 Applied Materials, Inc. Oxygen enhancement of ion metal plasma (IMP) sputter deposited barrier layers
US6143655A (en) * 1998-02-25 2000-11-07 Micron Technology, Inc. Methods and structures for silver interconnections in integrated circuits
US6103320A (en) * 1998-03-05 2000-08-15 Shincron Co., Ltd. Method for forming a thin film of a metal compound by vacuum deposition
US6162583A (en) * 1998-03-20 2000-12-19 Industrial Technology Research Institute Method for making intermetal dielectrics (IMD) on semiconductor integrated circuits using low dielectric constant spin-on polymers
US6197181B1 (en) * 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US5937320A (en) 1998-04-08 1999-08-10 International Business Machines Corporation Barrier layers for electroplated SnPb eutectic solder joints
US6016000A (en) * 1998-04-22 2000-01-18 Cvc, Inc. Ultra high-speed chip semiconductor integrated circuit interconnect structure and fabrication method using free-space dielectrics
US6025261A (en) * 1998-04-29 2000-02-15 Micron Technology, Inc. Method for making high-Q inductive elements
US5981350A (en) 1998-05-29 1999-11-09 Micron Technology, Inc. Method for forming high capacitance memory cells
KR100279297B1 (en) * 1998-06-20 2001-02-01 윤종용 Semiconductor device and manufacturing method thereof
US6492266B1 (en) * 1998-07-09 2002-12-10 Advanced Micro Devices, Inc. Method of forming reliable capped copper interconnects
US5948467A (en) 1998-07-24 1999-09-07 Sharp Laboratories Of America, Inc. Enhanced CVD copper adhesion by two-step deposition process
US6284656B1 (en) * 1998-08-04 2001-09-04 Micron Technology, Inc. Copper metallurgy in integrated circuits
US6194233B1 (en) * 1998-08-21 2001-02-27 International Business Machines Corporation Integrated circuit and method of manufacture for avoiding damage by electrostatic charge
US6174800B1 (en) * 1998-09-08 2001-01-16 Taiwan Semiconductor Manufacturing Company Via formation in a poly(arylene ether) inter metal dielectric layer
US6169024B1 (en) * 1998-09-30 2001-01-02 Intel Corporation Process to manufacture continuous metal interconnects
JP3708732B2 (en) * 1998-12-25 2005-10-19 Necエレクトロニクス株式会社 Manufacturing method of semiconductor device
US6417094B1 (en) * 1998-12-31 2002-07-09 Newport Fab, Llc Dual-damascene interconnect structures and methods of fabricating same
US6258707B1 (en) * 1999-01-07 2001-07-10 International Business Machines Corporation Triple damascence tungsten-copper interconnect structure
JP2000216264A (en) * 1999-01-22 2000-08-04 Mitsubishi Electric Corp Cmos logic circuit element, semiconductor device and its manufacture, and method for designing semiconductor circuit used in the manufacture
US6168704B1 (en) * 1999-02-04 2001-01-02 Advanced Micro Device, Inc. Site-selective electrochemical deposition of copper
US6350687B1 (en) * 1999-03-18 2002-02-26 Advanced Micro Devices, Inc. Method of fabricating improved copper metallization including forming and removing passivation layer before forming capping film
US6022802A (en) 1999-03-18 2000-02-08 Taiwan Semiconductor Manufacturing Company Low dielectric constant intermetal dielectric (IMD) by formation of air gap between metal lines
US6204096B1 (en) * 1999-03-19 2001-03-20 United Microelectronics Corp. Method for reducing critical dimension of dual damascene process using spin-on-glass process
US6211071B1 (en) * 1999-04-22 2001-04-03 Advanced Micro Devices, Inc. Optimized trench/via profile for damascene filling
US6054398A (en) * 1999-05-14 2000-04-25 Advanced Micro Devices, Inc. Semiconductor interconnect barrier for fluorinated dielectrics
US6342722B1 (en) * 1999-08-05 2002-01-29 International Business Machines Corporation Integrated circuit having air gaps between dielectric and conducting lines
US6518173B1 (en) * 1999-08-18 2003-02-11 Advanced Micro Devices, Inc. Method for avoiding fluorine contamination of copper interconnects
US6303498B1 (en) * 1999-08-20 2001-10-16 Taiwan Semiconductor Manufacturing Company Method for preventing seed layer oxidation for high aspect gap fill
US6710447B1 (en) * 1999-09-17 2004-03-23 Advanced Micro Devices, Inc. Integrated circuit chip with high-aspect ratio vias
US6143641A (en) * 2000-01-26 2000-11-07 National Semiconductor Corporation Structure and method for controlling copper diffusion and for utilizing low K materials for copper interconnects in integrated circuit structures
US6342448B1 (en) * 2000-05-31 2002-01-29 Taiwan Semiconductor Manufacturing Company Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process
US20020167089A1 (en) * 2001-05-14 2002-11-14 Micron Technology, Inc. Copper dual damascene interconnect technology
US6503796B1 (en) * 2001-07-16 2003-01-07 Taiwan Semiconductor Manufacturing Company Method and structure for a top plate design for making capacitor-top-plate to bit-line-contact overlay margin
US6709919B2 (en) * 2002-05-15 2004-03-23 Taiwan Semiconductor Manufacturing Company Method for making auto-self-aligned top electrodes for DRAM capacitors with improved capacitor-to-bit-line-contact overlay margin
US6846756B2 (en) * 2002-07-30 2005-01-25 Taiwan Semiconductor Manufacturing Co., Ltd Method for preventing low-k dielectric layer cracking in multi-layered dual damascene metallization layers
US7220665B2 (en) * 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2244608A (en) * 1939-02-09 1941-06-03 Cooper Wilford Beryllium Ltd Process of making alloys of aluminum beryllium
US2842438A (en) * 1956-08-02 1958-07-08 American Metal Climax Inc Copper-zirconium alloys
US3658849A (en) * 1969-02-19 1972-04-25 Reynolds Tobacco Co R Treatment of 2-substituted aldehydes with lead dioxide
US3642448A (en) * 1969-10-10 1972-02-15 Parsons Co Ralph M Process for removal of hydrogen sulfide from gas streams
US3954570A (en) * 1974-11-11 1976-05-04 Amp Incorporated Sensitized polyimides and circuit elements thereof
US4213818A (en) * 1979-01-04 1980-07-22 Signetics Corporation Selective plasma vapor etching process
US4423547A (en) * 1981-06-01 1984-01-03 International Business Machines Corporation Method for forming dense multilevel interconnection metallurgy for semiconductor devices
US4394223A (en) * 1981-10-06 1983-07-19 The United States Of America As Represented By The Secretary Of The Air Force Tin and gold plating process
US4386116A (en) * 1981-12-24 1983-05-31 International Business Machines Corporation Process for making multilayer integrated circuit substrate
US4565157A (en) * 1983-03-29 1986-01-21 Genus, Inc. Method and apparatus for deposition of tungsten silicides
US5209987A (en) * 1983-07-08 1993-05-11 Raychem Limited Wire and cable
US4574095A (en) * 1984-11-19 1986-03-04 International Business Machines Corporation Selective deposition of copper
US4996584A (en) * 1985-01-31 1991-02-26 Gould, Inc. Thin-film electrical connections for integrated circuits
US4931410A (en) * 1987-08-25 1990-06-05 Hitachi, Ltd. Process for producing semiconductor integrated circuit device having copper interconnections and/or wirings, and device produced
US4824544A (en) * 1987-10-29 1989-04-25 International Business Machines Corporation Large area cathode lift-off sputter deposition device
US5019531A (en) * 1988-05-23 1991-05-28 Nippon Telegraph And Telephone Corporation Process for selectively growing thin metallic film of copper or gold
US4933743A (en) * 1989-03-11 1990-06-12 Fairchild Semiconductor Corporation High performance interconnect system for an integrated circuit
US5000818A (en) * 1989-08-14 1991-03-19 Fairchild Semiconductor Corporation Method of fabricating a high performance interconnect system for an integrated circuit
US5084412A (en) * 1989-10-02 1992-01-28 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device with a copper wiring layer
US5100499A (en) * 1989-12-20 1992-03-31 Texas Instruments Incorporated Copper dry etch process using organic and amine radicals
US5413687A (en) * 1991-11-27 1995-05-09 Rogers Corporation Method for metallizing fluoropolymer substrates
US5401680A (en) * 1992-02-18 1995-03-28 National Semiconductor Corporation Method for forming a ceramic oxide capacitor having barrier layers
US5426330A (en) * 1992-02-26 1995-06-20 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5739579A (en) * 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5858877A (en) * 1992-07-28 1999-01-12 Micron Technology, Inc. Self-aligned process for making contacts to silicon substrates during the manufacture of integrated circuits therein
US5763953A (en) * 1993-01-05 1998-06-09 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US5506449A (en) * 1993-03-24 1996-04-09 Kawasaki Steel Corporation Interconnection structure for semiconductor integrated circuit and manufacture of the same
US5719447A (en) * 1993-06-03 1998-02-17 Intel Corporation Metal alloy interconnections for integrated circuits
US5384284A (en) * 1993-10-01 1995-01-24 Micron Semiconductor, Inc. Method to form a low resistant bond pad interconnect
US5719410A (en) * 1993-12-28 1998-02-17 Kabushiki Kaisha Toshiba Semiconductor device wiring or electrode
US5609721A (en) * 1994-03-11 1997-03-11 Fujitsu Limited Semiconductor device manufacturing apparatus and its cleaning method
US5897370A (en) * 1994-08-05 1999-04-27 International Business Machines Corporation High aspect ratio low resistivity lines/vias by surface diffusion
US5635253A (en) * 1994-08-30 1997-06-03 International Business Machines Corporation Method of replenishing electroless gold plating baths
US5495667A (en) * 1994-11-07 1996-03-05 Micron Technology, Inc. Method for forming contact pins for semiconductor dice and interconnects
US6030895A (en) * 1995-01-03 2000-02-29 International Business Machines Corporation Method of making a soft metal conductor
US5595937A (en) * 1995-04-13 1997-01-21 Nec Corporation Method for fabricating semiconductor device with interconnections buried in trenches
US6065424A (en) * 1995-12-19 2000-05-23 Cornell Research Foundation, Inc. Electroless deposition of metal films with spray processor
US5907772A (en) * 1996-02-26 1999-05-25 Nec Corporation Method of producing cylindrical storage node of stacked capacitor in memory cell
US5889295A (en) * 1996-02-26 1999-03-30 Kabushiki Kaisha Toshiba Semiconductor device
US5891804A (en) * 1996-04-18 1999-04-06 Texas Instruments Incorporated Process for conductors with selective deposition
US5633200A (en) * 1996-05-24 1997-05-27 Micron Technology, Inc. Process for manufacturing a large grain tungsten nitride film and process for manufacturing a lightly nitrided titanium salicide diffusion barrier with a large grain tungsten nitride cover layer
US5719089A (en) * 1996-06-21 1998-02-17 Vanguard International Semiconductor Corporation Method for etching polymer-assisted reduced small contacts for ultra large scale integration semiconductor devices
US5895740A (en) * 1996-11-13 1999-04-20 Vanguard International Semiconductor Corp. Method of forming contact holes of reduced dimensions by using in-situ formed polymeric sidewall spacers
US6071810A (en) * 1996-12-24 2000-06-06 Kabushiki Kaisha Toshiba Method of filling contact holes and wiring grooves of a semiconductor device
US20020014646A1 (en) * 1997-01-31 2002-02-07 Robert Tsu Integrated circuit capacitor
US5899740A (en) * 1997-03-04 1999-05-04 Samsung Electronics Co., Ltd. Methods of fabricating copper interconnects for integrated circuits
US6361667B1 (en) * 1997-03-18 2002-03-26 Anelva Corporation Ionization sputtering apparatus
US5911113A (en) * 1997-03-18 1999-06-08 Applied Materials, Inc. Silicon-doped titanium wetting layer for aluminum plug
US6028362A (en) * 1997-05-12 2000-02-22 Yamaha Corporation Damascene wiring with flat surface
US6069068A (en) * 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
US6037248A (en) * 1997-06-13 2000-03-14 Micron Technology, Inc. Method of fabricating integrated circuit wiring with low RC time delay
US6249056B1 (en) * 1997-08-16 2001-06-19 Samsung Electronics Co., Ltd. Low resistance interconnect for a semiconductor device and method of fabricating the same
US6207222B1 (en) * 1997-08-19 2001-03-27 Applied Materials, Inc. Dual damascene metallization
US6030877A (en) * 1997-10-06 2000-02-29 Industrial Technology Research Institute Electroless gold plating method for forming inductor structures
US6187656B1 (en) * 1997-10-07 2001-02-13 Texas Instruments Incorporated CVD-based process for manufacturing stable low-resistivity poly-metal gate electrodes
US5891797A (en) * 1997-10-20 1999-04-06 Micron Technology, Inc. Method of forming a support structure for air bridge wiring of an integrated circuit
US20030034560A1 (en) * 1997-11-05 2003-02-20 Kimihiro Matsuse Wiring structure of semiconductor device, electrode, and method for forming them
US6215186B1 (en) * 1998-01-12 2001-04-10 Texas Instruments Incorporated System and method of forming a tungstein plug
US6508920B1 (en) * 1998-02-04 2003-01-21 Semitool, Inc. Apparatus for low-temperature annealing of metallization microstructures in the production of a microelectronic device
US6197688B1 (en) * 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6171661B1 (en) * 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
US6984891B2 (en) * 1998-02-27 2006-01-10 Micron Technology, Inc. Methods for making copper and other metal interconnections in integrated circuits
US6211073B1 (en) * 1998-02-27 2001-04-03 Micron Technology, Inc. Methods for making copper and other metal interconnections in integrated circuits
US6565729B2 (en) * 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6015465A (en) * 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
US6177350B1 (en) * 1998-04-14 2001-01-23 Applied Materials, Inc. Method for forming a multilayered aluminum-comprising structure on a substrate
US6181012B1 (en) * 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
US6232219B1 (en) * 1998-05-20 2001-05-15 Micron Technology, Inc. Self-limiting method of reducing contamination in a contact opening, method of making contacts and semiconductor devices therewith, and resulting structures
US6174804B1 (en) * 1998-05-26 2001-01-16 United Microelectronics Corp. Dual damascene manufacturing process
US6368966B1 (en) * 1998-06-30 2002-04-09 Semitool, Inc. Metallization structures for microelectronic applications and process for forming the structures
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6245662B1 (en) * 1998-07-23 2001-06-12 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
US6403481B1 (en) * 1998-08-11 2002-06-11 Kabushiki Kaisha Toshiba Film formation method
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6208016B1 (en) * 1998-09-10 2001-03-27 Micron Technology, Inc. Forming submicron integrated-circuit wiring from gold, silver, copper and other metals
US6552432B2 (en) * 1998-09-10 2003-04-22 Micron Technology, Inc. Mask on a polymer having an opening width less than that of the opening in the polymer
US20020028552A1 (en) * 1998-10-17 2002-03-07 Ki-Young Lee Capacitor of semiconductor integrated circuit and its fabricating method
US6183564B1 (en) * 1998-11-12 2001-02-06 Tokyo Electron Limited Buffer chamber for integrating physical and chemical vapor deposition chambers together in a processing system
US6359328B1 (en) * 1998-12-31 2002-03-19 Intel Corporation Methods for making interconnects and diffusion barriers in integrated circuits
US6207553B1 (en) * 1999-01-26 2001-03-27 Advanced Micro Devices, Inc. Method of forming multiple levels of patterned metallization
US20010002333A1 (en) * 1999-03-05 2001-05-31 Chao-Yuan Huang Method of fabricating dual damascene structure
US6221763B1 (en) * 1999-04-05 2001-04-24 Micron Technology, Inc. Method of forming a metal seed layer for subsequent plating
US6365511B1 (en) * 1999-06-03 2002-04-02 Agere Systems Guardian Corp. Tungsten silicide nitride as a barrier for high temperature anneals to improve hot carrier reliability
US6376368B1 (en) * 1999-08-05 2002-04-23 Samsung Electronics Co., Ltd. Method of forming contact structure in a semiconductor device
US6251781B1 (en) * 1999-08-16 2001-06-26 Chartered Semiconductor Manufacturing Ltd. Method to deposit a platinum seed layer for use in selective copper plating
US6350678B1 (en) * 1999-09-17 2002-02-26 Advanced Micro Devices, Inc. Chemical-mechanical polishing of semiconductors
US6207558B1 (en) * 1999-10-21 2001-03-27 Applied Materials, Inc. Barrier applications for aluminum planarization
US6372622B1 (en) * 1999-10-26 2002-04-16 Motorola, Inc. Fine pitch bumping with improved device standoff and bump volume
US6399489B1 (en) * 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
US6756298B2 (en) * 2000-01-18 2004-06-29 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US6376370B1 (en) * 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US6743716B2 (en) * 2000-01-18 2004-06-01 Micron Technology, Inc. Structures and methods to enhance copper metallization
US20050023697A1 (en) * 2000-01-18 2005-02-03 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US20050023699A1 (en) * 2000-01-18 2005-02-03 Micron Technology, Inc. Selective electroless-plated copper metallization
US7067421B2 (en) * 2000-05-31 2006-06-27 Micron Technology, Inc. Multilevel copper interconnect with double passivation
US6387542B1 (en) * 2000-07-06 2002-05-14 Honeywell International Inc. Electroless silver plating
US6358842B1 (en) * 2000-08-07 2002-03-19 Chartered Semiconductor Manufacturing Ltd. Method to form damascene interconnects with sidewall passivation to protect organic dielectrics
US6518198B1 (en) * 2000-08-31 2003-02-11 Micron Technology, Inc. Electroless deposition of doped noble metals and noble metal alloys
US6383920B1 (en) * 2001-01-10 2002-05-07 International Business Machines Corporation Process of enclosing via for improved reliability in dual damascene interconnects

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7745934B2 (en) 2000-01-18 2010-06-29 Micron Technology, Inc. Integrated circuit and seed layers
US8779596B2 (en) 2000-01-18 2014-07-15 Micron Technology, Inc. Structures and methods to enhance copper metallization

Also Published As

Publication number Publication date
US7262130B1 (en) 2007-08-28
US7368378B2 (en) 2008-05-06
US7402516B2 (en) 2008-07-22
US20050023697A1 (en) 2005-02-03
US20060246733A1 (en) 2006-11-02
US20060292857A1 (en) 2006-12-28
US7253521B2 (en) 2007-08-07

Similar Documents

Publication Publication Date Title
US7402516B2 (en) Method for making integrated circuits
US7262505B2 (en) Selective electroless-plated copper metallization
US6756298B2 (en) Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US6992005B2 (en) Semiconductor device and method of manufacturing the same
US6169024B1 (en) Process to manufacture continuous metal interconnects
US20010051420A1 (en) Dielectric formation to seal porosity of low dielectic constant (low k) materials after etch
US6610594B2 (en) Locally increasing sidewall density by ion implantation
US5231055A (en) Method of forming composite interconnect system
US6514844B1 (en) Sidewall treatment for low dielectric constant (low K) materials by ion implantation
JP4886165B2 (en) Method of selectively alloying interconnect areas by deposition process
US20040038526A1 (en) Thermal process for reducing copper via distortion and crack
KR100386628B1 (en) Method for forming interconnect structures of semiconductor device
KR100307827B1 (en) Metal wiring contact formation method of semiconductor device
US6127730A (en) Composite metal films for severe topology interconnects
KR101185853B1 (en) Method for forming metal line of semiconductor device
KR20020002085A (en) Method of forming a copper wiring in a semiconductor device
KR19980029400A (en) Method of forming semiconductor device metal wiring
KR100396687B1 (en) Method for forming metal interconnection of semiconductor device
KR0140640B1 (en) Forming method of wiring in semiconductor device
KR940010498B1 (en) Plannerizing method of semiconductor substrate
KR0157876B1 (en) Method of fabricating wire of semiconductor device
KR100670686B1 (en) Method for manufacturing contact plug in semiconductor device
KR100196228B1 (en) Interconnection manufacturing method of semiconductor integrated circuit
KR20030059456A (en) Method for forming metal line in semiconductor device
KR20000015238A (en) Method for forming a metal wire of a semiconductor device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION