US20070111386A1 - Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices - Google Patents

Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices Download PDF

Info

Publication number
US20070111386A1
US20070111386A1 US11/603,521 US60352106A US2007111386A1 US 20070111386 A1 US20070111386 A1 US 20070111386A1 US 60352106 A US60352106 A US 60352106A US 2007111386 A1 US2007111386 A1 US 2007111386A1
Authority
US
United States
Prior art keywords
wafer
forming
back side
layer
bonding
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/603,521
Inventor
Sarah Kim
R. List
Scot Kellar
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/603,521 priority Critical patent/US20070111386A1/en
Publication of US20070111386A1 publication Critical patent/US20070111386A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54426Marks applied to semiconductor devices or parts for alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54473Marks applied to semiconductor devices or parts for use after dicing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13025Disposition the bump connector being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80801Soldering or alloying
    • H01L2224/8082Diffusion bonding
    • H01L2224/8083Solid-solid interdiffusion
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06524Electrical connections formed on device or on substrate, e.g. a deposited or grown layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06589Thermal management, e.g. cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]

Definitions

  • the present invention relates to a semiconductor process and, more specifically, relates to a process of vertically stacking multiple wafers supporting different active IC devices on a single die with low cost and high via density with optimum metal bonding areas.
  • Integrated circuits form the basis for many electronic systems.
  • an integrated circuit includes a vast number of transistors and other circuit elements that are formed on a single semiconductor wafer or chip and are interconnected to implement a desired function.
  • the complexity of these integrated circuits (ICs) requires the use of an ever increasing number of linked transistors and other circuit elements.
  • each integrated circuit performing one or more specific functions.
  • computer systems include at least one microprocessor and a number of memory chips.
  • each of these integrated circuits is formed on a separate chip, packaged independently and interconnected on, for example, a printed circuit board (PCB).
  • PCB printed circuit board
  • system modules have been introduced that electrically connect and package integrated circuit (IC) devices which are fabricated on the same or on different semiconductor wafers.
  • system modules have been created by simply stacking two chips, e.g., a logic and memory chip, one on top of the other in an arrangement commonly referred to as chip-on-chip structure.
  • chip-on-chip structure e.g., a logic and memory chip
  • MCM multi-chip module
  • MCM multi-chip module
  • COC chip-on-chip
  • 3-D wafer-to-wafer vertical stack technology seeks to achieve the long-awaited goal of vertically stacking many layers of active IC devices such as processors, programmable devices and memory devices inside a single chip to shorten average wire lengths, thereby reducing interconnect RC delay and increasing system performance.
  • FIG. 1 illustrates an example three-dimensional (3-D) wafer-to-wafer vertical stack forming a single chip
  • FIG. 2 illustrates an example 2-wafer vertical stack according to an embodiment of the present invention
  • FIGS. 3A-3C illustrate an example wafer bond and via etch in an example 2-wafer vertical stack as shown in FIG. 2 ;
  • FIG. 4 illustrates an example 2-wafer vertical stack according to another embodiment of the present invention
  • FIGS. 5A-5C illustrate an example wafer bond and via etch in an example 2-wafer vertical stack as shown in FIG. 4 ;
  • FIG. 6 illustrates an example wafer bond and via etch during STI process steps in an example 2-wafer vertical stack shown in FIG. 2 ;
  • FIGS. 7A-7B illustrate an example 4-wafer vertical stack with increased metal bonding areas for multiple wafer-to-wafer bonding according to an embodiment of the present invention
  • FIG. 8 illustrates example 4-wafer vertical stack with increased metal bonding areas for multiple wafer-to-wafer bonding according to another embodiment of the present invention.
  • FIG. 9 illustrates an example 4-wafer vertical stack with increased metal bonding areas for multiple wafer-to-wafer bonding according to yet another embodiment of the present invention.
  • the present invention is applicable for use with all types of semiconductor wafers and integrated circuit (IC) devices, including, for example, MOS transistors, CMOS devices, MOSFETs, and new memory devices and communication devices such as smart cards, cellular phones, electronic tags, and gaming devices which may become available as semiconductor technology develops in the future.
  • IC integrated circuit
  • MOS transistors MOS transistors
  • CMOS devices MOSFETs
  • new memory devices and communication devices such as smart cards, cellular phones, electronic tags, and gaming devices which may become available as semiconductor technology develops in the future.
  • 3-D three-dimensional
  • the 3-D vertical stack (chip) 100 may comprise any number of active device polysilicon (Si) wafers, such as wafer # 1 110 including, for example, one or more microprocessors; wafer # 2 120 including one or more memory devices; and wafer # 3 130 including one or more radio-frequency (RF) or optical communication devices.
  • a dielectric layer 102 is used to bond the active device wafers 110 , 120 and 130 .
  • a metal to metal bond can be used to stack wafers 110 , 120 and 130 to form the vertical stack 100 .
  • This metal to metal bond method may serve not only as electrical connections to active IC devices on the vertically stacked wafers 110 , 120 and 130 on a 3-D wafer-to-wafer vertical stack 100 but also bond adjacent wafers 110 , 120 and 130 .
  • Dummy metal, bonding pads can also be made to increase the surface area for wafer to wafer bonding and serve as auxiliary structures such as ground planes or heat conduits for the active IC devices.
  • improved etch stop layers for the Si via etch can be used in vertically stacked wafer processing (i.e., 3-D interconnect processing) which provide more efficient electrical conductivity between vertically stacked wafers 110 , 120 and 130 .
  • FIG. 2 an example three-dimensional (3-D) wafer-to-wafer vertical stack according to an embodiment of the present invention is illustrated. Specifically, FIG. 2 illustrates an example 2-wafers vertical stack 200 .
  • the number of wafers in a vertical stack is not limited hereto.
  • the vertical stack can effectively integrate diverse process technologies on a single wafer process, such as, for example, logic/memory stacking, processor stacking, optical interconnect, system-on-chip, and RF interconnect.
  • the bottom silicon (Si) wafer 210 may include an active silicon (Si) layer 212 supporting one or more active IC devices (not shown), and an interlayer dielectric (ILD) layer 214 .
  • the top Si wafer 220 may also include an active silicon (Si) layer 222 supporting one or more active IC devices (not shown), and an interlayer dielectric (ILD) layer 224 .
  • the ILD layers 214 and 224 are shown as a single layer respectively for purposes of simplification. In practice, the ILD layers 214 and 224 may comprise a stack or composite of dielectric material.
  • the ILD layers 214 and 224 may be oxide deposited on the respective active silicon (Si) layers 212 and 222 .
  • the bottom wafer 210 can be made thick to support the stacking of the top wafer 220 , while the top wafer 220 can be made thinned to minimize interconnection lengths between vertically stacked wafers 210 and 220 .
  • the wafers 210 and 220 can also be aligned using a standard alignment tool and bonded, via a metal bonding layer 106 deposited on opposing surfaces of the bottom wafer 210 and the top wafer 220 at designated bonding areas to establish electrical connections between active IC devices on vertically stacked wafers 210 and 220 and to bond adjacent wafers 210 and 220 , while maintaining electrical isolation between bonding areas via ILD layers 214 and 224 .
  • the metal bonding process between adjacent wafers 210 and 220 may be performed in a vacuum or an inert gas environment, and a dielectric recess can be made surrounding the metal bonding areas, e.g., the metal bonding layer 106 to facilitate direct metal bonding between adjacent wafers 210 and 220 to ensure that the adjacent wafers 210 and 220 are bonded, while maintaining electrical isolation between the metal bonding areas.
  • the metal bonding layer 106 may include a plurality of interconnect metallic lines deposited on opposing surfaces of the vertically stacked wafers 210 and 220 that can be used for metal diffusion bonding while serving as electrical contacts between active IC devices on the vertically stacked wafers 210 and 220 .
  • Copper (Cu) or Cu alloy may be selected because of its low electrical resistivity, high electro-migration resistance and high diffusivity.
  • other metallic materials can also be used, including, for example, tin, indium, gold, nickel, silver, palladium, palladium-nickel alloy, titanium, or any combination thereof.
  • the top wafer 220 can also be thinned for a subsequent silicon (Si) via process. Thereafter, one or more interwafer (interconnect) vias (or via holes) 226 can be etched, via the top wafer 220 , to establish electrical connections between active IC devices on the vertically stacked wafers 210 and 220 and an external interconnect (not shown), via a C4 bump 228 .
  • interwafer (interconnect) vias (or via holes) 226 can be etched, via the top wafer 220 , to establish electrical connections between active IC devices on the vertically stacked wafers 210 and 220 and an external interconnect (not shown), via a C4 bump 228 .
  • Interwafer vias 226 can be formed employing damascene technology, that is, forming an opening, e.g., a damascene opening in the ILD layer 224 through the active layer 222 , depositing a diffusion barrier layer, typically tantalum (Ta), titanium (Ti), or tungsten (W), and filling the opening with copper (Cu) or a Cu alloy.
  • a diffusion barrier layer typically tantalum (Ta), titanium (Ti), or tungsten (W)
  • the opening in the ILD layer 224 can be filled by initially depositing a seed layer and then electroplating the copper (Cu) or Cu alloy layer.
  • the seed layer typically comprises copper (Cu), though other materials such as refractory metals have been suggested.
  • Both the seed layer and barrier layer are typically deposited by a Physical Vapor Deposition (PVD) process and, for purposes of simplification, can be referred to as a single barrier/seed layer.
  • Chemical Mechanical Polish (CMP) can then be performed such that the upper surface of the Cu or Cu alloy layer is substantially coplanar with the upper surface of the active Si layer 222 .
  • FIGS. 3A-3C illustrate an example process of vertically stacking multiple wafers in an example three-dimensional (3-D) wafer-to-wafer vertical stack shown in FIG. 2 .
  • Each of the adjacent wafers 210 and 220 contains an active Si layer ( 212 and 222 ) for supporting one or more active IC devices (not shown), an oxide layer ( 214 and 224 ) and an identical set of metallic lines formed by the metal bonding layer 106 to dispose in the oxide layer ( 214 and 224 ) of the adjacent wafers 210 and 220 for serving not only as electrical connections to active IC devices on adjacent wafers 210 and 220 but also for bonding the adjacent wafers 210 and 220 .
  • Metallic lines on the oxide layer 214 and 224 of the adjacent wafers 210 and 220 can be formed by etching the oxide layer 214 and 224 using an etch mask and then filling etched areas (trenches) on the oxide layer 214 and 224 with copper (Cu), Cu alloy or other selected metallic materials as described with reference to FIG. 2 .
  • Cu copper
  • Cu alloy copper
  • an alignment mark 310 may be used to facilitate the face to face alignment between the top wafer 220 and the bottom wafer 210 before the wafers 210 and 220 are ready for bonding. If the alignment mark 310 is needed, an oxide trench alignment mark can be processed on the top wafer 220 . When both wafers 210 and 220 are ready for bonding, the wafers 210 and 220 are aligned using a standard alignment tool and bonded using metal to metal bond, via a metal bonding layer 106 .
  • the top wafer 220 may be thinned by a Chemical Mechanical Polish (CMP), grinding, or Silicon (Si) wet etch process so as to minimize the wiring length between the vertically stacked wafers 210 and 220 .
  • CMP Chemical Mechanical Polish
  • Si Silicon
  • the top wafer 220 is typically 700-760 .mu.m of silicon (Si).
  • one or more interwafer vias (or via holes) 226 can be formed at designated locations to establish electrical connections between active IC devices on the vertically stacked wafers 210 and 220 and an external interconnect (not shown).
  • the interwafer vias 226 can be patterned by conventional lithography and the active silicon (Si) on the top wafer 220 can be etched using an etch mask.
  • the active silicon (Si) layer 222 of the top wafer 220 is etched using an etch mask to pattern one or more vias 226 .
  • Via etch can be performed by several techniques. For example, the silicon (Si) layer 222 of the top wafer 220 can be etched first stopping at the oxide layer 224 . A thin layer of oxide 320 can then be deposited in the Si vias 226 , as shown in FIG. 3C , so as to protect and insulate the sidewall of the Si vias 226 . Then oxide via (oxide layer 320 and ILD 224 ) can be etched using an etch mask, stopping on a barrier/seed layer 330 .
  • a silicon (Si) via etch is first performed stopping at the oxide layer 224 to form Si vias 226 .
  • Oxide is then deposited in the Si vias 226 and an oxide via etch is performed, leaving behind a thin layer of oxide 320 deposited on a sidewall of the interwafer vias 226 .
  • the silicon (Si) layer 222 and the oxide layer 224 of the top wafer 220 can be etched in the same step.
  • a thin layer of oxide 320 can then be deposited on the interwafer vias 226 so as to protect and insulate the sidewall of the interwafer vias 226 .
  • anisotropic oxide etch can be performed to remove the thin layer of oxide 320 at the bottom of the interwafer vias 226 .
  • the silicon (Si) via etch and the oxide via etch are performed at the same time.
  • Oxide is then deposited in the interwafer vias 226 and anisotropic oxide via etch is performed to clear a thin layer of oxide at the bottom of the interwafer vias 226 .
  • a barrier/seed layer 330 can then deposited inside the oxide via.
  • a barrier/seed layer 330 contains a barrier layer deposited on the oxide layer 320 and a seed layer deposited on the barrier layer using, for example, a Chemical Vapor Deposition (CVD) process.
  • the barrier layer can be a single or a stack of materials selected from the groups of tantalum (Ta), tantalum nitride (TaN), titanium (Ti), and tungsten (W).
  • the seed layer can be a few layers of copper (Cu) atoms deposited on the barrier layer by a Chemical Vapor Deposition (CVD) process.
  • copper (Cu) 340 can then be deposited in the interwafer vias 226 , via electroplating and Chemical Mechanical Polish (CMP), to establish electrical connections of active IC devices between vertically stacked wafers 210 and 220 to an external interconnect, via the C4 bump 228 shown in FIG. 2 .
  • CMP Chemical Mechanical Polish
  • FIG. 4 illustrates an example 3-D wafer-to-wafer vertical stack 400 according to another embodiment of the present invention.
  • the bottom silicon (Si) wafer 410 may include an active silicon (Si) layer 412 supporting one or more active IC devices (not shown), and an interlayer dielectric (ILD) layer 414 .
  • the top Si wafer 420 may also include an active silicon (Si) layer 422 supporting one or more active IC devices (not shown), and an interlayer dielectric (ILD) layer 424 .
  • the ILD layer 414 and 424 are oxide deposited on the respective active silicon (Si) layer 412 and 422 .
  • the wafers 410 and 420 can then be aligned and bonded, via a metal bonding layer 106 deposited on opposing surfaces of the bottom wafer 410 and the top wafer 420 at designated bonding areas to establish electrical connections between active IC devices on vertically stacked wafers 410 and 420 and to bond adjacent wafers 410 and 420 , while maintaining electrical isolation between bonding areas via an ILD layer 414 and 424 .
  • One or more interwafer vias 426 can be etched, via the top wafer 420 , to establish electrical connections between active IC devices on the vertically stacked wafers 410 and 420 and an external interconnect (not shown), via a C4 bump 448 .
  • a conductive plug 430 filling a via hole is formed during a standard W contact process to serve as an etch stop to stop the silicon (Si) via etch before the wafers 410 and 420 are bonded so as to establish electrical contact with an active region, via the copper (Cu) lines (the metal bonding layer 106 ) of the vertically stacked wafers 410 and 420 .
  • Such a conductive plug 430 filling a via hole (trench) is typically formed by forming an opening through the dielectric oxide by conventional photolithographic and etching techniques, and filling the opening with a conductive material such as tungsten “W”.
  • Tungsten “W” conductive plug 430 serves as an etch stop to stop the silicon (Si) via etch in order to avoid the requirement of a high selectivity etch process to stop at a thin barrier layer as described with reference to FIGS. 3A-3C .
  • FIGS. 5A-5C illustrate an example wafer bond and via etch in an example 3-D wafer-to-wafer vertical stack 400 as shown in FIG. 4 .
  • an alignment mark 510 may be used to facilitate the face to face alignment between the top wafer 420 and the bottom wafer 410 before the wafers 410 and 420 are ready for bonding. If the alignment mark 510 is needed, an oxide trench alignment mark can be processed on the top wafer 420 . When both wafers 410 and 420 are ready for bonding, the wafers 410 and 420 are aligned using a standard alignment tool and bonded using metal to metal bond, via a metal bonding layer 106 .
  • the top wafer 420 may be thinned by a Chemical Mechanical Polish (CMP), grinding, or Silicon (Si) wet etch process so as to minimize the wiring length between the vertically stacked wafers 410 and 420 .
  • CMP Chemical Mechanical Polish
  • Si Silicon
  • one or more interwafer vias 426 can be formed at designated locations to establish electrical connections between active IC devices on the vertically stacked wafers 410 and 420 and an external interconnect (not shown).
  • the interwafer vias 426 can be patterned by conventional lithography and the active silicon (Si) on the top wafer 420 can be etched using an etch mask.
  • the active silicon (Si) layer 422 of the top wafer 420 is etched using an etch mask to pattern one or more interwafer vias 426 .
  • the silicon (Si) layer 422 of the top wafer 420 is etched stopping at the tungsten “W” conductive plug 430 .
  • a thin layer of oxide 520 can then be deposited on the interwafer vias 426 so as to protect and insulate the sidewall of the interwafer vias 426 .
  • anisotropic oxide etch can be performed to remove the thin layer of oxide 520 at the bottom of the interwafer vias 426 .
  • the silicon (Si) via etch is performed stopping at the tungsten “W” conductive plug 430 .
  • Oxide is then deposited in the interwafer vias 426 and anisotropic oxide via etch is performed to clear a thin layer of oxide 520 at the bottom of the interwafer vias 426 .
  • oxide via etch There is no need for oxide via etch since the tungsten “W” plug 430 serves as electrical connection.
  • a barrier/seed layer 530 can then deposited on the oxide layer 520 and the bottom of the interwafer vias 426 .
  • copper (Cu) 540 can then be deposited in the interwafer vias 426 , via electroplating and Chemical Mechanical Polish (CMP), to establish electrical connections between active IC devices on the vertically stacked wafers 410 and 420 and an external interconnect (not shown), via the C4 bump 428 shown in FIG. 4 .
  • CMP Chemical Mechanical Polish
  • silicon (Si) via pattern/etch/oxide deposition steps used to protect silicon (Si) sidewall are required for electrical isolation between vias.
  • these steps can be completed during Shallow Trench Isolation (STI) process steps in the wafer that is placed on the top (i.e., top wafer 220 shown in FIG. 2 or 420 shown in FIG. 4 ).
  • STI Shallow Trench Isolation
  • FIG. 6 illustrates an example via etch during STI process steps in the example 2-wafer vertical stack 200 shown in FIG. 2 .
  • Si vias 226 can be patterned, etched, and STI oxide can then be deposited in all vias 226 .
  • the wafers 210 and 220 are aligned and bonded using metal to metal bond, via a metal bonding layer 106 .
  • the top wafer 220 may be thinned by a Chemical Mechanical Polish (CMP), grinding, or Silicon (Si) wet etch process so as to minimize the wiring length between the vertically stacked wafers 210 and 220 .
  • CMP Chemical Mechanical Polish
  • Si Silicon
  • one or more STI oxide vias 226 can be patterned by conventional lithography and the active silicon (Si) on the top wafer 220 can be etched using an etch mask stopping at the barrier/seed or tungsten “W” contact plug.
  • Copper (Cu) can then be deposited in the interwafer vias 226 , via electroplating and Chemical Mechanical Polish (CMP), to establish electrical connections between active IC devices on the vertically stacked wafers 210 and 220 and an external interconnect (not shown), via the C4 bump 228 shown in FIG. 2 .
  • CMP Chemical Mechanical Polish
  • FIGS. 7A-7B and FIGS. 8-9 illustrate an example 4-wafer vertical stack and various techniques of increasing metal bonding areas for multiple (>2) wafer to wafer bonding process according to an embodiment of the present invention.
  • FIGS. 7A-7B illustrate an example 4-wafer vertical stack with increased metal bonding areas for multiple wafer-to-wafer bonding according to an embodiment of the present invention.
  • the multiple vertical stack 700 contains wafer # 1 710 including an active layer 712 which supports one or more IC devices such as microprocessors, and an ILD (oxide) layer 714 ; wafer # 2 720 including an active layer 722 which supports one or more IC devices such as memory devices, and an ILD (oxide) layer 724 ; wafer # 3 730 including an active layer 732 which supports one or more IC devices such as programmable devices, and an ILD (oxide) layer 734 ; and wafer # 4 740 including an active layer 742 which supports one or more IC devices such as radio-frequency (RF) or optical communication devices, and an ILD (oxide) layer 744 .
  • RF radio-frequency
  • the bottom wafer 710 may be sufficiently thick to support the stacking of the top wafers 720 , 730 and 740 , while the top wafers 720 , 730 and 740 may be thinned to minimize interconnection lengths between vertically stacked wafers 710 , 720 , 730 and 740 .
  • the opposing surfaces of wafer # 2 720 and wafer # 3 730 can be separately thinned by a Chemical Mechanical Polish (CMP), grinding, or Silicon (Si) wet etch process so as to minimize the wiring length between the vertically stacked wafers 710 and 720 and the vertically stacked wafers 730 and 740 .
  • CMP Chemical Mechanical Polish
  • Si Silicon
  • interwafer vias 750 can be formed at designated locations to establish electrical connections of active IC devices between the vertically stacked wafers 710 and 720 and the vertically stacked wafers 730 and 740 .
  • Interwafer vias 750 can be patterned with a dual damascene process.
  • a dual damascene process involves the formation of an opening comprising a lower contact or via hole section in communication with an upper trench section, which opening is filled with a conductive material, typically a metal, to simultaneously form a conductive plug in electrical contact with a conductive line (metal bonding layer 106 ).
  • FIG. 7B illustrates a cross section of an example via 750 formed on wafer # 720 , for example, employing copper (Cu) dual damascene technology according to an embodiment of the present invention.
  • the active Si layer 722 of wafer # 2 720 is etched to form an upper trench section of vias.
  • a thin layer of oxide 752 can then be deposited on the Si vias 750 so as to protect and insulate the sidewall of the Si vias 750 .
  • the oxide layer 752 as deposited on the Si vias 750 is again etched to form a lower trench section (or via contact section) of vias in the ILD layer 724 for planned dual damascene interconnects with the lower level metalization, e.g., metallic line (metal bonding layer 106 ).
  • a barrier/seed layer 754 is then deposited overlying the active layer 722 and the ILD 724 in the vias and trenches.
  • Copper (Cu) 756 is then deposited by electroplating or any other Cu deposition techniques such as metal-organic chemical vapor deposition (CVD) or plasma-enhanced metal-organic CVD.
  • the barrier/seed layer 754 can comprise a barrier layer deposited overlying the active layer 722 and the ILD 724 and a copper (Cu) seed layer deposited overlying the barrier layer.
  • the barrier layer is typically comprised of a material that can eliminate out-diffusion of copper (Cu) ions from the dual damascene interconnect into the ILD layer 724 , and serve as a catalyst for the copper (Cu) deposition reaction.
  • the barrier layer preferably comprises one of the group containing: tantalum, titanium, and tungsten.
  • the copper (Cu) seed layer deposited on the barrier layer can be made very thin while still exhibiting excellent step coverage or conformity.
  • the copper (Cu) dual damascene process advantageously increases (Cu) metal bonding areas for multiple wafer to-wafer bonding in an example 3-D wafer-to-wafer vertical stack 700 shown in FIG. 7A .
  • FIG. 8 illustrates example 4-wafer vertical stack with increased metal bonding areas for multiple wafer-to-wafer bonding according to another embodiment of the present invention.
  • the multiple vertical stack 800 contains the same number of wafers as described with reference to FIGS.
  • wafer # 1 810 including an active layer 812 which supports one or more IC devices, and an ILD (oxide) layer 814
  • wafer # 2 820 including an active layer 822 which supports one or more IC devices, and an ILD (oxide) layer 824
  • wafer # 3 830 including an active layer 832 which supports one or more IC devices, and an ILD (oxide) layer 834
  • wafer # 4 840 including an active layer 842 which supports one or more IC devices, and an ILD (oxide) layer 844 .
  • the opposing surfaces of wafer # 2 820 and wafer # 3 830 can be separately thinned by a Chemical Mechanical Polish (CMP), grinding, or Silicon (Si) wet etch process so as to minimize the wiring length between the vertically stacked wafers 810 and 820 and the vertically stacked wafers 830 and 840 .
  • CMP Chemical Mechanical Polish
  • Si Silicon
  • interwafer (interconnect) vias 850 can be formed at designated locations to establish electrical connections of active IC devices between the vertically stacked wafers 810 and 820 and the vertically stacked wafers 830 and 840 .
  • Interwafer vias 850 and additional dummy vias 860 can be patterned with the same damascene process as described with reference to FIG. 2 . However, dummy via size can be made smaller in diameter than interwafer vias 850 .
  • the active Si layer 824 of wafer # 2 820 can be etched to form Si vias 850 and dummy vias 860 .
  • An oxide layer (not shown) can then be deposited only on the Si vias 850 so as to protect and insulate the sidewall of the Si vias 850 .
  • the oxide layer (not shown) deposited on the Si vias 850 can again be patterned and etched to form a lower contact or via hole (trench) section in the ILD layer 824 with the lower level metalization, e.g., metallic line (metal bonding layer 106 ).
  • a barrier/seed layer (not shown) can then be deposited overlying the active layer 822 and the ILD 824 in the vias and trenches.
  • Copper (Cu) is then deposited by electroplating or any other Cu deposition techniques such as metal-organic chemical vapor deposition (CVD) or plasma-enhanced metal-organic CVD.
  • dummy vias 860 can serve as additional metal bonding pads to increase the surface of (Cu) metal bonding areas for multiple (>2) wafer to-wafer bonding in an example 3-D wafer-to-wafer vertical stack 800 , as shown in FIG. 8 , while providing auxiliary structures such as ground planes or heat conduits for the active IC devices in the vertically stacked wafers 810 , 820 , 830 and 840 .
  • FIG. 9 illustrates an example 4-wafer vertical stack with increased metal bonding areas for multiple wafer-to-wafer bonding according to yet another embodiment of the present invention.
  • the multiple vertical stack 900 contains the same number of wafers as described with reference to FIGS. 7A-7B and FIG. 8 , comprising, for example, wafer # 1 910 including an active layer 912 and an ILD (oxide) layer 914 ; wafer # 2 920 including an active layer 922 and an ILD (oxide) layer 924 ; wafer # 3 930 including an active layer 932 and an ILD (oxide) layer 934 ; and wafer # 4 940 including an active layer 942 and an ILD (oxide) layer 944 .
  • the opposing surfaces of wafer # 2 920 and wafer # 3 930 can be separately thinned by a Chemical Mechanical Polish (CMP), grinding, or Silicon (Si) wet etch process so as to minimize the wiring length between the vertically stacked wafers 910 and 920 and the vertically stacked wafers 930 and 940 .
  • CMP Chemical Mechanical Polish
  • Si Silicon
  • interwafer vias 950 can be formed at designated locations to establish electrical connections of active IC devices between the vertically stacked wafers 910 and 920 and the vertically stacked wafers 930 and 940 .
  • Interwafer vias 950 can be patterned with the same damascene process as described with reference to FIG. 2 .
  • the etching process of Si vias 950 can be controlled such that the Si vias 950 can be tapered from the top to the bottom via hole.
  • tapered vias 950 can have a larger surface area so as to increase the (Cu) metal bonding areas for multiple wafer to-wafer bonding in an example 3-D wafer-to-wafer vertical stack 900 .
  • the example Si via process can be described as follows:
  • the active Si layer 924 of wafer # 2 920 can first be patterned and etched at a predetermined angle to form tapered vias 950 .
  • An oxide layer (not shown) can then be deposited only on the tapered vias 950 so as to protect and insulate the sidewall of the tapered vias 950 .
  • the oxide layer (not shown) deposited on the tapered vias 950 can again be patterned and etched to form a lower contact or via hole section in the ILD layer 924 with the lower level metalization, e.g., metallic line (metal bonding layer 106 ).
  • a barrier/seed layer (not shown) can then be deposited overlying the active layer 922 and the ILD 924 in the tapered vias 950 .
  • Copper (Cu) is then deposited by electroplating or any other Cu deposition techniques such as metal-organic chemical vapor deposition (CVD) or plasma-enhanced metal-organic CVD.
  • Metal bonding areas on wafers can be increased by using either a copper (Cu) dual damascene process, dummy vias, or tapered vias to effectively bond vertically stacked wafers and establish electrical connections between active IC devices on the vertically stacked wafers and an external interconnect (not shown), via C4 bumps.
  • Cu copper
  • dummy vias dummy vias
  • tapered vias to effectively bond vertically stacked wafers and establish electrical connections between active IC devices on the vertically stacked wafers and an external interconnect (not shown), via C4 bumps.

Abstract

A method of vertically stacking wafers is provided to form three-dimensional (3D) wafer stack. Such method comprising: selectively depositing a plurality of metallic lines on opposing surfaces of adjacent wafers; bonding the adjacent wafers, via the metallic lines, to establish electrical connections between active devices on vertically stacked wafers; and forming one or more vias to establish electrical connections between the active devices on the vertically stacked wafers and an external interconnect. Metal bonding areas on opposing surfaces of the adjacent wafers can be increased by using one or more dummy vias, tapered vias, or incorporating an existing copper (Cu) dual damascene process.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • The present patent application is a Continuation Application of, and claims priority to, Ser. No. 10/855,032, filed on May 26, 2004, which is a Divisional Application of, and claims priority to, Ser. No. 10/077,967, filed Feb. 20, 2002, which issued as U.S. Pat. No. 6,762,076 on Jul. 13, 2004.
  • This application is related to the following patents and pending applications, which are assigned to the assignee of this application: U.S. Pat. No. 6,661,085, filed on Feb. 6, 2002 and issued on Dec. 9, 2003; U.S. patent application Ser. No. 10/066,643, filed on Feb. 6, 2002 and issued as U.S. Pat. No. 6,975,016 on Dec. 13, 2005; U.S. patent application Ser. No. 10/066,645, filed on Feb. 6, 2002 and issued as U.S. Pat. No. 6,887,769 on May 3, 2005; U.S. patent application Ser. No. 10/613,006, filed on Jul. 7, 2003 and which has been allowed; and U.S. patent application Ser. No. 10/695,328, filed on Oct. 27, 2003 and issued as U.S. Pat. No. 7,037,804 on May 2, 2006.
  • TECHNICAL-FIELD
  • The present invention relates to a semiconductor process and, more specifically, relates to a process of vertically stacking multiple wafers supporting different active IC devices on a single die with low cost and high via density with optimum metal bonding areas.
  • BACKGROUND
  • Integrated circuits (ICs) form the basis for many electronic systems. Essentially, an integrated circuit (IC) includes a vast number of transistors and other circuit elements that are formed on a single semiconductor wafer or chip and are interconnected to implement a desired function. The complexity of these integrated circuits (ICs) requires the use of an ever increasing number of linked transistors and other circuit elements.
  • Many modern electronic systems are created through the use of a variety of different integrated circuits; each integrated circuit (IC) performing one or more specific functions. For example, computer systems include at least one microprocessor and a number of memory chips. Conventionally, each of these integrated circuits (ICs) is formed on a separate chip, packaged independently and interconnected on, for example, a printed circuit board (PCB).
  • As integrated circuit (IC) technology progresses, there is a growing desire for a “system on a chip” in which the functionality of all of the IC devices of the system are packaged together without a conventional PCB. Ideally, a computing system should be fabricated with all the necessary IC devices on a single chip. In practice, however, it is very difficult to implement a truly high-performance “system on a chip” because of vastly different fabrication processes and different manufacturing yields for the logic and memory circuits.
  • As a compromise, various “system modules” have been introduced that electrically connect and package integrated circuit (IC) devices which are fabricated on the same or on different semiconductor wafers. Initially, system modules have been created by simply stacking two chips, e.g., a logic and memory chip, one on top of the other in an arrangement commonly referred to as chip-on-chip structure. Subsequently, multi-chip module (MCM) technology has been utilized to stack a number of chips on a common substrate to reduce the overall size and weight of the package, which directly translates into reduced system size.
  • Existing multi-chip module (MCM) technology is known to provide performance enhancements over single chip or chip-on-chip (COC) packaging approaches. For example, when several semiconductor chips are mounted and interconnected on a common substrate through very high density interconnects, higher silicon packaging density and shorter chip-to-chip interconnections can be achieved. In addition, low dielectric constant materials and higher wiring density can also be obtained which lead to the increased system speed and reliability, and the reduced weight, volume, power consumption and heat to be dissipated for the same level of performance. However, MCM approaches still suffer from additional problems, such as bulky package, wire length and wire bonding that gives rise to stray inductances that interfere with the operation of the system module.
  • An advanced three-dimensional (3D) wafer-to-wafer vertical stack technology has been recently proposed by researchers to realize the ideal high-performance “system on a chip” as described in “Face To Face Wafer Bonding For 3D Chip Stack Fabrication To Shorten Wire Lengths” by J. F. McDonald et al., Rensselaer Polytechnic Institute (RPI) presented on Jun. 27-29, 2000 VMIC Conference, and “Copper Wafer Bonding” by A. Fan et al., Massachusetts Institute of Technology (MIT), Electrochemical and Solid-State Letters, 2 (10) 534-536 (1999). In contrast to the existing multi-chip module (MCM) technology which seeks to stack multiple chips on a common substrate, 3-D wafer-to-wafer vertical stack technology seeks to achieve the long-awaited goal of vertically stacking many layers of active IC devices such as processors, programmable devices and memory devices inside a single chip to shorten average wire lengths, thereby reducing interconnect RC delay and increasing system performance.
  • One major challenge of 3-D wafer-to-wafer vertical stack integration technology is the bonding between wafers and between die in a single chip. In the RPI publication, polymer glue is used to bond the vertically stacked wafers. In the MIT publication, copper (Cu) is used to bond the vertically stacked wafers; however, a handle (carrier wafer) is required to transport thinly stacked wafers and a polymer glue is also used to affix the handle on the top wafer during the vertically stacked wafer processing. As a result, there is a need for a simpler but more efficient process of vertically stacking multiple wafers supporting different active IC devices on a single die with low cost and high via density with optimum metal bonding areas.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • A more complete appreciation of exemplary embodiments of the present invention, and many of the attendant advantages of the present invention, will become readily apparent as the same becomes better understood by reference to the following detailed description when considered in conjunction with the accompanying drawings in which like reference symbols indicate the same or similar components, wherein:
  • FIG. 1 illustrates an example three-dimensional (3-D) wafer-to-wafer vertical stack forming a single chip;
  • FIG. 2 illustrates an example 2-wafer vertical stack according to an embodiment of the present invention;
  • FIGS. 3A-3C illustrate an example wafer bond and via etch in an example 2-wafer vertical stack as shown in FIG. 2;
  • FIG. 4 illustrates an example 2-wafer vertical stack according to another embodiment of the present invention;
  • FIGS. 5A-5C illustrate an example wafer bond and via etch in an example 2-wafer vertical stack as shown in FIG. 4;
  • FIG. 6 illustrates an example wafer bond and via etch during STI process steps in an example 2-wafer vertical stack shown in FIG. 2;
  • FIGS. 7A-7B illustrate an example 4-wafer vertical stack with increased metal bonding areas for multiple wafer-to-wafer bonding according to an embodiment of the present invention;
  • FIG. 8 illustrates example 4-wafer vertical stack with increased metal bonding areas for multiple wafer-to-wafer bonding according to another embodiment of the present invention; and
  • FIG. 9 illustrates an example 4-wafer vertical stack with increased metal bonding areas for multiple wafer-to-wafer bonding according to yet another embodiment of the present invention.
  • DETAILED DESCRIPTION
  • The present invention is applicable for use with all types of semiconductor wafers and integrated circuit (IC) devices, including, for example, MOS transistors, CMOS devices, MOSFETs, and new memory devices and communication devices such as smart cards, cellular phones, electronic tags, and gaming devices which may become available as semiconductor technology develops in the future. However, for the sake of simplicity, discussions will concentrate mainly on exemplary use a three-dimensional (3-D) wafer-to-wafer vertical stack, although the scope of the present invention is not limited thereto.
  • Attention now is directed to the drawings and particularly to FIG. 1, an example three-dimensional (3-D) wafer-to-wafer vertical stack is illustrated. As shown in FIG. 1, the 3-D vertical stack (chip) 100 may comprise any number of active device polysilicon (Si) wafers, such as wafer # 1 110 including, for example, one or more microprocessors; wafer # 2 120 including one or more memory devices; and wafer # 3 130 including one or more radio-frequency (RF) or optical communication devices. Typically, a dielectric layer 102 is used to bond the active device wafers 110, 120 and 130.
  • According to one aspect of the present invention, however, a metal to metal bond can be used to stack wafers 110, 120 and 130 to form the vertical stack 100. This metal to metal bond method may serve not only as electrical connections to active IC devices on the vertically stacked wafers 110, 120 and 130 on a 3-D wafer-to-wafer vertical stack 100 but also bond adjacent wafers 110, 120 and 130. Dummy metal, bonding pads can also be made to increase the surface area for wafer to wafer bonding and serve as auxiliary structures such as ground planes or heat conduits for the active IC devices. In addition, improved etch stop layers for the Si via etch can be used in vertically stacked wafer processing (i.e., 3-D interconnect processing) which provide more efficient electrical conductivity between vertically stacked wafers 110, 120 and 130.
  • Turning now to FIG. 2, an example three-dimensional (3-D) wafer-to-wafer vertical stack according to an embodiment of the present invention is illustrated. Specifically, FIG. 2 illustrates an example 2-wafers vertical stack 200. However, the number of wafers in a vertical stack is not limited hereto. Through 3-D interconnect structure, wiring between vertically stacked wafers can be shortened resulting a faster signal and minimal interconnect RC delays. In addition, the vertical stack can effectively integrate diverse process technologies on a single wafer process, such as, for example, logic/memory stacking, processor stacking, optical interconnect, system-on-chip, and RF interconnect.
  • As shown in FIG. 2, the bottom silicon (Si) wafer 210 may include an active silicon (Si) layer 212 supporting one or more active IC devices (not shown), and an interlayer dielectric (ILD) layer 214. Likewise, the top Si wafer 220 may also include an active silicon (Si) layer 222 supporting one or more active IC devices (not shown), and an interlayer dielectric (ILD) layer 224. In both wafers 210 and 220, the ILD layers 214 and 224 are shown as a single layer respectively for purposes of simplification. In practice, the ILD layers 214 and 224 may comprise a stack or composite of dielectric material. Typically, the ILD layers 214 and 224 may be oxide deposited on the respective active silicon (Si) layers 212 and 222. In addition, the bottom wafer 210 can be made thick to support the stacking of the top wafer 220, while the top wafer 220 can be made thinned to minimize interconnection lengths between vertically stacked wafers 210 and 220. The wafers 210 and 220 can also be aligned using a standard alignment tool and bonded, via a metal bonding layer 106 deposited on opposing surfaces of the bottom wafer 210 and the top wafer 220 at designated bonding areas to establish electrical connections between active IC devices on vertically stacked wafers 210 and 220 and to bond adjacent wafers 210 and 220, while maintaining electrical isolation between bonding areas via ILD layers 214 and 224.
  • In the example 2-wafer vertical stack 200 shown in FIG. 2, the metal bonding process between adjacent wafers 210 and 220 may be performed in a vacuum or an inert gas environment, and a dielectric recess can be made surrounding the metal bonding areas, e.g., the metal bonding layer 106 to facilitate direct metal bonding between adjacent wafers 210 and 220 to ensure that the adjacent wafers 210 and 220 are bonded, while maintaining electrical isolation between the metal bonding areas. The metal bonding layer 106 may include a plurality of interconnect metallic lines deposited on opposing surfaces of the vertically stacked wafers 210 and 220 that can be used for metal diffusion bonding while serving as electrical contacts between active IC devices on the vertically stacked wafers 210 and 220. Copper (Cu) or Cu alloy may be selected because of its low electrical resistivity, high electro-migration resistance and high diffusivity. However, other metallic materials can also be used, including, for example, tin, indium, gold, nickel, silver, palladium, palladium-nickel alloy, titanium, or any combination thereof.
  • After the wafer bonding process is completed, the top wafer 220 can also be thinned for a subsequent silicon (Si) via process. Thereafter, one or more interwafer (interconnect) vias (or via holes) 226 can be etched, via the top wafer 220, to establish electrical connections between active IC devices on the vertically stacked wafers 210 and 220 and an external interconnect (not shown), via a C4 bump 228. Interwafer vias 226 can be formed employing damascene technology, that is, forming an opening, e.g., a damascene opening in the ILD layer 224 through the active layer 222, depositing a diffusion barrier layer, typically tantalum (Ta), titanium (Ti), or tungsten (W), and filling the opening with copper (Cu) or a Cu alloy. The opening in the ILD layer 224 can be filled by initially depositing a seed layer and then electroplating the copper (Cu) or Cu alloy layer. The seed layer typically comprises copper (Cu), though other materials such as refractory metals have been suggested. Both the seed layer and barrier layer are typically deposited by a Physical Vapor Deposition (PVD) process and, for purposes of simplification, can be referred to as a single barrier/seed layer. Chemical Mechanical Polish (CMP) can then be performed such that the upper surface of the Cu or Cu alloy layer is substantially coplanar with the upper surface of the active Si layer 222.
  • FIGS. 3A-3C illustrate an example process of vertically stacking multiple wafers in an example three-dimensional (3-D) wafer-to-wafer vertical stack shown in FIG. 2. Each of the adjacent wafers 210 and 220 contains an active Si layer (212 and 222) for supporting one or more active IC devices (not shown), an oxide layer (214 and 224) and an identical set of metallic lines formed by the metal bonding layer 106 to dispose in the oxide layer (214 and 224) of the adjacent wafers 210 and 220 for serving not only as electrical connections to active IC devices on adjacent wafers 210 and 220 but also for bonding the adjacent wafers 210 and 220. Metallic lines on the oxide layer 214 and 224 of the adjacent wafers 210 and 220 can be formed by etching the oxide layer 214 and 224 using an etch mask and then filling etched areas (trenches) on the oxide layer 214 and 224 with copper (Cu), Cu alloy or other selected metallic materials as described with reference to FIG. 2.
  • As shown in FIG. 3A, an alignment mark 310 may be used to facilitate the face to face alignment between the top wafer 220 and the bottom wafer 210 before the wafers 210 and 220 are ready for bonding. If the alignment mark 310 is needed, an oxide trench alignment mark can be processed on the top wafer 220. When both wafers 210 and 220 are ready for bonding, the wafers 210 and 220 are aligned using a standard alignment tool and bonded using metal to metal bond, via a metal bonding layer 106. After the wafers 210 and 220 are bonded, the top wafer 220 may be thinned by a Chemical Mechanical Polish (CMP), grinding, or Silicon (Si) wet etch process so as to minimize the wiring length between the vertically stacked wafers 210 and 220. For example, the top wafer 220 is typically 700-760 .mu.m of silicon (Si). After the wafer-to-wafer bonding and silicon (Si) thinning processes are completed, one or more interwafer vias (or via holes) 226 can be formed at designated locations to establish electrical connections between active IC devices on the vertically stacked wafers 210 and 220 and an external interconnect (not shown). The interwafer vias 226 can be patterned by conventional lithography and the active silicon (Si) on the top wafer 220 can be etched using an etch mask.
  • As shown in FIG. 3B, the active silicon (Si) layer 222 of the top wafer 220 is etched using an etch mask to pattern one or more vias 226. Via etch can be performed by several techniques. For example, the silicon (Si) layer 222 of the top wafer 220 can be etched first stopping at the oxide layer 224. A thin layer of oxide 320 can then be deposited in the Si vias 226, as shown in FIG. 3C, so as to protect and insulate the sidewall of the Si vias 226. Then oxide via (oxide layer 320 and ILD 224) can be etched using an etch mask, stopping on a barrier/seed layer 330. In other words, a silicon (Si) via etch is first performed stopping at the oxide layer 224 to form Si vias 226. Oxide is then deposited in the Si vias 226 and an oxide via etch is performed, leaving behind a thin layer of oxide 320 deposited on a sidewall of the interwafer vias 226.
  • In another example technique, the silicon (Si) layer 222 and the oxide layer 224 of the top wafer 220 can be etched in the same step. A thin layer of oxide 320 can then be deposited on the interwafer vias 226 so as to protect and insulate the sidewall of the interwafer vias 226. Then anisotropic oxide etch can be performed to remove the thin layer of oxide 320 at the bottom of the interwafer vias 226. In other words, the silicon (Si) via etch and the oxide via etch are performed at the same time. Oxide is then deposited in the interwafer vias 226 and anisotropic oxide via etch is performed to clear a thin layer of oxide at the bottom of the interwafer vias 226.
  • After the oxide etch or the anisotropic oxide etch, a barrier/seed layer 330 can then deposited inside the oxide via. Such a barrier/seed layer 330 contains a barrier layer deposited on the oxide layer 320 and a seed layer deposited on the barrier layer using, for example, a Chemical Vapor Deposition (CVD) process. The barrier layer can be a single or a stack of materials selected from the groups of tantalum (Ta), tantalum nitride (TaN), titanium (Ti), and tungsten (W). The seed layer can be a few layers of copper (Cu) atoms deposited on the barrier layer by a Chemical Vapor Deposition (CVD) process.
  • After the barrier/seed layer 330, copper (Cu) 340 can then be deposited in the interwafer vias 226, via electroplating and Chemical Mechanical Polish (CMP), to establish electrical connections of active IC devices between vertically stacked wafers 210 and 220 to an external interconnect, via the C4 bump 228 shown in FIG. 2.
  • FIG. 4 illustrates an example 3-D wafer-to-wafer vertical stack 400 according to another embodiment of the present invention. As shown in FIG. 4, the bottom silicon (Si) wafer 410 may include an active silicon (Si) layer 412 supporting one or more active IC devices (not shown), and an interlayer dielectric (ILD) layer 414. Likewise, the top Si wafer 420 may also include an active silicon (Si) layer 422 supporting one or more active IC devices (not shown), and an interlayer dielectric (ILD) layer 424. In both wafers 410 and 420, the ILD layer 414 and 424 are oxide deposited on the respective active silicon (Si) layer 412 and 422. The wafers 410 and 420 can then be aligned and bonded, via a metal bonding layer 106 deposited on opposing surfaces of the bottom wafer 410 and the top wafer 420 at designated bonding areas to establish electrical connections between active IC devices on vertically stacked wafers 410 and 420 and to bond adjacent wafers 410 and 420, while maintaining electrical isolation between bonding areas via an ILD layer 414 and 424. One or more interwafer vias 426 can be etched, via the top wafer 420, to establish electrical connections between active IC devices on the vertically stacked wafers 410 and 420 and an external interconnect (not shown), via a C4 bump 448.
  • However, in the example 2-wafer vertical stack 400 shown in FIG. 4, a conductive plug 430 filling a via hole (or hole like via) is formed during a standard W contact process to serve as an etch stop to stop the silicon (Si) via etch before the wafers 410 and 420 are bonded so as to establish electrical contact with an active region, via the copper (Cu) lines (the metal bonding layer 106) of the vertically stacked wafers 410 and 420. Such a conductive plug 430 filling a via hole (trench) is typically formed by forming an opening through the dielectric oxide by conventional photolithographic and etching techniques, and filling the opening with a conductive material such as tungsten “W”. Copper (Cu) lines are then used for metal diffusion bonding and serve as electrical contacts between active IC devices on the vertically stacked wafers 410 and 420. Tungsten “W” conductive plug 430 serves as an etch stop to stop the silicon (Si) via etch in order to avoid the requirement of a high selectivity etch process to stop at a thin barrier layer as described with reference to FIGS. 3A-3C.
  • FIGS. 5A-5C illustrate an example wafer bond and via etch in an example 3-D wafer-to-wafer vertical stack 400 as shown in FIG. 4. As shown in FIG. 5A, an alignment mark 510 may be used to facilitate the face to face alignment between the top wafer 420 and the bottom wafer 410 before the wafers 410 and 420 are ready for bonding. If the alignment mark 510 is needed, an oxide trench alignment mark can be processed on the top wafer 420. When both wafers 410 and 420 are ready for bonding, the wafers 410 and 420 are aligned using a standard alignment tool and bonded using metal to metal bond, via a metal bonding layer 106. After the wafers 410 and 420 are bonded, the top wafer 420 may be thinned by a Chemical Mechanical Polish (CMP), grinding, or Silicon (Si) wet etch process so as to minimize the wiring length between the vertically stacked wafers 410 and 420. After the wafer-to-wafer bonding and silicon (Si) thinning processes are completed, one or more interwafer vias 426 can be formed at designated locations to establish electrical connections between active IC devices on the vertically stacked wafers 410 and 420 and an external interconnect (not shown). The interwafer vias 426 can be patterned by conventional lithography and the active silicon (Si) on the top wafer 420 can be etched using an etch mask.
  • As shown in FIG. 5B, the active silicon (Si) layer 422 of the top wafer 420 is etched using an etch mask to pattern one or more interwafer vias 426. The silicon (Si) layer 422 of the top wafer 420 is etched stopping at the tungsten “W” conductive plug 430. A thin layer of oxide 520 can then be deposited on the interwafer vias 426 so as to protect and insulate the sidewall of the interwafer vias 426. Then anisotropic oxide etch can be performed to remove the thin layer of oxide 520 at the bottom of the interwafer vias 426. In other words, the silicon (Si) via etch is performed stopping at the tungsten “W” conductive plug 430. Oxide is then deposited in the interwafer vias 426 and anisotropic oxide via etch is performed to clear a thin layer of oxide 520 at the bottom of the interwafer vias 426. There is no need for oxide via etch since the tungsten “W” plug 430 serves as electrical connection.
  • After the anisotropic oxide etch, a barrier/seed layer 530 can then deposited on the oxide layer 520 and the bottom of the interwafer vias 426. After the barrier/seed layer 530, copper (Cu) 540 can then be deposited in the interwafer vias 426, via electroplating and Chemical Mechanical Polish (CMP), to establish electrical connections between active IC devices on the vertically stacked wafers 410 and 420 and an external interconnect (not shown), via the C4 bump 428 shown in FIG. 4.
  • In both the example 2-wafer vertical stack 200 shown in FIG. 2 and the example 2-wafer vertical stack 400 shown in FIG. 4, silicon (Si) via pattern/etch/oxide deposition steps used to protect silicon (Si) sidewall are required for electrical isolation between vias. However, these steps (Si via pattern/etch/oxide deposition) can be completed during Shallow Trench Isolation (STI) process steps in the wafer that is placed on the top (i.e., top wafer 220 shown in FIG. 2 or 420 shown in FIG. 4).
  • For example, FIG. 6 illustrates an example via etch during STI process steps in the example 2-wafer vertical stack 200 shown in FIG. 2. During STI process steps, Si vias 226 can be patterned, etched, and STI oxide can then be deposited in all vias 226. When both wafers 210 and 220 are ready for bonding, the wafers 210 and 220 are aligned and bonded using metal to metal bond, via a metal bonding layer 106. After the wafers 210 and 220 are bonded, the top wafer 220 may be thinned by a Chemical Mechanical Polish (CMP), grinding, or Silicon (Si) wet etch process so as to minimize the wiring length between the vertically stacked wafers 210 and 220. After the wafer-to-wafer bonding and silicon (Si) thinning processes are completed, one or more STI oxide vias 226 can be patterned by conventional lithography and the active silicon (Si) on the top wafer 220 can be etched using an etch mask stopping at the barrier/seed or tungsten “W” contact plug. Copper (Cu) can then be deposited in the interwafer vias 226, via electroplating and Chemical Mechanical Polish (CMP), to establish electrical connections between active IC devices on the vertically stacked wafers 210 and 220 and an external interconnect (not shown), via the C4 bump 228 shown in FIG. 2.
  • In the example 3-D wafer-to-wafer vertical stacks as described with reference to FIGS. 2-6, two (2) wafers are bonded face to face, and only the top wafer needs silicon (Si) vias to establish electrical connections of active IC devices between vertically stacked wafers to an external interconnect, via C4 bumps. However, when one or more additional wafers are bonded back to back on the second (top) wafer in the example 3-D wafer-to-wafer vertical stacks as described with reference to FIGS. 2-6, a large metal bonding area for wafer to wafer bonding process is required.
  • According to another aspect of the present invention, effective metal bonding areas on opposing surfaces of vertically stacked wafers can be made increased without consuming active silicon (Si) area by using one or more dummy Si vias, tapered Si vias, or incorporating an existing copper (Cu) dual damascene process. FIGS. 7A-7B and FIGS. 8-9 illustrate an example 4-wafer vertical stack and various techniques of increasing metal bonding areas for multiple (>2) wafer to wafer bonding process according to an embodiment of the present invention.
  • For example, FIGS. 7A-7B illustrate an example 4-wafer vertical stack with increased metal bonding areas for multiple wafer-to-wafer bonding according to an embodiment of the present invention. As shown in FIG. 7A, the multiple vertical stack 700 contains wafer # 1 710 including an active layer 712 which supports one or more IC devices such as microprocessors, and an ILD (oxide) layer 714; wafer # 2 720 including an active layer 722 which supports one or more IC devices such as memory devices, and an ILD (oxide) layer 724; wafer # 3 730 including an active layer 732 which supports one or more IC devices such as programmable devices, and an ILD (oxide) layer 734; and wafer # 4 740 including an active layer 742 which supports one or more IC devices such as radio-frequency (RF) or optical communication devices, and an ILD (oxide) layer 744. The bottom wafer 710 may be sufficiently thick to support the stacking of the top wafers 720, 730 and 740, while the top wafers 720, 730 and 740 may be thinned to minimize interconnection lengths between vertically stacked wafers 710, 720, 730 and 740.
  • After the first two wafers are bonded in the same manner as described with reference to FIG. 2, that is, after wafer # 1 710 and wafer # 2 720, and wafer # 3 730 and wafer # 4 740 are bonded separately, via the metal bonding layer 106, the opposing surfaces of wafer # 2 720 and wafer # 3 730 can be separately thinned by a Chemical Mechanical Polish (CMP), grinding, or Silicon (Si) wet etch process so as to minimize the wiring length between the vertically stacked wafers 710 and 720 and the vertically stacked wafers 730 and 740. After the wafer-to-wafer bonding and silicon (Si) thinning processes are completed, interwafer vias 750 can be formed at designated locations to establish electrical connections of active IC devices between the vertically stacked wafers 710 and 720 and the vertically stacked wafers 730 and 740. Interwafer vias 750 can be patterned with a dual damascene process. A dual damascene process involves the formation of an opening comprising a lower contact or via hole section in communication with an upper trench section, which opening is filled with a conductive material, typically a metal, to simultaneously form a conductive plug in electrical contact with a conductive line (metal bonding layer 106).
  • FIG. 7B illustrates a cross section of an example via 750 formed on wafer # 720, for example, employing copper (Cu) dual damascene technology according to an embodiment of the present invention. As shown in FIG. 7B, the active Si layer 722 of wafer # 2 720 is etched to form an upper trench section of vias. A thin layer of oxide 752 can then be deposited on the Si vias 750 so as to protect and insulate the sidewall of the Si vias 750. The oxide layer 752 as deposited on the Si vias 750 is again etched to form a lower trench section (or via contact section) of vias in the ILD layer 724 for planned dual damascene interconnects with the lower level metalization, e.g., metallic line (metal bonding layer 106). A barrier/seed layer 754 is then deposited overlying the active layer 722 and the ILD 724 in the vias and trenches. Copper (Cu) 756 is then deposited by electroplating or any other Cu deposition techniques such as metal-organic chemical vapor deposition (CVD) or plasma-enhanced metal-organic CVD.
  • The barrier/seed layer 754 can comprise a barrier layer deposited overlying the active layer 722 and the ILD 724 and a copper (Cu) seed layer deposited overlying the barrier layer. The barrier layer is typically comprised of a material that can eliminate out-diffusion of copper (Cu) ions from the dual damascene interconnect into the ILD layer 724, and serve as a catalyst for the copper (Cu) deposition reaction. The barrier layer preferably comprises one of the group containing: tantalum, titanium, and tungsten. The copper (Cu) seed layer deposited on the barrier layer can be made very thin while still exhibiting excellent step coverage or conformity. The copper (Cu) dual damascene process advantageously increases (Cu) metal bonding areas for multiple wafer to-wafer bonding in an example 3-D wafer-to-wafer vertical stack 700 shown in FIG. 7A.
  • FIG. 8 illustrates example 4-wafer vertical stack with increased metal bonding areas for multiple wafer-to-wafer bonding according to another embodiment of the present invention. As shown in FIG. 8, the multiple vertical stack 800 contains the same number of wafers as described with reference to FIGS. 7A-7B, comprising, for example, wafer # 1 810 including an active layer 812 which supports one or more IC devices, and an ILD (oxide) layer 814; wafer # 2 820 including an active layer 822 which supports one or more IC devices, and an ILD (oxide) layer 824; wafer # 3 830 including an active layer 832 which supports one or more IC devices, and an ILD (oxide) layer 834; and wafer # 4 840 including an active layer 842 which supports one or more IC devices, and an ILD (oxide) layer 844.
  • After the first two wafers are bonded in the same manner as described with reference to FIG. 2, that is, after wafer # 1 810 and wafer # 2 820, and wafer # 3 830 and wafer # 4 840 are bonded separately, via the metal bonding layer 106, the opposing surfaces of wafer # 2 820 and wafer # 3 830 can be separately thinned by a Chemical Mechanical Polish (CMP), grinding, or Silicon (Si) wet etch process so as to minimize the wiring length between the vertically stacked wafers 810 and 820 and the vertically stacked wafers 830 and 840. After the wafer-to-wafer bonding and silicon (Si) thinning processes are completed, interwafer (interconnect) vias 850 can be formed at designated locations to establish electrical connections of active IC devices between the vertically stacked wafers 810 and 820 and the vertically stacked wafers 830 and 840. Interwafer vias 850 and additional dummy vias 860 can be patterned with the same damascene process as described with reference to FIG. 2. However, dummy via size can be made smaller in diameter than interwafer vias 850.
  • For example, the active Si layer 824 of wafer # 2 820 can be etched to form Si vias 850 and dummy vias 860. An oxide layer (not shown) can then be deposited only on the Si vias 850 so as to protect and insulate the sidewall of the Si vias 850. The oxide layer (not shown) deposited on the Si vias 850 can again be patterned and etched to form a lower contact or via hole (trench) section in the ILD layer 824 with the lower level metalization, e.g., metallic line (metal bonding layer 106). A barrier/seed layer (not shown) can then be deposited overlying the active layer 822 and the ILD 824 in the vias and trenches. Copper (Cu) is then deposited by electroplating or any other Cu deposition techniques such as metal-organic chemical vapor deposition (CVD) or plasma-enhanced metal-organic CVD. As a result, dummy vias 860 can serve as additional metal bonding pads to increase the surface of (Cu) metal bonding areas for multiple (>2) wafer to-wafer bonding in an example 3-D wafer-to-wafer vertical stack 800, as shown in FIG. 8, while providing auxiliary structures such as ground planes or heat conduits for the active IC devices in the vertically stacked wafers 810, 820, 830 and 840.
  • FIG. 9 illustrates an example 4-wafer vertical stack with increased metal bonding areas for multiple wafer-to-wafer bonding according to yet another embodiment of the present invention. As shown in FIG. 9, the multiple vertical stack 900 contains the same number of wafers as described with reference to FIGS. 7A-7B and FIG. 8, comprising, for example, wafer # 1 910 including an active layer 912 and an ILD (oxide) layer 914; wafer # 2 920 including an active layer 922 and an ILD (oxide) layer 924; wafer # 3 930 including an active layer 932 and an ILD (oxide) layer 934; and wafer # 4 940 including an active layer 942 and an ILD (oxide) layer 944.
  • After the first two wafers are bonded in the same manner as described with reference to FIG. 2, that is, after wafer # 1 910 and wafer # 2 920, and wafer # 3 930 and wafer # 4 940 are bonded separately, via the metal bonding layer 106, the opposing surfaces of wafer # 2 920 and wafer # 3 930 can be separately thinned by a Chemical Mechanical Polish (CMP), grinding, or Silicon (Si) wet etch process so as to minimize the wiring length between the vertically stacked wafers 910 and 920 and the vertically stacked wafers 930 and 940. After the wafer-to-wafer bonding and silicon (Si) thinning processes are completed, interwafer vias 950 can be formed at designated locations to establish electrical connections of active IC devices between the vertically stacked wafers 910 and 920 and the vertically stacked wafers 930 and 940. Interwafer vias 950 can be patterned with the same damascene process as described with reference to FIG. 2. However, the etching process of Si vias 950 can be controlled such that the Si vias 950 can be tapered from the top to the bottom via hole. As a result, tapered vias 950 can have a larger surface area so as to increase the (Cu) metal bonding areas for multiple wafer to-wafer bonding in an example 3-D wafer-to-wafer vertical stack 900.
  • The example Si via process can be described as follows: The active Si layer 924 of wafer # 2 920 can first be patterned and etched at a predetermined angle to form tapered vias 950. An oxide layer (not shown) can then be deposited only on the tapered vias 950 so as to protect and insulate the sidewall of the tapered vias 950. The oxide layer (not shown) deposited on the tapered vias 950 can again be patterned and etched to form a lower contact or via hole section in the ILD layer 924 with the lower level metalization, e.g., metallic line (metal bonding layer 106). A barrier/seed layer (not shown) can then be deposited overlying the active layer 922 and the ILD 924 in the tapered vias 950. Copper (Cu) is then deposited by electroplating or any other Cu deposition techniques such as metal-organic chemical vapor deposition (CVD) or plasma-enhanced metal-organic CVD.
  • As described in this invention, there are several processes of vertically stacking multiple wafers supporting different active IC devices with low cost and high via density. Metal bonding areas on wafers can be increased by using either a copper (Cu) dual damascene process, dummy vias, or tapered vias to effectively bond vertically stacked wafers and establish electrical connections between active IC devices on the vertically stacked wafers and an external interconnect (not shown), via C4 bumps.
  • While there have been illustrated and described what are considered to be exemplary embodiments of the present invention, it will be understood by those skilled in the art and as technology develops that various changes and modifications may be made, and equivalents may be substituted for elements thereof without departing from the true scope of the present invention. Many modifications may be made to adapt the teachings of the present invention to a particular situation without departing from the scope thereof. Therefore, it is intended that the present invention not be limited to the various exemplary embodiments disclosed, but that the present invention includes all embodiments falling within the scope of the appended claims.

Claims (20)

1. A method of metal bonding vertically stacked wafer pairs comprising:
forming a first wafer pair, including bonding a metallic line disposed on an ILD on a front side of a first wafer to a corresponding metallic line disposed on an ILD on a front side of a second wafer;
forming a second wafer pair, including bonding a metallic line disposed on an ILD on a front side of a third wafer to a corresponding metallic line disposed on an ILD on a front side of a fourth wafer;
providing a first metal bonding area at a back side of the second wafer and a second metal bonding area at a back side of the third wafer, said providing including forming an Si via through an active layer at a back side of the second wafer, wherein a first end of the Si via connects to the metallic line of the second wafer and a second end of the Si via is exposed at the back side of the second wafer;
increasing the first and second metal bonding areas; and
bonding the increased first metal bonding area to the increased second metal bonding area.
2. The method of claim 1, wherein increasing the metal bonding areas comprises:
increasing a horizontal cross-sectional area of the second end of the Si via.
3. The method of claim 2, wherein increasing the horizontal cross-sectional area comprises:
forming an upper trench section in the back side of the second wafer, the upper trench section filled with a conductive material and connected to the Si via.
4. The method of claim 2, wherein increasing the horizontal cross-sectional area comprises:
etching the first Si via to form a tapered via.
5. The method of claim 1, wherein increasing the metal bonding areas comprises:
forming a dummy Si via in the active layer of the second wafer and a corresponding dummy Si via in the active layer of the third wafer.
6. The method of claim 5, wherein forming each dummy Si via comprises forming each dummy Si via to have a diameter smaller than a diameter of the first Si via.
7. The method of claim 1, further comprising:
thinning the back side of the second wafer.
8. The method of claim 1, further comprising:
forming a microprocessor in the first wafer;
forming a memory device in the second wafer; and
forming a communication device in the third or fourth wafer.
9. A method of metal bonding multiple vertically stacked wafers comprising:
depositing a first metallic line on an ILD of a front side of a first wafer;
depositing a second metallic line on an ILD of a front side of a second wafer;
bonding the first metallic line to the second metallic line;
depositing a third metallic line on an ILD of a front side of a third wafer;
depositing a fourth metallic line on an ILD of a front side of a fourth wafer;
bonding the third metallic line to the fourth metallic line;
forming a first Si via through an active layer at a back side of the second wafer, the first Si via having an internal end connected to the second metallic line and an external end exposed at the back side of the second wafer;
forming a second Si via through an active layer at a back side of the third wafer, the second Si via having an internal end connected to the third metallic line and an external end exposed at the back side of the third wafer;
increasing areas of the external ends of the first and second Si vias; and
bonding the areas.
10. The method of claim 9, wherein increasing the areas comprises:
forming a trench section in the back side of the second wafer, the trench section filled with a conductive material and connected to the first Si via; and
forming a corresponding trench section in the back side of the third wafer, the corresponding trench section filled with the conductive material and connected to the second Si via.
11. The method of claim 9, wherein increasing the areas comprises:
etching the active layer at the back side of the second wafer at an angle, such that the first Si via is tapered and has a larger cross-sectional area at the external end than at the corresponding internal end.
12. The method of claim 11, further comprising:
forming a third Si via through an active layer at a back side of the fourth wafer, the third Si via connecting to the fourth metallic line of the fourth wafer.
13. The method of claim 12, wherein forming the third Si via comprises:
etching the active layer at the back side of the fourth wafer to form a hole;
depositing oxide on surfaces of the hole;
removing oxide at a bottom surface of the hole using an anisotropic oxide etch;
depositing a barrier layer on oxide on sidewalls of the hole;
depositing a seed layer on the barrier layer; and
filling the hole with a conductive material.
14. The method of claim 13, wherein the barrier layer is composed of a material selected from the group consisting of: tantalum (Ta), tantalum nitride (TaN), titanium (Ti), and tungsten (W).
15. The method of claim 13, wherein the seed layer comprises a layer of copper atoms deposited by CVD.
16. The method of claim 12, further comprising:
forming a C4 bump on the fourth wafer, the C4 bump physically connecting to the third Si via and electrically connecting to an active layer at a back side of the first wafer.
17. A method of metal bonding back sides of two wafers comprising:
forming a first Si via through an active layer at a back side of a first wafer, wherein a first end of the first Si via is exposed;
forming a second Si via through an active layer at a back side of a second wafer, wherein a second end of the second Si via is exposed;
increasing areas of the first end and second end; and
bonding the increased areas.
18. The method of claim 12, wherein forming the first Si via comprises:
etching a part of the active layer at the back side of the first wafer, said etching stopping a tungsten etch stop disposed at an interface between the active layer at the back side of the first wafer and an ILD layer at a front side of the first wafer.
19. The method of claim 17, wherein increasing the areas comprises:
forming a first trench section in the back side of the first wafer, the first trench section filled with a conductive material and connected to the first Si via; and
forming a second trench section in the back side of the second wafer, the second trench section filled with the conductive material and connected to the second Si via.
20. The method of claim 17, further comprising:
forming a first dummy via in the active layer of the first wafer;
forming a second dummy via in the active layer of the second wafer; and
bonding the first and second dummy vias.
US11/603,521 2002-02-20 2006-11-21 Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices Abandoned US20070111386A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/603,521 US20070111386A1 (en) 2002-02-20 2006-11-21 Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/077,967 US6762076B2 (en) 2002-02-20 2002-02-20 Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US10/855,032 US7157787B2 (en) 2002-02-20 2004-05-26 Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US11/603,521 US20070111386A1 (en) 2002-02-20 2006-11-21 Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/855,032 Continuation US7157787B2 (en) 2002-02-20 2004-05-26 Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices

Publications (1)

Publication Number Publication Date
US20070111386A1 true US20070111386A1 (en) 2007-05-17

Family

ID=27732743

Family Applications (3)

Application Number Title Priority Date Filing Date
US10/077,967 Expired - Lifetime US6762076B2 (en) 2002-02-20 2002-02-20 Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US10/855,032 Expired - Lifetime US7157787B2 (en) 2002-02-20 2004-05-26 Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US11/603,521 Abandoned US20070111386A1 (en) 2002-02-20 2006-11-21 Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US10/077,967 Expired - Lifetime US6762076B2 (en) 2002-02-20 2002-02-20 Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US10/855,032 Expired - Lifetime US7157787B2 (en) 2002-02-20 2004-05-26 Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices

Country Status (1)

Country Link
US (3) US6762076B2 (en)

Cited By (291)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060290001A1 (en) * 2005-06-28 2006-12-28 Micron Technology, Inc. Interconnect vias and associated methods of formation
US20080268614A1 (en) * 2007-04-25 2008-10-30 Ku-Feng Yang Wafer Bonding
WO2009117882A1 (en) * 2008-03-27 2009-10-01 Hong Kong Applied Science and Technology Research Institute Co. Ltd Pulse-laser bonding method for through-silicon-via based stacking of electronic components
US20100044826A1 (en) * 2008-08-19 2010-02-25 International Business Machines Corporation 3d integrated circuit device fabrication with precisely controllable substrate removal
US20100047964A1 (en) * 2008-08-19 2010-02-25 International Business Machines Corporation 3d integrated circuit device fabrication using interface wafer as permanent carrier
US20100059897A1 (en) * 2008-09-11 2010-03-11 Micron Technology, Inc. Interconnect structures for stacked dies, including penetrating structures for through-silicon vias, and associated systems and methods
US7683458B2 (en) 2004-09-02 2010-03-23 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
US20100096759A1 (en) * 2008-10-16 2010-04-22 Micron Technology, Inc. Semiconductor substrates with unitary vias and via terminals, and associated systems and methods
US7749899B2 (en) 2006-06-01 2010-07-06 Micron Technology, Inc. Microelectronic workpieces and methods and systems for forming interconnects in microelectronic workpieces
US20100178761A1 (en) * 2009-01-13 2010-07-15 Ming-Fa Chen Stacked Integrated Chips and Methods of Fabrication Thereof
US7759800B2 (en) 2003-11-13 2010-07-20 Micron Technology, Inc. Microelectronics devices, having vias, and packaged microelectronic devices having vias
US7795134B2 (en) 2005-06-28 2010-09-14 Micron Technology, Inc. Conductive interconnect structures and formation methods using supercritical fluids
US7829976B2 (en) 2004-06-29 2010-11-09 Micron Technology, Inc. Microelectronic devices and methods for forming interconnects in microelectronic devices
US7830018B2 (en) 2007-08-31 2010-11-09 Micron Technology, Inc. Partitioned through-layer via and associated systems and methods
US20100314711A1 (en) * 2008-08-19 2010-12-16 International Business Machines Corporation 3d integrated circuit device having lower-cost active circuitry layers stacked before higher-cost active circuitry layer
US7863187B2 (en) 2005-09-01 2011-01-04 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US7884015B2 (en) 2007-12-06 2011-02-08 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US7902643B2 (en) 2006-08-31 2011-03-08 Micron Technology, Inc. Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods
US7915736B2 (en) 2005-09-01 2011-03-29 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US7973411B2 (en) 2006-08-28 2011-07-05 Micron Technology, Inc. Microfeature workpieces having conductive interconnect structures formed by chemically reactive processes, and associated systems and methods
US20110233617A1 (en) * 2009-10-12 2011-09-29 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8084866B2 (en) 2003-12-10 2011-12-27 Micron Technology, Inc. Microelectronic devices and methods for filling vias in microelectronic devices
FR2964112A1 (en) * 2010-08-31 2012-03-02 Commissariat Energie Atomique TREATMENT BEFORE BONDING A CU-OXIDE MIXED SURFACE BY PLASMA CONTAINING NITROGEN AND HYDROGEN
US8203148B2 (en) 2010-10-11 2012-06-19 Monolithic 3D Inc. Semiconductor device and structure
US8237228B2 (en) 2009-10-12 2012-08-07 Monolithic 3D Inc. System comprising a semiconductor device and structure
US8273610B2 (en) 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US8322031B2 (en) 2004-08-27 2012-12-04 Micron Technology, Inc. Method of manufacturing an interposer
US8362482B2 (en) 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US8362800B2 (en) 2010-10-13 2013-01-29 Monolithic 3D Inc. 3D semiconductor device including field repairable logics
US8373230B1 (en) 2010-10-13 2013-02-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8373439B2 (en) 2009-04-14 2013-02-12 Monolithic 3D Inc. 3D semiconductor device
US8378494B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8378715B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method to construct systems
US8379458B1 (en) 2010-10-13 2013-02-19 Monolithic 3D Inc. Semiconductor device and structure
US8384426B2 (en) 2009-04-14 2013-02-26 Monolithic 3D Inc. Semiconductor device and structure
US8405420B2 (en) 2009-04-14 2013-03-26 Monolithic 3D Inc. System comprising a semiconductor device and structure
US8427200B2 (en) 2009-04-14 2013-04-23 Monolithic 3D Inc. 3D semiconductor device
US8440542B2 (en) 2010-10-11 2013-05-14 Monolithic 3D Inc. Semiconductor device and structure
US8450804B2 (en) 2011-03-06 2013-05-28 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8461035B1 (en) 2010-09-30 2013-06-11 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8476145B2 (en) 2010-10-13 2013-07-02 Monolithic 3D Inc. Method of fabricating a semiconductor device and structure
US8492886B2 (en) 2010-02-16 2013-07-23 Monolithic 3D Inc 3D integrated circuit with logic
US8536023B2 (en) 2010-11-22 2013-09-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device and structure
US8536485B2 (en) 2004-05-05 2013-09-17 Micron Technology, Inc. Systems and methods for forming apertures in microfeature workpieces
US8541819B1 (en) 2010-12-09 2013-09-24 Monolithic 3D Inc. Semiconductor device and structure
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8574929B1 (en) 2012-11-16 2013-11-05 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US8581349B1 (en) 2011-05-02 2013-11-12 Monolithic 3D Inc. 3D memory semiconductor device and structure
US8642416B2 (en) 2010-07-30 2014-02-04 Monolithic 3D Inc. Method of forming three dimensional integrated circuit devices using layer transfer technique
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US8686428B1 (en) 2012-11-16 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US8687399B2 (en) 2011-10-02 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US8709880B2 (en) 2010-07-30 2014-04-29 Monolithic 3D Inc Method for fabrication of a semiconductor device and structure
US8742476B1 (en) 2012-11-27 2014-06-03 Monolithic 3D Inc. Semiconductor device and structure
US8753913B2 (en) 2010-10-13 2014-06-17 Monolithic 3D Inc. Method for fabricating novel semiconductor and optoelectronic devices
US8754533B2 (en) 2009-04-14 2014-06-17 Monolithic 3D Inc. Monolithic three-dimensional semiconductor device and structure
US8803206B1 (en) 2012-12-29 2014-08-12 Monolithic 3D Inc. 3D semiconductor device and structure
US8901613B2 (en) 2011-03-06 2014-12-02 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US8933564B2 (en) * 2012-12-21 2015-01-13 Intel Corporation Landing structure for through-silicon via
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US9000557B2 (en) 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
US9029173B2 (en) 2011-10-18 2015-05-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
US9197804B1 (en) 2011-10-14 2015-11-24 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US9214391B2 (en) 2004-12-30 2015-12-15 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US9219005B2 (en) 2011-06-28 2015-12-22 Monolithic 3D Inc. Semiconductor system and device
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US9530753B2 (en) 2011-09-23 2016-12-27 STATS ChipPAC Pte. Ltd. Integrated circuit packaging system with chip stacking and method of manufacture thereof
US9577642B2 (en) 2009-04-14 2017-02-21 Monolithic 3D Inc. Method to form a 3D semiconductor device
US9711407B2 (en) 2009-04-14 2017-07-18 Monolithic 3D Inc. Method of manufacturing a three dimensional integrated circuit by transfer of a mono-crystalline layer
WO2017200632A1 (en) * 2016-05-16 2017-11-23 Raytheon Company Barrier layer for interconnects in 3d integrated device
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
WO2018040544A1 (en) * 2016-08-29 2018-03-08 洛阳鸿泰半导体有限公司 Semiconductor wafer having three-dimensional structure
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10127344B2 (en) 2013-04-15 2018-11-13 Monolithic 3D Inc. Automation for monolithic 3D devices
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
US10515981B2 (en) 2015-09-21 2019-12-24 Monolithic 3D Inc. Multilevel semiconductor device and structure with memory
US10515925B2 (en) 2017-04-21 2019-12-24 Invensas Bonding Technologies, Inc. Die processing
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10522499B2 (en) 2017-02-09 2019-12-31 Invensas Bonding Technologies, Inc. Bonded structures
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US10529634B2 (en) 2017-05-11 2020-01-07 Invensas Bonding Technologies, Inc. Probe methodology for ultrafine pitch interconnects
US10546832B2 (en) 2016-12-21 2020-01-28 Invensas Bonding Technologies, Inc. Bonded structures
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US10607937B2 (en) 2015-12-18 2020-03-31 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US10658313B2 (en) 2017-12-11 2020-05-19 Invensas Bonding Technologies, Inc. Selective recess
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US10777533B2 (en) 2012-08-30 2020-09-15 Invensas Bonding Technologies, Inc. Heterogeneous device
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10796936B2 (en) 2016-12-22 2020-10-06 Invensas Bonding Technologies, Inc. Die tray with channels
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10879226B2 (en) 2016-05-19 2020-12-29 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US10896902B2 (en) 2016-01-13 2021-01-19 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US10998265B2 (en) 2016-09-30 2021-05-04 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10998292B2 (en) 2018-06-13 2021-05-04 Invensas Bonding Technologies, Inc. Offset pads over TSV
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
WO2021086864A1 (en) * 2019-11-01 2021-05-06 Xilinx, Inc. Multi-chip stacked devices
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11011418B2 (en) 2005-08-11 2021-05-18 Invensas Bonding Technologies, Inc. 3D IC method and device
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11011503B2 (en) 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11056390B2 (en) 2015-06-24 2021-07-06 Invensas Corporation Structures and methods for reliable packages
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US11158606B2 (en) 2018-07-06 2021-10-26 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11171117B2 (en) 2018-06-12 2021-11-09 Invensas Bonding Technologies, Inc. Interlayer connection of stacked microelectronic components
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11205600B2 (en) 2014-03-12 2021-12-21 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11244916B2 (en) 2018-04-11 2022-02-08 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US11264345B2 (en) 2015-08-25 2022-03-01 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US11296044B2 (en) 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US11348801B2 (en) 2016-12-28 2022-05-31 Invensas Bonding Technologies, Inc. Processing stacked substrates
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11367652B2 (en) 2016-12-28 2022-06-21 Invensas Bonding Technologies, Inc. Microelectronic assembly from processed substrate
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US11385278B2 (en) * 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US11387214B2 (en) 2017-06-15 2022-07-12 Invensas Llc Multi-chip modules formed using wafer-level processing of a reconstituted wafer
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11476213B2 (en) 2019-01-14 2022-10-18 Invensas Bonding Technologies, Inc. Bonded structures without intervening adhesive
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11538781B2 (en) 2020-06-30 2022-12-27 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages including bonded structures
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11621246B2 (en) 2019-03-29 2023-04-04 Adeia Semiconductor Technologies Llc Diffused bitline replacement in stacked wafer memory
US11626363B2 (en) 2016-12-29 2023-04-11 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US11664357B2 (en) 2018-07-03 2023-05-30 Adeia Semiconductor Bonding Technologies Inc. Techniques for joining dissimilar materials in microelectronics
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11710718B2 (en) 2015-07-10 2023-07-25 Adeia Semiconductor Technologies Llc Structures and methods for low temperature bonding using nanoparticles
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11715730B2 (en) 2017-03-16 2023-08-01 Adeia Semiconductor Technologies Llc Direct-bonded LED arrays including optical elements configured to transmit optical signals from LED elements
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11735523B2 (en) 2020-05-19 2023-08-22 Adeia Semiconductor Bonding Technologies Inc. Laterally unconfined structure
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11760059B2 (en) 2003-05-19 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Method of room temperature covalent bonding
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11842894B2 (en) 2019-12-23 2023-12-12 Adeia Semiconductor Bonding Technologies Inc. Electrical redundancy for bonded structures
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11862604B2 (en) 2018-06-22 2024-01-02 Adeia Semiconductor Inc. Systems and methods for releveled bump planes for chiplets
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11881454B2 (en) 2016-10-07 2024-01-23 Adeia Semiconductor Inc. Stacked IC structure with orthogonal interconnect layers
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US11908739B2 (en) 2017-06-05 2024-02-20 Adeia Semiconductor Technologies Llc Flat metal features for microelectronics applications
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11929347B2 (en) 2020-10-20 2024-03-12 Adeia Semiconductor Technologies Llc Mixed exposure for large die
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11935907B2 (en) 2014-12-11 2024-03-19 Adeia Semiconductor Technologies Llc Image sensor device
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US11956952B2 (en) 2016-08-22 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure

Families Citing this family (367)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8018058B2 (en) * 2004-06-21 2011-09-13 Besang Inc. Semiconductor memory device
US20050280155A1 (en) * 2004-06-21 2005-12-22 Sang-Yun Lee Semiconductor bonding and layer transfer method
US8058142B2 (en) * 1996-11-04 2011-11-15 Besang Inc. Bonded semiconductor structure and method of making the same
FR2773261B1 (en) 1997-12-30 2000-01-28 Commissariat Energie Atomique METHOD FOR THE TRANSFER OF A THIN FILM COMPRISING A STEP OF CREATING INCLUSIONS
US6661085B2 (en) * 2002-02-06 2003-12-09 Intel Corporation Barrier structure against corrosion and contamination in three-dimensional (3-D) wafer-to-wafer vertical stack
US6975016B2 (en) * 2002-02-06 2005-12-13 Intel Corporation Wafer bonding using a flexible bladder press and thinned wafers for three-dimensional (3D) wafer-to-wafer vertical stack integration, and application thereof
US6887769B2 (en) * 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US20050161814A1 (en) * 2002-12-27 2005-07-28 Fujitsu Limited Method for forming bumps, semiconductor device and method for manufacturing same, substrate processing apparatus, and semiconductor manufacturing apparatus
US20040124509A1 (en) * 2002-12-28 2004-07-01 Kim Sarah E. Method and structure for vertically-stacked device contact
US20100133695A1 (en) * 2003-01-12 2010-06-03 Sang-Yun Lee Electronic circuit with embedded memory
US6962835B2 (en) * 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
FR2856844B1 (en) * 2003-06-24 2006-02-17 Commissariat Energie Atomique HIGH PERFORMANCE CHIP INTEGRATED CIRCUIT
US8071438B2 (en) * 2003-06-24 2011-12-06 Besang Inc. Semiconductor circuit
US6809008B1 (en) * 2003-08-28 2004-10-26 Motorola, Inc. Integrated photosensor for CMOS imagers
US6897125B2 (en) * 2003-09-17 2005-05-24 Intel Corporation Methods of forming backside connections on a wafer stack
US7214605B2 (en) 2003-10-09 2007-05-08 Intel Corporation Deposition of diffusion barrier
US6910637B2 (en) * 2003-10-09 2005-06-28 Kingpak Technologies Inc. Stacked small memory card
US6867073B1 (en) * 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
FR2861497B1 (en) 2003-10-28 2006-02-10 Soitec Silicon On Insulator METHOD FOR CATASTROPHIC TRANSFER OF A FINE LAYER AFTER CO-IMPLANTATION
US20050170609A1 (en) * 2003-12-15 2005-08-04 Alie Susan A. Conductive bond for through-wafer interconnect
US7608534B2 (en) 2004-06-02 2009-10-27 Analog Devices, Inc. Interconnection of through-wafer vias using bridge structures
US7307005B2 (en) * 2004-06-30 2007-12-11 Intel Corporation Wafer bonding with highly compliant plate having filler material enclosed hollow core
US7087538B2 (en) * 2004-08-16 2006-08-08 Intel Corporation Method to fill the gap between coupled wafers
US7262495B2 (en) * 2004-10-07 2007-08-28 Hewlett-Packard Development Company, L.P. 3D interconnect with protruding contacts
US7098070B2 (en) * 2004-11-16 2006-08-29 International Business Machines Corporation Device and method for fabricating double-sided SOI wafer scale package with through via connections
JP2006196668A (en) * 2005-01-13 2006-07-27 Toshiba Corp Semiconductor device and manufacturing method of the same
US7402509B2 (en) * 2005-03-16 2008-07-22 Intel Corporation Method of forming self-passivating interconnects and resulting devices
US8367524B2 (en) * 2005-03-29 2013-02-05 Sang-Yun Lee Three-dimensional integrated circuit structure
US20110143506A1 (en) * 2009-12-10 2011-06-16 Sang-Yun Lee Method for fabricating a semiconductor memory device
US20060252254A1 (en) * 2005-05-06 2006-11-09 Basol Bulent M Filling deep and wide openings with defect-free conductor
FR2889887B1 (en) 2005-08-16 2007-11-09 Commissariat Energie Atomique METHOD FOR DEFERING A THIN LAYER ON A SUPPORT
FR2891281B1 (en) * 2005-09-28 2007-12-28 Commissariat Energie Atomique METHOD FOR MANUFACTURING A THIN FILM ELEMENT
US7521950B2 (en) * 2005-10-07 2009-04-21 International Business Machines Corporation Wafer level I/O test and repair enabled by I/O layer
US7737003B2 (en) 2005-10-11 2010-06-15 International Business Machines Corporation Method and structure for optimizing yield of 3-D chip manufacture
TWI285419B (en) * 2005-10-26 2007-08-11 Ind Tech Res Inst Wafer-to-wafer stacking with supporting pedestals
US7410884B2 (en) * 2005-11-21 2008-08-12 Intel Corporation 3D integrated circuits using thick metal for backside connections and offset bumps
US20070126103A1 (en) * 2005-12-01 2007-06-07 Intel Corporation Microelectronic 3-D package defining thermal through vias and method of making same
US20070161150A1 (en) * 2005-12-28 2007-07-12 Intel Corporation Forming ultra dense 3-D interconnect structures
US7626257B2 (en) * 2006-01-18 2009-12-01 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US7589390B2 (en) 2006-03-10 2009-09-15 Teledyne Technologies, Incorporated Shielded through-via
US7898095B2 (en) * 2006-03-20 2011-03-01 Tezzaron Semiconductor, Inc. Fiducial scheme adapted for stacked integrated circuits
US7485561B2 (en) * 2006-03-29 2009-02-03 Asm Nutool, Inc. Filling deep features with conductors in semiconductor manufacturing
US7625814B2 (en) * 2006-03-29 2009-12-01 Asm Nutool, Inc. Filling deep features with conductors in semiconductor manufacturing
US8013342B2 (en) 2007-11-14 2011-09-06 International Business Machines Corporation Double-sided integrated circuit chips
US7670927B2 (en) * 2006-05-16 2010-03-02 International Business Machines Corporation Double-sided integrated circuit chips
US7385283B2 (en) * 2006-06-27 2008-06-10 Taiwan Semiconductor Manufacturing Co., Ltd. Three dimensional integrated circuit and method of making the same
KR100705936B1 (en) * 2006-06-30 2007-04-13 주식회사 하이닉스반도체 Method for forming bitline of semiconductor device
US7446424B2 (en) * 2006-07-19 2008-11-04 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor package
TWI303874B (en) * 2006-08-08 2008-12-01 Via Tech Inc Multi-chip structure
US20080087979A1 (en) * 2006-10-13 2008-04-17 Analog Devices, Inc. Integrated Circuit with Back Side Conductive Paths
CN101553903B (en) * 2006-10-17 2012-08-29 丘费尔资产股份有限公司 Wafer via formation
KR100843211B1 (en) * 2006-11-23 2008-07-02 삼성전자주식회사 Wafer backside Metal layer routing method, structure of the same, chip package stacking method, and chip package stacking structure thereof
FR2910179B1 (en) 2006-12-19 2009-03-13 Commissariat Energie Atomique METHOD FOR MANUFACTURING THIN LAYERS OF GaN BY IMPLANTATION AND RECYCLING OF A STARTING SUBSTRATE
US8232183B2 (en) * 2007-05-04 2012-07-31 Taiwan Semiconductor Manufacturing Company, Ltd. Process and apparatus for wafer-level flip-chip assembly
US7528492B2 (en) * 2007-05-24 2009-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Test patterns for detecting misalignment of through-wafer vias
US8476735B2 (en) 2007-05-29 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Programmable semiconductor interposer for electronic package and method of forming
US7939941B2 (en) 2007-06-27 2011-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of through via before contact processing
SG149710A1 (en) * 2007-07-12 2009-02-27 Micron Technology Inc Interconnects for packaged semiconductor devices and methods for manufacturing such devices
US7825517B2 (en) 2007-07-16 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for packaging semiconductor dies having through-silicon vias
US7973413B2 (en) 2007-08-24 2011-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate via for semiconductor device
US20090065365A1 (en) * 2007-09-11 2009-03-12 Asm Nutool, Inc. Method and apparatus for copper electroplating
US7875529B2 (en) * 2007-10-05 2011-01-25 Micron Technology, Inc. Semiconductor devices
KR101572600B1 (en) * 2007-10-10 2015-11-27 테세라, 인코포레이티드 Robust multi-layer wiring elements and assemblies with embedded microelectronic elements
US8476769B2 (en) * 2007-10-17 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon vias and methods for forming the same
US8492263B2 (en) * 2007-11-16 2013-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Protected solder ball joints in wafer level chip-scale packaging
US7927938B2 (en) * 2007-11-19 2011-04-19 Micron Technology, Inc. Fin-JFET
US8227902B2 (en) 2007-11-26 2012-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Structures for preventing cross-talk between through-silicon vias and integrated circuits
US7588993B2 (en) * 2007-12-06 2009-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Alignment for backside illumination sensor
US7843064B2 (en) 2007-12-21 2010-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and process for the formation of TSVs
US8671476B2 (en) * 2008-02-05 2014-03-18 Standard Textile Co., Inc. Woven contoured bed sheet with elastomeric yarns
US7566632B1 (en) * 2008-02-06 2009-07-28 International Business Machines Corporation Lock and key structure for three-dimensional chip connection and process thereof
US8101996B2 (en) * 2008-04-15 2012-01-24 Fairchild Semiconductor Corporation Three-dimensional semiconductor device structures and methods
US8853830B2 (en) 2008-05-14 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. System, structure, and method of manufacturing a semiconductor substrate stack
US8030208B2 (en) * 2008-06-02 2011-10-04 Hong Kong Applied Science and Technology Research Institute Company Limited Bonding method for through-silicon-via based 3D wafer stacking
US7683459B2 (en) * 2008-06-02 2010-03-23 Hong Kong Applied Science and Technology Research Institute Company, Ltd. Bonding method for through-silicon-via based 3D wafer stacking
US7863721B2 (en) * 2008-06-11 2011-01-04 Stats Chippac, Ltd. Method and apparatus for wafer level integration using tapered vias
US8334170B2 (en) * 2008-06-27 2012-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for stacking devices
US7851346B2 (en) * 2008-07-21 2010-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding metallurgy for three-dimensional interconnect
US8288872B2 (en) 2008-08-05 2012-10-16 Taiwan Semiconductor Manufacturing Company, Ltd. Through silicon via layout
US8399273B2 (en) 2008-08-18 2013-03-19 Tsmc Solid State Lighting Ltd. Light-emitting diode with current-spreading region
US8932906B2 (en) 2008-08-19 2015-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Through silicon via bonding structure
JP2010080943A (en) 2008-08-27 2010-04-08 Semiconductor Energy Lab Co Ltd Method for manufacturing semiconductor device
US20100062693A1 (en) * 2008-09-05 2010-03-11 Taiwan Semiconductor Manufacturing Co., Ltd. Two step method and apparatus for polishing metal and other films in semiconductor manufacturing
US8278152B2 (en) * 2008-09-08 2012-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding process for CMOS image sensor
US7981765B2 (en) * 2008-09-10 2011-07-19 Analog Devices, Inc. Substrate bonding with bonding material having rare earth metal
US8956904B2 (en) 2008-09-10 2015-02-17 Analog Devices, Inc. Apparatus and method of wafer bonding using compatible alloy
US9524945B2 (en) 2010-05-18 2016-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with L-shaped non-metal sidewall protection structure
US8653648B2 (en) * 2008-10-03 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Zigzag pattern for TSV copper adhesion
US7928534B2 (en) 2008-10-09 2011-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Bond pad connection to redistribution lines having tapered profiles
US20100091475A1 (en) * 2008-10-15 2010-04-15 Qualcomm Incorporated Electrostatic Discharge (ESD) Shielding For Stacked ICs
US8624360B2 (en) 2008-11-13 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Cooling channels in 3DIC stacks
US8158456B2 (en) * 2008-12-05 2012-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming stacked dies
US7943421B2 (en) * 2008-12-05 2011-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Component stacking using pre-formed adhesive films
US7989318B2 (en) 2008-12-08 2011-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for stacking semiconductor dies
US8513119B2 (en) * 2008-12-10 2013-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming bump structure having tapered sidewalls for stacked dies
US8736050B2 (en) * 2009-09-03 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Front side copper post joint structure for temporary bond in TSV application
US8264077B2 (en) * 2008-12-29 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Backside metal of redistribution line with silicide layer on through-silicon via of semiconductor chips
US7910473B2 (en) * 2008-12-31 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via with air gap
US20100171197A1 (en) 2009-01-05 2010-07-08 Hung-Pin Chang Isolation Structure for Stacked Dies
US8749027B2 (en) * 2009-01-07 2014-06-10 Taiwan Semiconductor Manufacturing Company, Ltd. Robust TSV structure
US8399354B2 (en) 2009-01-13 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via with low-K dielectric liner
US8314483B2 (en) 2009-01-26 2012-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. On-chip heat spreader
US8168529B2 (en) 2009-01-26 2012-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Forming seal ring in an integrated circuit die
US8820728B2 (en) * 2009-02-02 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor wafer carrier
US8704375B2 (en) * 2009-02-04 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier structures and methods for through substrate vias
US7927975B2 (en) * 2009-02-04 2011-04-19 Micron Technology, Inc. Semiconductor material manufacture
US7884016B2 (en) * 2009-02-12 2011-02-08 Asm International, N.V. Liner materials and related processes for 3-D integration
US7932608B2 (en) * 2009-02-24 2011-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via formed with a post passivation interconnect structure
US9142586B2 (en) 2009-02-24 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Pad design for backside illuminated image sensor
US8531565B2 (en) * 2009-02-24 2013-09-10 Taiwan Semiconductor Manufacturing Company, Ltd. Front side implanted guard ring structure for backside illuminated image sensor
US8643149B2 (en) * 2009-03-03 2014-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Stress barrier structures for semiconductor chips
US8487444B2 (en) * 2009-03-06 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional system-in-package architecture
US8344513B2 (en) 2009-03-23 2013-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier for through-silicon via
US8232140B2 (en) 2009-03-27 2012-07-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method for ultra thin wafer handling and processing
US9117828B2 (en) * 2009-03-27 2015-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of handling a thin wafer
US8329578B2 (en) * 2009-03-27 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Via structure and via etching process of forming the same
US8552563B2 (en) 2009-04-07 2013-10-08 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional semiconductor architecture
US9406561B2 (en) * 2009-04-20 2016-08-02 International Business Machines Corporation Three dimensional integrated circuit integration using dielectric bonding first and through via formation last
US8691664B2 (en) * 2009-04-20 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Backside process for a substrate
US8759949B2 (en) * 2009-04-30 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer backside structures having copper pillars
US8432038B2 (en) 2009-06-12 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via structure and a process for forming the same
FR2947098A1 (en) 2009-06-18 2010-12-24 Commissariat Energie Atomique METHOD OF TRANSFERRING A THIN LAYER TO A TARGET SUBSTRATE HAVING A THERMAL EXPANSION COEFFICIENT DIFFERENT FROM THAT OF THE THIN LAYER
US8158489B2 (en) * 2009-06-26 2012-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of TSV backside interconnects by modifying carrier wafers
US9305769B2 (en) 2009-06-30 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Thin wafer handling method
US8871609B2 (en) * 2009-06-30 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Thin wafer handling structure and method
US8247906B2 (en) 2009-07-06 2012-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Supplying power to integrated circuits using a grid matrix formed of through-silicon vias
US8264066B2 (en) * 2009-07-08 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Liner formation in 3DIC structures
US8841766B2 (en) 2009-07-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with non-metal sidewall protection structure
US8377816B2 (en) * 2009-07-30 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming electrical connections
US8198174B2 (en) * 2009-08-05 2012-06-12 International Business Machines Corporation Air channel interconnects for 3-D integration
US8859424B2 (en) 2009-08-14 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor wafer carrier and method of manufacturing
US8324738B2 (en) 2009-09-01 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned protection layer for copper post structure
US8803332B2 (en) * 2009-09-11 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Delamination resistance of stacked dies in die saw
US8252665B2 (en) 2009-09-14 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Protection layer for adhesive material at wafer edge
US8791549B2 (en) * 2009-09-22 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer backside interconnect structure connected to TSVs
CN102033877A (en) * 2009-09-27 2011-04-27 阿里巴巴集团控股有限公司 Search method and device
US8647925B2 (en) * 2009-10-01 2014-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Surface modification for handling wafer thinning process
US8264067B2 (en) * 2009-10-09 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Through silicon via (TSV) wire bond architecture
US7969013B2 (en) * 2009-10-22 2011-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Through silicon via with dummy structure and method for forming the same
US8659155B2 (en) 2009-11-05 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming copper pillar bumps
US8283745B2 (en) 2009-11-06 2012-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating backside-illuminated image sensor
US8405201B2 (en) * 2009-11-09 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via structure
US8421500B2 (en) * 2009-11-30 2013-04-16 International Business Machines Corporation Integrated circuit with stacked computational units and configurable through vias
US8841777B2 (en) * 2010-01-12 2014-09-23 International Business Machines Corporation Bonded structure employing metal semiconductor alloy bonding
US8299616B2 (en) * 2010-01-29 2012-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. T-shaped post for semiconductor devices
US10297550B2 (en) * 2010-02-05 2019-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. 3D IC architecture with interposer and interconnect structure for bonding dies
US8610270B2 (en) * 2010-02-09 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and semiconductor assembly with lead-free solder
US8318596B2 (en) 2010-02-11 2012-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Pillar structure having a non-planar surface for semiconductor devices
US8803319B2 (en) 2010-02-11 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Pillar structure having a non-planar surface for semiconductor devices
US8252682B2 (en) * 2010-02-12 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for thinning a wafer
US8390009B2 (en) * 2010-02-16 2013-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Light-emitting diode (LED) package systems
US8237272B2 (en) * 2010-02-16 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive pillar structure for semiconductor substrate and method of manufacture
US9385095B2 (en) 2010-02-26 2016-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. 3D semiconductor package interposer with die cavity
US8519537B2 (en) 2010-02-26 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. 3D semiconductor package interposer with die cavity
US8378480B2 (en) * 2010-03-04 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy wafers in 3DIC package assemblies
US8466059B2 (en) 2010-03-30 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer interconnect structure for stacked dies
US8222139B2 (en) 2010-03-30 2012-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical mechanical polishing (CMP) processing of through-silicon via (TSV) and contact plug simultaneously
US8507940B2 (en) 2010-04-05 2013-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. Heat dissipation by through silicon plugs
US8174124B2 (en) 2010-04-08 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy pattern in wafer backside routing
US8455995B2 (en) 2010-04-16 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. TSVs with different sizes in interposers for bonding dies
US8519538B2 (en) 2010-04-28 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Laser etch via formation
US9293366B2 (en) 2010-04-28 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate vias with improved connections
US8441124B2 (en) 2010-04-29 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with non-metal sidewall protection structure
US8716867B2 (en) 2010-05-12 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Forming interconnect structures using pre-ink-printed sheets
US8674513B2 (en) 2010-05-13 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures for substrate
US8866301B2 (en) 2010-05-18 2014-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. Package systems having interposers with interconnection structures
US9142533B2 (en) 2010-05-20 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate interconnections having different sizes
US9048233B2 (en) 2010-05-26 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package systems having interposers
US8901736B2 (en) 2010-05-28 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Strength of micro-bump joints
US9059026B2 (en) 2010-06-01 2015-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. 3-D inductor and transformer
US8471358B2 (en) 2010-06-01 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. 3D inductor and transformer
US9018758B2 (en) 2010-06-02 2015-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with non-metal sidewall spacer and metal top cap
US8362591B2 (en) 2010-06-08 2013-01-29 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits and methods of forming the same
US8411459B2 (en) 2010-06-10 2013-04-02 Taiwan Semiconductor Manufacturing Company, Ltd Interposer-on-glass package structures
US8500182B2 (en) 2010-06-17 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Vacuum wafer carriers for strengthening thin wafers
US8426961B2 (en) 2010-06-25 2013-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded 3D interposer structure
US8896136B2 (en) 2010-06-30 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Alignment mark and method of formation
KR101134819B1 (en) 2010-07-02 2012-04-13 이상윤 Method for fabricating semiconductor memory
US8319336B2 (en) 2010-07-08 2012-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of etch microloading for through silicon vias
US8338939B2 (en) 2010-07-12 2012-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. TSV formation processes using TSV-last approach
US8241963B2 (en) 2010-07-13 2012-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. Recessed pillar structure
US8999179B2 (en) 2010-07-13 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive vias in a substrate
US8581418B2 (en) 2010-07-21 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-die stacking using bumps with different sizes
US8722540B2 (en) 2010-07-22 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling defects in thin wafer handling
US9299594B2 (en) 2010-07-27 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate bonding system and method of modifying the same
US8674510B2 (en) 2010-07-29 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuit structure having improved power and thermal management
US8629568B2 (en) 2010-07-30 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device cover mark
US8540506B2 (en) 2010-08-16 2013-09-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor molding chamber
US8846499B2 (en) 2010-08-17 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Composite carrier structure
US8546254B2 (en) 2010-08-19 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming copper pillar bumps using patterned anodes
US8507358B2 (en) 2010-08-27 2013-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. Composite wafer semiconductor
US8693163B2 (en) 2010-09-01 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Cylindrical embedded capacitors
US8928159B2 (en) 2010-09-02 2015-01-06 Taiwan Semiconductor Manufacturing & Company, Ltd. Alignment marks in substrate having through-substrate via (TSV)
US8541262B2 (en) 2010-09-02 2013-09-24 Taiwan Semiconductor Manufacturing Company, Ltd. Die edge contacts for semiconductor devices
US8502338B2 (en) 2010-09-09 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate via waveguides
US9343436B2 (en) 2010-09-09 2016-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked package and method of manufacturing the same
US8928127B2 (en) 2010-09-24 2015-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Noise decoupling structure with through-substrate vias
US8525343B2 (en) 2010-09-28 2013-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Device with through-silicon via (TSV) and method of forming the same
US8580682B2 (en) 2010-09-30 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cost-effective TSV formation
US9190325B2 (en) 2010-09-30 2015-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. TSV formation
US8105875B1 (en) 2010-10-14 2012-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Approach for bonding dies onto interposers
US9064879B2 (en) 2010-10-14 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods and structures using a die attach film
US8936966B2 (en) 2012-02-08 2015-01-20 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods for semiconductor devices
US8836116B2 (en) 2010-10-21 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer level packaging of micro-electro-mechanical systems (MEMS) and complementary metal-oxide-semiconductor (CMOS) substrates
US8338945B2 (en) 2010-10-26 2012-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Molded chip interposer structure and methods
US8519409B2 (en) 2010-11-15 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Light emitting diode components integrated with thermoelectric devices
US8567837B2 (en) 2010-11-24 2013-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Reconfigurable guide pin design for centering wafers having different sizes
US9153462B2 (en) 2010-12-09 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Spin chuck for thin wafer cleaning
US8773866B2 (en) 2010-12-10 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Radio-frequency packaging with reduced RF loss
US9624096B2 (en) 2010-12-24 2017-04-18 Qualcomm Incorporated Forming semiconductor structure with device layers and TRL
US8536021B2 (en) 2010-12-24 2013-09-17 Io Semiconductor, Inc. Trap rich layer formation techniques for semiconductor devices
US8481405B2 (en) 2010-12-24 2013-07-09 Io Semiconductor, Inc. Trap rich layer with through-silicon-vias in semiconductor devices
US9754860B2 (en) 2010-12-24 2017-09-05 Qualcomm Incorporated Redistribution layer contacting first wafer through second wafer
US9553013B2 (en) 2010-12-24 2017-01-24 Qualcomm Incorporated Semiconductor structure with TRL and handle wafer cavities
CN103348473B (en) 2010-12-24 2016-04-06 斯兰纳半导体美国股份有限公司 For the rich trap layer of semiconductor device
US8546961B2 (en) * 2011-01-10 2013-10-01 International Business Machines Corporation Alignment marks to enable 3D integration
US8236584B1 (en) 2011-02-11 2012-08-07 Tsmc Solid State Lighting Ltd. Method of forming a light emitting diode emitter substrate with highly reflective metal bonding
US8637353B2 (en) 2011-01-25 2014-01-28 International Business Machines Corporation Through silicon via repair
US8797057B2 (en) 2011-02-11 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Testing of semiconductor chips with microbumps
US9059262B2 (en) 2011-02-24 2015-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits including conductive structures through a substrate and methods of making the same
TWI509713B (en) * 2011-03-31 2015-11-21 Soitec Silicon On Insulator Methods of forming bonded semiconductor structures, and semiconductor structures formed by such methods
US8338294B2 (en) 2011-03-31 2012-12-25 Soitec Methods of forming bonded semiconductor structures including two or more processed semiconductor structures carried by a common substrate, and semiconductor structures formed by such methods
US20120248621A1 (en) * 2011-03-31 2012-10-04 S.O.I.Tec Silicon On Insulator Technologies Methods of forming bonded semiconductor structures, and semiconductor structures formed by such methods
FR2973938A1 (en) * 2011-04-08 2012-10-12 Soitec Silicon On Insulator Forming bonded semiconductor structure e.g. chips, comprises bonding second semiconductor structure to first semiconductor structure, and forming through-interconnect through second structure and into first structure to device structure
US8487410B2 (en) 2011-04-13 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon vias for semicondcutor substrate and method of manufacture
US8716128B2 (en) 2011-04-14 2014-05-06 Tsmc Solid State Lighting Ltd. Methods of forming through silicon via openings
US8546235B2 (en) 2011-05-05 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits including metal-insulator-metal capacitors and methods of forming the same
EP2717300B1 (en) 2011-05-24 2020-03-18 Sony Corporation Semiconductor device
US8674883B2 (en) 2011-05-24 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Antenna using through-silicon via
US8610285B2 (en) 2011-05-30 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. 3D IC packaging structures and methods with a metal pillar
US8664760B2 (en) 2011-05-30 2014-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. Connector design for packaging integrated circuits
US8900994B2 (en) 2011-06-09 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for producing a protective structure
US8552485B2 (en) 2011-06-15 2013-10-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having metal-insulator-metal capacitor structure
US8587127B2 (en) 2011-06-15 2013-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods of forming the same
US8766409B2 (en) 2011-06-24 2014-07-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for through-silicon via (TSV) with diffused isolation well
US8531035B2 (en) 2011-07-01 2013-09-10 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect barrier structure and method
US8580683B2 (en) 2011-09-27 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and methods for molding die on wafer interposers
US8501590B2 (en) 2011-07-05 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and methods for dicing interposer assembly
JP2013021001A (en) * 2011-07-07 2013-01-31 Renesas Electronics Corp Semiconductor device and semiconductor device manufacturing method
US8872345B2 (en) 2011-07-07 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Forming grounded through-silicon vias in a semiconductor substrate
US8476770B2 (en) 2011-07-07 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and methods for forming through vias
US8604491B2 (en) 2011-07-21 2013-12-10 Tsmc Solid State Lighting Ltd. Wafer level photonic device die structure and method of making the same
US8445296B2 (en) 2011-07-22 2013-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and methods for end point determination in reactive ion etching
US8647796B2 (en) 2011-07-27 2014-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Photoactive compound gradient photoresist
US8618647B2 (en) 2011-08-01 2013-12-31 Tessera, Inc. Packaged microelectronic elements having blind vias for heat dissipation
US8809073B2 (en) 2011-08-03 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and methods for de-embedding through substrate vias
US9159907B2 (en) 2011-08-04 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid film for protecting MTJ stacks of MRAM
US8754514B2 (en) 2011-08-10 2014-06-17 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-chip wafer level package
US20130040423A1 (en) 2011-08-10 2013-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of Multi-Chip Wafer Level Packaging
US8748284B2 (en) 2011-08-12 2014-06-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing decoupling MIM capacitor designs for interposers
US8525278B2 (en) 2011-08-19 2013-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS device having chip scale packaging
US8557684B2 (en) 2011-08-23 2013-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuit (3DIC) formation process
US8569086B2 (en) 2011-08-24 2013-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of dicing semiconductor devices
US8546886B2 (en) 2011-08-24 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling the device performance by forming a stressed backside dielectric layer
US8963334B2 (en) 2011-08-30 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Die-to-die gap control for semiconductor structure and method
US8604619B2 (en) 2011-08-31 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Through silicon via keep out zone formation along different crystal orientations
US9245773B2 (en) 2011-09-02 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device packaging methods and structures thereof
US9530761B2 (en) 2011-09-02 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Package systems including passive electrical components
US9418876B2 (en) 2011-09-02 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of three dimensional integrated circuit assembly
US8531032B2 (en) 2011-09-02 2013-09-10 Taiwan Semiconductor Manufacturing Company, Ltd. Thermally enhanced structure for multi-chip device
US9390060B2 (en) 2011-09-02 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods, material dispensing methods and apparatuses, and automated measurement systems
US9219016B2 (en) 2011-09-28 2015-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Structure design for 3DIC testing
US8872312B2 (en) 2011-09-30 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. EMI package and method for making same
US10475759B2 (en) 2011-10-11 2019-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure having dies with connectors of different sizes
US8878182B2 (en) 2011-10-12 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Probe pad design for 3DIC package yield analysis
US8803322B2 (en) 2011-10-13 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Through substrate via structures and methods of forming the same
US8610247B2 (en) 2011-12-30 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a transformer with magnetic features
US8659126B2 (en) 2011-12-07 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit ground shielding structure
US9087838B2 (en) 2011-10-25 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a high-K transformer with capacitive coupling
US8896089B2 (en) 2011-11-09 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Interposers for semiconductor devices and methods of manufacture thereof
US8518753B2 (en) 2011-11-15 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Assembly method for three dimensional integrated circuit
US8629043B2 (en) 2011-11-16 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for de-bonding carriers
US8759118B2 (en) 2011-11-16 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Plating process and structure
US8772929B2 (en) 2011-11-16 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Package for three dimensional integrated circuit
US8779599B2 (en) 2011-11-16 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Packages including active dies and dummy dies and methods for forming the same
US11264262B2 (en) 2011-11-29 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer debonding and cleaning apparatus
US9390949B2 (en) 2011-11-29 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer debonding and cleaning apparatus and method of use
US8779588B2 (en) 2011-11-29 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Bump structures for multi-chip packaging
US10381254B2 (en) 2011-11-29 2019-08-13 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer debonding and cleaning apparatus and method
US8653658B2 (en) 2011-11-30 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Planarized bumps for underfill control
US8643148B2 (en) 2011-11-30 2014-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Chip-on-Wafer structures and methods for forming the same
US8557631B2 (en) 2011-12-01 2013-10-15 Taiwan Semiconductor Manufacturing Co., Ltd. Interposer wafer bonding method and apparatus
US8536573B2 (en) 2011-12-02 2013-09-17 Taiwan Semiconductor Manufacturing Company, Ltd. Plating process and structure
US8803316B2 (en) 2011-12-06 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. TSV structures and methods for forming the same
US8558229B2 (en) 2011-12-07 2013-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Passivation layer for packaged chip
US8546953B2 (en) 2011-12-13 2013-10-01 Taiwan Semiconductor Manufacturing Co., Ltd. Through silicon via (TSV) isolation structures for noise reduction in 3D integrated circuit
US8890293B2 (en) 2011-12-16 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Guard ring for through vias
US8828848B2 (en) 2011-12-16 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Die structure and method of fabrication thereof
US8580647B2 (en) 2011-12-19 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Inductors with through VIAS
US20140061918A1 (en) * 2011-12-27 2014-03-06 Christopher Jezewski METHOD OF FORMING LOW RESISTIVITY TaNx/Ta DIFFUSION BARRIERS FOR BACKEND INTERCONNECTS
US8871568B2 (en) 2012-01-06 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Packages and method of forming the same
US8518796B2 (en) 2012-01-09 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor die connection system and method
US8691706B2 (en) 2012-01-12 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing substrate warpage in semiconductor processing
US8796855B2 (en) 2012-01-13 2014-08-05 Freescale Semiconductor, Inc. Semiconductor devices with nonconductive vias
US9620430B2 (en) 2012-01-23 2017-04-11 Taiwan Semiconductor Manufacturing Company, Ltd. Sawing underfill in packaging processes
US8698308B2 (en) 2012-01-31 2014-04-15 Taiwan Semiconductor Manufacturing Company, Ltd. Bump structural designs to minimize package defects
US9406500B2 (en) 2012-02-08 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Flux residue cleaning system and method
US9230932B2 (en) 2012-02-09 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect crack arrestor structure and methods
US8975183B2 (en) 2012-02-10 2015-03-10 Taiwan Semiconductor Manufacturing Co., Ltd. Process for forming semiconductor structure
US8618631B2 (en) 2012-02-14 2013-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. On-chip ferrite bead inductor
US8816495B2 (en) 2012-02-16 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structures and formation methods of packages with heat sinks
US8900922B2 (en) 2012-02-16 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Fine-pitch package-on-package structures and methods for forming the same
US9618712B2 (en) 2012-02-23 2017-04-11 Taiwan Semiconductor Manufacturing Company, Ltd. Optical bench on substrate and method of making the same
US10180547B2 (en) 2012-02-23 2019-01-15 Taiwan Semiconductor Manufacturing Company, Ltd. Optical bench on substrate
US9646942B2 (en) 2012-02-23 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for controlling bump height variation
US9293521B2 (en) 2012-03-02 2016-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Concentric capacitor structure
US8860114B2 (en) 2012-03-02 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a fishbone differential capacitor
US8953336B2 (en) 2012-03-06 2015-02-10 Taiwan Semiconductor Manufacturing Co., Ltd. Surface metal wiring structure for an IC substrate
US8962392B2 (en) 2012-03-13 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Underfill curing method using carrier
US9312432B2 (en) 2012-03-13 2016-04-12 Tsmc Solid State Lighting Ltd. Growing an improved P-GaN layer of an LED through pressure ramping
US9006004B2 (en) 2012-03-23 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Probing chips during package formation
US9034695B2 (en) 2012-04-11 2015-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated thermal solutions for packaging integrated circuits
US9391000B2 (en) 2012-04-11 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming silicon-based hermetic thermal solutions
US9425136B2 (en) 2012-04-17 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Conical-shaped or tier-shaped pillar connections
US9646923B2 (en) 2012-04-17 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices, methods of manufacture thereof, and packaged semiconductor devices
US9139420B2 (en) 2012-04-18 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS device structure and methods of forming same
US9299674B2 (en) 2012-04-18 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Bump-on-trace interconnect
US9515036B2 (en) 2012-04-20 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for solder connections
US8741691B2 (en) 2012-04-20 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating three dimensional integrated circuit
US9412725B2 (en) 2012-04-27 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for image sensor packaging
US9576830B2 (en) 2012-05-18 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for adjusting wafer warpage
US9583365B2 (en) 2012-05-25 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming interconnects for three dimensional integrated circuit
US8563403B1 (en) 2012-06-27 2013-10-22 International Business Machines Corporation Three dimensional integrated circuit integration using alignment via/dielectric bonding first and through via formation last
SG11201407282XA (en) * 2012-07-31 2015-01-29 Univ Nanyang Tech Semiconductor device and method for forming the same
US8970035B2 (en) 2012-08-31 2015-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Bump structures for semiconductor package
US9111817B2 (en) 2012-09-18 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Bump structure and method of forming same
US8628990B1 (en) 2012-09-27 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Image device and methods of forming the same
US9142581B2 (en) * 2012-11-05 2015-09-22 Omnivision Technologies, Inc. Die seal ring for integrated circuit system with stacked device wafers
US8518741B1 (en) 2012-11-07 2013-08-27 International Business Machines Corporation Wafer-to-wafer process for manufacturing a stacked structure
US9490133B2 (en) 2013-01-24 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Etching apparatus
US9484211B2 (en) 2013-01-24 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Etchant and etching process
US9673169B2 (en) * 2013-02-05 2017-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for a wafer seal ring
US9041152B2 (en) 2013-03-14 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Inductor with magnetic material
US9646894B2 (en) 2013-03-15 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging mechanisms for dies with different sizes of connectors
US9070644B2 (en) 2013-03-15 2015-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging mechanisms for dies with different sizes of connectors
KR102136845B1 (en) 2013-09-16 2020-07-23 삼성전자 주식회사 Stack type image sensor and fabrication method thereof
JP6212720B2 (en) * 2013-09-20 2017-10-18 パナソニックIpマネジメント株式会社 Semiconductor device and manufacturing method thereof
US9437572B2 (en) * 2013-12-18 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive pad structure for hybrid bonding and methods of forming same
US9935090B2 (en) 2014-02-14 2018-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate design for semiconductor packages and method of forming same
US10026671B2 (en) 2014-02-14 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate design for semiconductor packages and method of forming same
US9768090B2 (en) 2014-02-14 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate design for semiconductor packages and method of forming same
US10056267B2 (en) 2014-02-14 2018-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate design for semiconductor packages and method of forming same
US9653443B2 (en) 2014-02-14 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal performance structure for semiconductor packages and method of forming same
CN105097661B (en) * 2014-05-22 2018-06-08 中芯国际集成电路制造(上海)有限公司 A kind of semiconductor devices and preparation method thereof, electronic device
US9553054B2 (en) * 2014-10-23 2017-01-24 Globalfoundries Inc. Strain detection structures for bonded wafers and chips
US9564416B2 (en) 2015-02-13 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and methods of forming the same
US9613931B2 (en) 2015-04-30 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out stacked system in package (SIP) having dummy dies and methods of making the same
US10355039B2 (en) * 2015-05-18 2019-07-16 Sony Corporation Semiconductor device and imaging device
US9425110B1 (en) 2015-08-27 2016-08-23 Northrop Grumman Systems Corporation Yield enhancing vertical redundancy method for 3D wafer level packaged (WLP) integrated circuit systems
CN106876419B (en) 2015-12-10 2019-07-30 中芯国际集成电路制造(上海)有限公司 Cmos image sensor and forming method thereof
US10490483B2 (en) * 2016-03-07 2019-11-26 Micron Technology, Inc. Low capacitance through substrate via structures
US10304802B2 (en) * 2016-05-02 2019-05-28 International Business Machines Corporation Integrated wafer-level processing system
US9941241B2 (en) * 2016-06-30 2018-04-10 International Business Machines Corporation Method for wafer-wafer bonding
CN109285825B (en) * 2017-07-21 2021-02-05 联华电子股份有限公司 Chip stacking structure and manufacturing method of tube core stacking structure
US10290612B1 (en) 2018-05-30 2019-05-14 Invensas Corporation Systems and methods for flash stacking
DE112019003284T5 (en) * 2018-06-29 2021-04-01 Sony Semiconductor Solutions Corporation SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE
CN109148498B (en) * 2018-08-14 2021-06-15 武汉新芯集成电路制造有限公司 Structure of three-dimensional bonding sensor with high storage capacity and manufacturing method thereof
JP7224138B2 (en) 2018-10-23 2023-02-17 株式会社ダイセル Semiconductor device manufacturing method
US11887975B2 (en) 2018-10-23 2024-01-30 Daicel Corporation Semiconductor device manufacturing method
JP7201387B2 (en) * 2018-10-23 2023-01-10 株式会社ダイセル Semiconductor device manufacturing method
CN111682003A (en) * 2019-03-11 2020-09-18 奥特斯奥地利科技与系统技术有限公司 Component carrier comprising a component having a vertical through-connection
KR20210055164A (en) * 2019-11-07 2021-05-17 삼성전자주식회사 Semiconductor device and semiconductor package having the same
KR20210066387A (en) 2019-11-28 2021-06-07 삼성전자주식회사 Semiconductor package
KR20210151569A (en) 2020-06-05 2021-12-14 삼성전자주식회사 Semiconductor device and semiconductor package including same
CN111812487B (en) * 2020-07-14 2021-04-13 长江存储科技有限责任公司 Preparation method of failure analysis sample and failure analysis sample
US11837623B2 (en) * 2020-10-12 2023-12-05 Raytheon Company Integrated circuit having vertical routing to bond pads

Citations (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3648131A (en) * 1969-11-07 1972-03-07 Ibm Hourglass-shaped conductive connection through semiconductor structures
US4607779A (en) * 1983-08-11 1986-08-26 National Semiconductor Corporation Non-impact thermocompression gang bonding method
US4689113A (en) * 1986-03-21 1987-08-25 International Business Machines Corporation Process for forming planar chip-level wiring
US4897708A (en) * 1986-07-17 1990-01-30 Laser Dynamics, Inc. Semiconductor wafer array
US4954875A (en) * 1986-07-17 1990-09-04 Laser Dynamics, Inc. Semiconductor wafer array with electrically conductive compliant material
US4984358A (en) * 1989-03-10 1991-01-15 Microelectronics And Computer Technology Corporation Method of assembling stacks of integrated circuit dies
US4998665A (en) * 1988-09-07 1991-03-12 Nec Corporation Bonding structure of substrates and method for bonding substrates
US5045914A (en) * 1989-12-26 1991-09-03 Motorola, Inc. Plastic pad array electronic AC device
US5202754A (en) * 1991-09-13 1993-04-13 International Business Machines Corporation Three-dimensional multichip packages and methods of fabrication
US5229647A (en) * 1991-03-27 1993-07-20 Micron Technology, Inc. High density data storage using stacked wafers
US5241450A (en) * 1992-03-13 1993-08-31 The United States Of America As Represented By The United States Department Of Energy Three dimensional, multi-chip module
US5283107A (en) * 1991-05-03 1994-02-01 International Business Machines Corporation Modular multilayer interwiring structure
US5399898A (en) * 1992-07-17 1995-03-21 Lsi Logic Corporation Multi-chip semiconductor arrangements using flip chip dies
US5401672A (en) * 1994-01-21 1995-03-28 Kulite Semiconductor Products, Inc. Process of bonding semiconductor wafers having conductive semiconductor material extending through each wafer at the bond areas
US5404044A (en) * 1992-09-29 1995-04-04 International Business Machines Corporation Parallel process interposer (PPI)
US5419806A (en) * 1993-02-11 1995-05-30 Siemens Aktiengesellschaft Method for manufacturing a three-dimensional circuit apparatus
US5438212A (en) * 1993-02-25 1995-08-01 Mitsubishi Denki Kabushiki Kaisha Semiconductor device with heat dissipation structure
US5463246A (en) * 1988-12-29 1995-10-31 Sharp Kabushiki Kaisha Large scale high density semiconductor apparatus
US5510655A (en) * 1990-11-26 1996-04-23 The Boeing Company Silicon wafers containing conductive feedthroughs
US5545281A (en) * 1991-11-27 1996-08-13 Nec Corporation Method of bonding circuit boards
US5608265A (en) * 1993-03-17 1997-03-04 Hitachi, Ltd. Encapsulated semiconductor device package having holes for electrically conductive material
US5618752A (en) * 1995-06-05 1997-04-08 Harris Corporation Method of fabrication of surface mountable integrated circuits
US5627106A (en) * 1994-05-06 1997-05-06 United Microelectronics Corporation Trench method for three dimensional chip connecting during IC fabrication
US5640049A (en) * 1995-08-18 1997-06-17 Lsi Logic Corporation Metal interconnect structures for use with integrated circuit devices to form integrated circuit structures
US5646067A (en) * 1995-06-05 1997-07-08 Harris Corporation Method of bonding wafers having vias including conductive material
US5656554A (en) * 1994-07-29 1997-08-12 International Business Machines Corporation Semiconductor chip reclamation technique involving multiple planarization processes
US5717247A (en) * 1995-02-06 1998-02-10 Grumman Aerospace Corporation Microcircuit via interconnect
WO1998019337A1 (en) * 1996-10-29 1998-05-07 Trusi Technologies, Llc Integrated circuits and methods for their fabrication
US5751556A (en) * 1996-03-29 1998-05-12 Intel Corporation Method and apparatus for reducing warpage of an assembly substrate
US5773986A (en) * 1995-04-03 1998-06-30 Motorola, Inc Semiconductor wafer contact system and method for contacting a semiconductor wafer
US5783870A (en) * 1995-03-16 1998-07-21 National Semiconductor Corporation Method for connecting packages of a stacked ball grid array structure
US5825080A (en) * 1995-12-18 1998-10-20 Atr Optical And Radio Communications Research Laboratories Semiconductor device provided with surface grounding conductor for covering surfaces of electrically insulating films
US5872025A (en) * 1995-07-26 1999-02-16 International Business Machines Corporation Method for stacked three dimensional device manufacture
US5880010A (en) * 1994-07-12 1999-03-09 Sun Microsystems, Inc. Ultrathin electronics
US5886535A (en) * 1996-11-08 1999-03-23 W. L. Gore & Associates, Inc. Wafer level burn-in base unit substrate and assembly
US5901050A (en) * 1996-08-21 1999-05-04 Ngk Spark Plug Co., Ltd. Wired base plate and package for electronic parts
US5973396A (en) * 1996-02-16 1999-10-26 Micron Technology, Inc. Surface mount IC using silicon vias in an area array format or same size as die array
US6100181A (en) * 1999-05-05 2000-08-08 Advanced Micro Devices, Inc. Low dielectric constant coating of conductive material in a damascene process for semiconductors
US6130823A (en) * 1999-02-01 2000-10-10 Raytheon E-Systems, Inc. Stackable ball grid array module and method
US6225699B1 (en) * 1998-06-26 2001-05-01 International Business Machines Corporation Chip-on-chip interconnections of varied characteristics
US6238951B1 (en) * 1993-05-28 2001-05-29 Commissariat A L'energie Atomique Process for producing a sealing and mechanical strength ring between a substrate and a chip hybridized by bumps on the substrate
US20010005059A1 (en) * 1999-12-28 2001-06-28 Fuji Xerox Co., Ltd. And Mitsumasa Koyanagi Three-dimensional semiconductor integrated circuit apparatus and manufacturing method therefor
US6255726B1 (en) * 1994-06-23 2001-07-03 Cubic Memory, Inc. Vertical interconnect process for silicon segments with dielectric isolation
US6274937B1 (en) * 1999-02-01 2001-08-14 Micron Technology, Inc. Silicon multi-chip module packaging with integrated passive components and method of making
US6294406B1 (en) * 1998-06-26 2001-09-25 International Business Machines Corporation Highly integrated chip-on-chip packaging
US6297072B1 (en) * 1998-04-17 2001-10-02 Interuniversitair Micro-Elktronica Centrum (Imec Vzw) Method of fabrication of a microstructure having an internal cavity
US6300687B1 (en) * 1998-06-26 2001-10-09 International Business Machines Corporation Micro-flex technology in semiconductor packages
US20020005577A1 (en) * 2000-07-14 2002-01-17 Mitsubishi Denki Kabushiki Kaisha Semiconductor device
US20020005578A1 (en) * 1998-01-22 2002-01-17 Hironori Kodama Press contact type semiconductor device and converter using same
US6340608B1 (en) * 2000-07-07 2002-01-22 Chartered Semiconductor Manufacturing Ltd. Method of fabricating copper metal bumps for flip-chip or chip-on-board IC bonding on terminating copper pads
US20020017710A1 (en) * 2000-08-04 2002-02-14 Seiko Epson Corporation Semiconductor device and method of manufacturing the same, circuit board, and electronic equipment
US20020024628A1 (en) * 1999-05-17 2002-02-28 Walker Tobias W. Micro liquid crystal displays
US6355501B1 (en) * 2000-09-21 2002-03-12 International Business Machines Corporation Three-dimensional chip stacking assembly
US6355976B1 (en) * 1992-05-14 2002-03-12 Reveo, Inc Three-dimensional packaging technology for multi-layered integrated circuits
US20020030245A1 (en) * 2000-06-02 2002-03-14 Seiko Epson Corporation Semiconductor device, method of fabricating the same, stack-type semiconductor device, circuit board and electronic instrument
US6373130B1 (en) * 1999-03-31 2002-04-16 Societe Francaise De Detecteurs Infrarouges - Sofradir Electrical or electronic component encapsulated in a sealed manner
US6391669B1 (en) * 2000-06-21 2002-05-21 International Business Machines Corporation Embedded structures to provide electrical testing for via to via and interface layer alignment as well as for conductive interface electrical integrity in multilayer devices
US20020074637A1 (en) * 2000-12-19 2002-06-20 Intel Corporation Stacked flip chip assemblies
US20020084513A1 (en) * 1996-10-29 2002-07-04 Oleg Siniaguine Integrated circuits and methods for their fabrication
US6432809B1 (en) * 2000-02-28 2002-08-13 International Business Machines Corporation Method for improved passive thermal flow in silicon on insulator devices
US20020117742A1 (en) * 1999-07-30 2002-08-29 Hitachi, Ltd. Semiconductor device
US6444576B1 (en) * 2000-06-16 2002-09-03 Chartered Semiconductor Manufacturing, Ltd. Three dimensional IC package module
US6448661B1 (en) * 2001-02-09 2002-09-10 Samsung Electornics Co., Ltd. Three-dimensional multi-chip package having chip selection pads and manufacturing method thereof
US6448174B1 (en) * 1998-03-26 2002-09-10 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E. V. Wiring method for producing a vertical, integrated circuit structure and vertical, integrated circuit structure
US20030003703A1 (en) * 2001-06-28 2003-01-02 International Business Machines Corporation Process for forming fusible links
US6504253B2 (en) * 2000-04-28 2003-01-07 Stmicroelectronics S.R.L. Structure for electrically connecting a first body of semiconductor material overlaid by a second body of semiconductor material composite structure using electric connection structure
US20030053081A1 (en) * 2001-07-12 2003-03-20 Forouhi Abdul Rahim Method of monitoring thin-film processes and metrology tool thereof
US6555917B1 (en) * 2001-10-09 2003-04-29 Amkor Technology, Inc. Semiconductor package having stacked semiconductor chips and method of making the same
US20030079836A1 (en) * 2001-10-31 2003-05-01 Juen-Kuen Lin Carrier head for chemical mechanical polishing
US20030094683A1 (en) * 2001-11-16 2003-05-22 Poo Chia Yong Stackable semiconductor package and wafer level fabrication method
US6583512B2 (en) * 2000-12-26 2003-06-24 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
US6593645B2 (en) * 1999-09-24 2003-07-15 United Microelectronics Corp. Three-dimensional system-on-chip structure
US20030134451A1 (en) * 2002-01-14 2003-07-17 Picta Technology, Inc. Structure and process for packaging back-to-back chips
US20030146517A1 (en) * 2002-02-06 2003-08-07 International Business Machines Corporation Power distribution design method for stacked flip-chip packages
US20030148596A1 (en) * 2002-02-06 2003-08-07 Kellar Scot A. Wafer bonding for three-dimensional (3D) integration
US20030157782A1 (en) * 2002-02-06 2003-08-21 Kellar Scot A. Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
US6620731B1 (en) * 1997-12-18 2003-09-16 Micron Technology, Inc. Method for fabricating semiconductor components and interconnects with contacts on opposing sides
US6673653B2 (en) * 2001-02-23 2004-01-06 Eaglestone Partners I, Llc Wafer-interposer using a ceramic substrate
US20040014308A1 (en) * 2002-02-06 2004-01-22 Kellar Scot A. Barrier structure against corrosion and contamination in three-dimensional (3-D) wafer-to-wafer vertical stack
US6693361B1 (en) * 1999-12-06 2004-02-17 Tru-Si Technologies, Inc. Packaging of integrated circuits and vertical integration
US6724084B1 (en) * 1999-02-08 2004-04-20 Rohm Co., Ltd. Semiconductor chip and production thereof, and semiconductor device having semiconductor chip bonded to solid device
US6762076B2 (en) * 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US6784023B2 (en) * 1996-05-20 2004-08-31 Micron Technology, Inc. Method of fabrication of stacked semiconductor devices
US6882045B2 (en) * 1999-10-28 2005-04-19 Thomas J. Massingill Multi-chip module and method for forming and method for deplating defective capacitors
US6900528B2 (en) * 2001-06-21 2005-05-31 Micron Technology, Inc. Stacked mass storage flash memory package
US7087538B2 (en) * 2004-08-16 2006-08-08 Intel Corporation Method to fill the gap between coupled wafers

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3705332A (en) * 1970-06-25 1972-12-05 Howard L Parks Electrical circuit packaging structure and method of fabrication thereof
JPH01501587A (en) 1986-12-17 1989-06-01 レイケム・コーポレイション Interconnection of electronic elements
US5366906A (en) 1992-10-16 1994-11-22 Martin Marietta Corporation Wafer level integration and testing
JP3152796B2 (en) * 1993-05-28 2001-04-03 株式会社東芝 Semiconductor device and method of manufacturing the same
US5578874A (en) * 1994-06-14 1996-11-26 Hughes Aircraft Company Hermetically self-sealing flip chip
US6461890B1 (en) 1996-12-27 2002-10-08 Rohm Co., Ltd. Structure of semiconductor chip suitable for chip-on-board system and methods of fabricating and mounting the same
JP4032454B2 (en) * 1997-06-27 2008-01-16 ソニー株式会社 Manufacturing method of three-dimensional circuit element
US6165864A (en) * 1998-07-28 2000-12-26 Siemens Aktiengesellschaft Tapered electrode for stacked capacitors
JP3303791B2 (en) 1998-09-02 2002-07-22 株式会社村田製作所 Electronic component manufacturing method
US6468098B1 (en) * 1999-08-17 2002-10-22 Formfactor, Inc. Electrical contactor especially wafer level contactor using fluid pressure
US6459148B1 (en) * 2000-11-13 2002-10-01 Walsin Advanced Electronics Ltd QFN semiconductor package
US20020163072A1 (en) * 2001-05-01 2002-11-07 Subhash Gupta Method for bonding wafers to produce stacked integrated circuits
KR100401975B1 (en) * 2001-12-27 2003-10-17 삼성전기주식회사 Chip package and the method of fabricating the same

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3648131A (en) * 1969-11-07 1972-03-07 Ibm Hourglass-shaped conductive connection through semiconductor structures
US4607779A (en) * 1983-08-11 1986-08-26 National Semiconductor Corporation Non-impact thermocompression gang bonding method
US4689113A (en) * 1986-03-21 1987-08-25 International Business Machines Corporation Process for forming planar chip-level wiring
US4897708A (en) * 1986-07-17 1990-01-30 Laser Dynamics, Inc. Semiconductor wafer array
US4954875A (en) * 1986-07-17 1990-09-04 Laser Dynamics, Inc. Semiconductor wafer array with electrically conductive compliant material
US4998665A (en) * 1988-09-07 1991-03-12 Nec Corporation Bonding structure of substrates and method for bonding substrates
US5463246A (en) * 1988-12-29 1995-10-31 Sharp Kabushiki Kaisha Large scale high density semiconductor apparatus
US4984358A (en) * 1989-03-10 1991-01-15 Microelectronics And Computer Technology Corporation Method of assembling stacks of integrated circuit dies
US5045914A (en) * 1989-12-26 1991-09-03 Motorola, Inc. Plastic pad array electronic AC device
US5510655A (en) * 1990-11-26 1996-04-23 The Boeing Company Silicon wafers containing conductive feedthroughs
US5229647A (en) * 1991-03-27 1993-07-20 Micron Technology, Inc. High density data storage using stacked wafers
US5283107A (en) * 1991-05-03 1994-02-01 International Business Machines Corporation Modular multilayer interwiring structure
US5202754A (en) * 1991-09-13 1993-04-13 International Business Machines Corporation Three-dimensional multichip packages and methods of fabrication
US5545281A (en) * 1991-11-27 1996-08-13 Nec Corporation Method of bonding circuit boards
US5241450A (en) * 1992-03-13 1993-08-31 The United States Of America As Represented By The United States Department Of Energy Three dimensional, multi-chip module
US6355976B1 (en) * 1992-05-14 2002-03-12 Reveo, Inc Three-dimensional packaging technology for multi-layered integrated circuits
US5399898A (en) * 1992-07-17 1995-03-21 Lsi Logic Corporation Multi-chip semiconductor arrangements using flip chip dies
US5404044A (en) * 1992-09-29 1995-04-04 International Business Machines Corporation Parallel process interposer (PPI)
US5419806A (en) * 1993-02-11 1995-05-30 Siemens Aktiengesellschaft Method for manufacturing a three-dimensional circuit apparatus
US5438212A (en) * 1993-02-25 1995-08-01 Mitsubishi Denki Kabushiki Kaisha Semiconductor device with heat dissipation structure
US5608265A (en) * 1993-03-17 1997-03-04 Hitachi, Ltd. Encapsulated semiconductor device package having holes for electrically conductive material
US6238951B1 (en) * 1993-05-28 2001-05-29 Commissariat A L'energie Atomique Process for producing a sealing and mechanical strength ring between a substrate and a chip hybridized by bumps on the substrate
US5401672A (en) * 1994-01-21 1995-03-28 Kulite Semiconductor Products, Inc. Process of bonding semiconductor wafers having conductive semiconductor material extending through each wafer at the bond areas
US5455445A (en) * 1994-01-21 1995-10-03 Kulite Semiconductor Products, Inc. Multi-level semiconductor structures having environmentally isolated elements
US5627106A (en) * 1994-05-06 1997-05-06 United Microelectronics Corporation Trench method for three dimensional chip connecting during IC fabrication
US6255726B1 (en) * 1994-06-23 2001-07-03 Cubic Memory, Inc. Vertical interconnect process for silicon segments with dielectric isolation
US5880010A (en) * 1994-07-12 1999-03-09 Sun Microsystems, Inc. Ultrathin electronics
US5656554A (en) * 1994-07-29 1997-08-12 International Business Machines Corporation Semiconductor chip reclamation technique involving multiple planarization processes
US5717247A (en) * 1995-02-06 1998-02-10 Grumman Aerospace Corporation Microcircuit via interconnect
US5783870A (en) * 1995-03-16 1998-07-21 National Semiconductor Corporation Method for connecting packages of a stacked ball grid array structure
US5773986A (en) * 1995-04-03 1998-06-30 Motorola, Inc Semiconductor wafer contact system and method for contacting a semiconductor wafer
US5646067A (en) * 1995-06-05 1997-07-08 Harris Corporation Method of bonding wafers having vias including conductive material
US5618752A (en) * 1995-06-05 1997-04-08 Harris Corporation Method of fabrication of surface mountable integrated circuits
US5872025A (en) * 1995-07-26 1999-02-16 International Business Machines Corporation Method for stacked three dimensional device manufacture
US5640049A (en) * 1995-08-18 1997-06-17 Lsi Logic Corporation Metal interconnect structures for use with integrated circuit devices to form integrated circuit structures
US5825080A (en) * 1995-12-18 1998-10-20 Atr Optical And Radio Communications Research Laboratories Semiconductor device provided with surface grounding conductor for covering surfaces of electrically insulating films
US5973396A (en) * 1996-02-16 1999-10-26 Micron Technology, Inc. Surface mount IC using silicon vias in an area array format or same size as die array
US6400008B1 (en) * 1996-02-16 2002-06-04 Micron Technology, Inc. Surface mount ic using silicon vias in an area array format or same size as die array
US5751556A (en) * 1996-03-29 1998-05-12 Intel Corporation Method and apparatus for reducing warpage of an assembly substrate
US6784023B2 (en) * 1996-05-20 2004-08-31 Micron Technology, Inc. Method of fabrication of stacked semiconductor devices
US5901050A (en) * 1996-08-21 1999-05-04 Ngk Spark Plug Co., Ltd. Wired base plate and package for electronic parts
US6882030B2 (en) * 1996-10-29 2005-04-19 Tru-Si Technologies, Inc. Integrated circuit structures with a conductor formed in a through hole in a semiconductor substrate and protruding from a surface of the substrate
US20020084513A1 (en) * 1996-10-29 2002-07-04 Oleg Siniaguine Integrated circuits and methods for their fabrication
WO1998019337A1 (en) * 1996-10-29 1998-05-07 Trusi Technologies, Llc Integrated circuits and methods for their fabrication
US5886535A (en) * 1996-11-08 1999-03-23 W. L. Gore & Associates, Inc. Wafer level burn-in base unit substrate and assembly
US6620731B1 (en) * 1997-12-18 2003-09-16 Micron Technology, Inc. Method for fabricating semiconductor components and interconnects with contacts on opposing sides
US20020005578A1 (en) * 1998-01-22 2002-01-17 Hironori Kodama Press contact type semiconductor device and converter using same
US6448174B1 (en) * 1998-03-26 2002-09-10 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E. V. Wiring method for producing a vertical, integrated circuit structure and vertical, integrated circuit structure
US6297072B1 (en) * 1998-04-17 2001-10-02 Interuniversitair Micro-Elktronica Centrum (Imec Vzw) Method of fabrication of a microstructure having an internal cavity
US6300687B1 (en) * 1998-06-26 2001-10-09 International Business Machines Corporation Micro-flex technology in semiconductor packages
US6294406B1 (en) * 1998-06-26 2001-09-25 International Business Machines Corporation Highly integrated chip-on-chip packaging
US6225699B1 (en) * 1998-06-26 2001-05-01 International Business Machines Corporation Chip-on-chip interconnections of varied characteristics
US6130823A (en) * 1999-02-01 2000-10-10 Raytheon E-Systems, Inc. Stackable ball grid array module and method
US6274937B1 (en) * 1999-02-01 2001-08-14 Micron Technology, Inc. Silicon multi-chip module packaging with integrated passive components and method of making
US6724084B1 (en) * 1999-02-08 2004-04-20 Rohm Co., Ltd. Semiconductor chip and production thereof, and semiconductor device having semiconductor chip bonded to solid device
US6373130B1 (en) * 1999-03-31 2002-04-16 Societe Francaise De Detecteurs Infrarouges - Sofradir Electrical or electronic component encapsulated in a sealed manner
US6100181A (en) * 1999-05-05 2000-08-08 Advanced Micro Devices, Inc. Low dielectric constant coating of conductive material in a damascene process for semiconductors
US20020024628A1 (en) * 1999-05-17 2002-02-28 Walker Tobias W. Micro liquid crystal displays
US20020117742A1 (en) * 1999-07-30 2002-08-29 Hitachi, Ltd. Semiconductor device
US6593645B2 (en) * 1999-09-24 2003-07-15 United Microelectronics Corp. Three-dimensional system-on-chip structure
US6882045B2 (en) * 1999-10-28 2005-04-19 Thomas J. Massingill Multi-chip module and method for forming and method for deplating defective capacitors
US6693361B1 (en) * 1999-12-06 2004-02-17 Tru-Si Technologies, Inc. Packaging of integrated circuits and vertical integration
US20010005059A1 (en) * 1999-12-28 2001-06-28 Fuji Xerox Co., Ltd. And Mitsumasa Koyanagi Three-dimensional semiconductor integrated circuit apparatus and manufacturing method therefor
US6525415B2 (en) * 1999-12-28 2003-02-25 Fuji Xerox Co., Ltd. Three-dimensional semiconductor integrated circuit apparatus and manufacturing method therefor
US6432809B1 (en) * 2000-02-28 2002-08-13 International Business Machines Corporation Method for improved passive thermal flow in silicon on insulator devices
US6504253B2 (en) * 2000-04-28 2003-01-07 Stmicroelectronics S.R.L. Structure for electrically connecting a first body of semiconductor material overlaid by a second body of semiconductor material composite structure using electric connection structure
US6720661B2 (en) * 2000-06-02 2004-04-13 Seiko Epson Corporation Semiconductor device, method of fabricating the same, stack-type semiconductor device, circuit board and electronic instrument
US20020030245A1 (en) * 2000-06-02 2002-03-14 Seiko Epson Corporation Semiconductor device, method of fabricating the same, stack-type semiconductor device, circuit board and electronic instrument
US6444576B1 (en) * 2000-06-16 2002-09-03 Chartered Semiconductor Manufacturing, Ltd. Three dimensional IC package module
US6391669B1 (en) * 2000-06-21 2002-05-21 International Business Machines Corporation Embedded structures to provide electrical testing for via to via and interface layer alignment as well as for conductive interface electrical integrity in multilayer devices
US6340608B1 (en) * 2000-07-07 2002-01-22 Chartered Semiconductor Manufacturing Ltd. Method of fabricating copper metal bumps for flip-chip or chip-on-board IC bonding on terminating copper pads
US6452279B2 (en) * 2000-07-14 2002-09-17 Mitsubishi Denki Kabushiki Kaisha Semiconductor device
US20020005577A1 (en) * 2000-07-14 2002-01-17 Mitsubishi Denki Kabushiki Kaisha Semiconductor device
US6608371B2 (en) * 2000-08-04 2003-08-19 Seiko Epson Corporation Semiconductor device and method of manufacturing the same, circuit board, and electronic equipment
US20020017710A1 (en) * 2000-08-04 2002-02-14 Seiko Epson Corporation Semiconductor device and method of manufacturing the same, circuit board, and electronic equipment
US6355501B1 (en) * 2000-09-21 2002-03-12 International Business Machines Corporation Three-dimensional chip stacking assembly
US20020074637A1 (en) * 2000-12-19 2002-06-20 Intel Corporation Stacked flip chip assemblies
US6583512B2 (en) * 2000-12-26 2003-06-24 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
US6448661B1 (en) * 2001-02-09 2002-09-10 Samsung Electornics Co., Ltd. Three-dimensional multi-chip package having chip selection pads and manufacturing method thereof
US6673653B2 (en) * 2001-02-23 2004-01-06 Eaglestone Partners I, Llc Wafer-interposer using a ceramic substrate
US6900528B2 (en) * 2001-06-21 2005-05-31 Micron Technology, Inc. Stacked mass storage flash memory package
US6559042B2 (en) * 2001-06-28 2003-05-06 International Business Machines Corporation Process for forming fusible links
US20030003703A1 (en) * 2001-06-28 2003-01-02 International Business Machines Corporation Process for forming fusible links
US6594025B2 (en) * 2001-07-12 2003-07-15 N&K Technology. Inc. Method of monitoring thin-film processes and metrology tool thereof
US20030053081A1 (en) * 2001-07-12 2003-03-20 Forouhi Abdul Rahim Method of monitoring thin-film processes and metrology tool thereof
US6555917B1 (en) * 2001-10-09 2003-04-29 Amkor Technology, Inc. Semiconductor package having stacked semiconductor chips and method of making the same
US20030079836A1 (en) * 2001-10-31 2003-05-01 Juen-Kuen Lin Carrier head for chemical mechanical polishing
US20030094683A1 (en) * 2001-11-16 2003-05-22 Poo Chia Yong Stackable semiconductor package and wafer level fabrication method
US6611052B2 (en) * 2001-11-16 2003-08-26 Micron Technology, Inc. Wafer level stackable semiconductor package
US20030134451A1 (en) * 2002-01-14 2003-07-17 Picta Technology, Inc. Structure and process for packaging back-to-back chips
US20040014308A1 (en) * 2002-02-06 2004-01-22 Kellar Scot A. Barrier structure against corrosion and contamination in three-dimensional (3-D) wafer-to-wafer vertical stack
US20040142540A1 (en) * 2002-02-06 2004-07-22 Kellar Scot A. Wafer bonding for three-dimensional (3D) integration
US20030157782A1 (en) * 2002-02-06 2003-08-21 Kellar Scot A. Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
US20030148596A1 (en) * 2002-02-06 2003-08-07 Kellar Scot A. Wafer bonding for three-dimensional (3D) integration
US20030146517A1 (en) * 2002-02-06 2003-08-07 International Business Machines Corporation Power distribution design method for stacked flip-chip packages
US6887769B2 (en) * 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
US6762076B2 (en) * 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US7157787B2 (en) * 2002-02-20 2007-01-02 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US7087538B2 (en) * 2004-08-16 2006-08-08 Intel Corporation Method to fill the gap between coupled wafers

Cited By (412)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11760059B2 (en) 2003-05-19 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Method of room temperature covalent bonding
US9653420B2 (en) 2003-11-13 2017-05-16 Micron Technology, Inc. Microelectronic devices and methods for filling vias in microelectronic devices
US7759800B2 (en) 2003-11-13 2010-07-20 Micron Technology, Inc. Microelectronics devices, having vias, and packaged microelectronic devices having vias
US8748311B2 (en) 2003-12-10 2014-06-10 Micron Technology, Inc. Microelectronic devices and methods for filing vias in microelectronic devices
US8084866B2 (en) 2003-12-10 2011-12-27 Micron Technology, Inc. Microelectronic devices and methods for filling vias in microelectronic devices
US11177175B2 (en) 2003-12-10 2021-11-16 Micron Technology, Inc. Microelectronic devices and methods for filling vias in microelectronic devices
US9452492B2 (en) 2004-05-05 2016-09-27 Micron Technology, Inc. Systems and methods for forming apertures in microfeature workpieces
US8664562B2 (en) 2004-05-05 2014-03-04 Micron Technology, Inc. Systems and methods for forming apertures in microfeature workpieces
US8536485B2 (en) 2004-05-05 2013-09-17 Micron Technology, Inc. Systems and methods for forming apertures in microfeature workpieces
US8686313B2 (en) 2004-05-05 2014-04-01 Micron Technology, Inc. System and methods for forming apertures in microfeature workpieces
US10010977B2 (en) 2004-05-05 2018-07-03 Micron Technology, Inc. Systems and methods for forming apertures in microfeature workpieces
US7829976B2 (en) 2004-06-29 2010-11-09 Micron Technology, Inc. Microelectronic devices and methods for forming interconnects in microelectronic devices
US8322031B2 (en) 2004-08-27 2012-12-04 Micron Technology, Inc. Method of manufacturing an interposer
US8502353B2 (en) 2004-09-02 2013-08-06 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
US8669179B2 (en) 2004-09-02 2014-03-11 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
US7956443B2 (en) 2004-09-02 2011-06-07 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
US7683458B2 (en) 2004-09-02 2010-03-23 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
US9214391B2 (en) 2004-12-30 2015-12-15 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US8008192B2 (en) 2005-06-28 2011-08-30 Micron Technology, Inc. Conductive interconnect structures and formation methods using supercritical fluids
US7795134B2 (en) 2005-06-28 2010-09-14 Micron Technology, Inc. Conductive interconnect structures and formation methods using supercritical fluids
US20060290001A1 (en) * 2005-06-28 2006-12-28 Micron Technology, Inc. Interconnect vias and associated methods of formation
US9293367B2 (en) 2005-06-28 2016-03-22 Micron Technology, Inc. Conductive interconnect structures and formation methods using supercritical fluids
US11011418B2 (en) 2005-08-11 2021-05-18 Invensas Bonding Technologies, Inc. 3D IC method and device
US11289372B2 (en) 2005-08-11 2022-03-29 Invensas Bonding Technologies, Inc. 3D IC method and device
US11515202B2 (en) 2005-08-11 2022-11-29 Adeia Semiconductor Bonding Technologies Inc. 3D IC method and device
US7863187B2 (en) 2005-09-01 2011-01-04 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US7915736B2 (en) 2005-09-01 2011-03-29 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US11476160B2 (en) 2005-09-01 2022-10-18 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US7749899B2 (en) 2006-06-01 2010-07-06 Micron Technology, Inc. Microelectronic workpieces and methods and systems for forming interconnects in microelectronic workpieces
US7973411B2 (en) 2006-08-28 2011-07-05 Micron Technology, Inc. Microfeature workpieces having conductive interconnect structures formed by chemically reactive processes, and associated systems and methods
US8610279B2 (en) 2006-08-28 2013-12-17 Micron Technologies, Inc. Microfeature workpieces having conductive interconnect structures formed by chemically reactive processes, and associated systems and methods
US7902643B2 (en) 2006-08-31 2011-03-08 Micron Technology, Inc. Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods
US9570350B2 (en) 2006-08-31 2017-02-14 Micron Technology, Inc. Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods
US9099539B2 (en) 2006-08-31 2015-08-04 Micron Technology, Inc. Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods
US8119500B2 (en) * 2007-04-25 2012-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer bonding
US20080268614A1 (en) * 2007-04-25 2008-10-30 Ku-Feng Yang Wafer Bonding
US8536046B2 (en) 2007-08-31 2013-09-17 Micron Technology Partitioned through-layer via and associated systems and methods
US8367538B2 (en) 2007-08-31 2013-02-05 Micron Technology, Inc. Partitioned through-layer via and associated systems and methods
US7830018B2 (en) 2007-08-31 2010-11-09 Micron Technology, Inc. Partitioned through-layer via and associated systems and methods
US7884015B2 (en) 2007-12-06 2011-02-08 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US8247907B2 (en) 2007-12-06 2012-08-21 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US9281241B2 (en) 2007-12-06 2016-03-08 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
WO2009117882A1 (en) * 2008-03-27 2009-10-01 Hong Kong Applied Science and Technology Research Institute Co. Ltd Pulse-laser bonding method for through-silicon-via based stacking of electronic components
US20090243046A1 (en) * 2008-03-27 2009-10-01 Xunqing Shi Pulse-Laser Bonding Method for Through-Silicon-Via Based Stacking of Electronic Components
US8138577B2 (en) 2008-03-27 2012-03-20 Hong Kong Applied Science And Technology Research Institute Co., Ltd. Pulse-laser bonding method for through-silicon-via based stacking of electronic components
US8399336B2 (en) 2008-08-19 2013-03-19 International Business Machines Corporation Method for fabricating a 3D integrated circuit device having lower-cost active circuitry layers stacked before higher-cost active circuitry layer
US8664081B2 (en) 2008-08-19 2014-03-04 International Business Machines Corporation Method for fabricating 3D integrated circuit device using interface wafer as permanent carrier
US8298914B2 (en) 2008-08-19 2012-10-30 International Business Machines Corporation 3D integrated circuit device fabrication using interface wafer as permanent carrier
US20100047964A1 (en) * 2008-08-19 2010-02-25 International Business Machines Corporation 3d integrated circuit device fabrication using interface wafer as permanent carrier
US8629553B2 (en) 2008-08-19 2014-01-14 International Business Machines Corporation 3D integrated circuit device fabrication with precisely controllable substrate removal
US20100044826A1 (en) * 2008-08-19 2010-02-25 International Business Machines Corporation 3d integrated circuit device fabrication with precisely controllable substrate removal
US8962448B2 (en) 2008-08-19 2015-02-24 International Business Machines Corporation Computer readable medium encoded with a program for fabricating 3D integrated circuit device using interface wafer as permanent carrier
US8492869B2 (en) 2008-08-19 2013-07-23 International Business Machines Corporation 3D integrated circuit device having lower-cost active circuitry layers stacked before higher-cost active circuitry layer
US8738167B2 (en) 2008-08-19 2014-05-27 International Business Machines Corporation 3D integrated circuit device fabrication with precisely controllable substrate removal
US20100314711A1 (en) * 2008-08-19 2010-12-16 International Business Machines Corporation 3d integrated circuit device having lower-cost active circuitry layers stacked before higher-cost active circuitry layer
US8129256B2 (en) 2008-08-19 2012-03-06 International Business Machines Corporation 3D integrated circuit device fabrication with precisely controllable substrate removal
US9165888B2 (en) 2008-09-11 2015-10-20 Micron Technology, Inc. Interconnect structures for stacked dies, including penetrating structures for through-silicon vias, and associated systems and methods
US8680654B2 (en) 2008-09-11 2014-03-25 Micron Technology, Inc. Interconnect structures for stacked dies, including penetrating structures for through-silicon vias, and associated systems and methods
US8435836B2 (en) 2008-09-11 2013-05-07 Micron Technology, Inc. Interconnect structures for stacked dies, including penetrating structures for through-silicon vias, and associated systems and methods
US7872332B2 (en) 2008-09-11 2011-01-18 Micron Technology, Inc. Interconnect structures for stacked dies, including penetrating structures for through-silicon vias, and associated systems and methods
US20100059897A1 (en) * 2008-09-11 2010-03-11 Micron Technology, Inc. Interconnect structures for stacked dies, including penetrating structures for through-silicon vias, and associated systems and methods
US20110111561A1 (en) * 2008-09-11 2011-05-12 Micron Technology, Inc. Interconnect structures for stacked dies, including penetrating structures for through-silicon vias, and associated systems and methods
US20100096759A1 (en) * 2008-10-16 2010-04-22 Micron Technology, Inc. Semiconductor substrates with unitary vias and via terminals, and associated systems and methods
US9935085B2 (en) 2008-10-16 2018-04-03 Micron Technology, Inc. Semiconductor substrates with unitary vias and via terminals, and associated systems and methods
US8030780B2 (en) 2008-10-16 2011-10-04 Micron Technology, Inc. Semiconductor substrates with unitary vias and via terminals, and associated systems and methods
US9508628B2 (en) 2008-10-16 2016-11-29 Micron Technology, Inc. Semiconductor substrates with unitary vias and via terminals, and associated systems and methods
US8629057B2 (en) 2008-10-16 2014-01-14 Micron Technology, Inc. Semiconductor substrates with unitary vias and via terminals, and associated systems and methods
US8816491B2 (en) * 2009-01-13 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked integrated chips and methods of fabrication thereof
US8501587B2 (en) 2009-01-13 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked integrated chips and methods of fabrication thereof
US20100178761A1 (en) * 2009-01-13 2010-07-15 Ming-Fa Chen Stacked Integrated Chips and Methods of Fabrication Thereof
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US8427200B2 (en) 2009-04-14 2013-04-23 Monolithic 3D Inc. 3D semiconductor device
US8754533B2 (en) 2009-04-14 2014-06-17 Monolithic 3D Inc. Monolithic three-dimensional semiconductor device and structure
US9711407B2 (en) 2009-04-14 2017-07-18 Monolithic 3D Inc. Method of manufacturing a three dimensional integrated circuit by transfer of a mono-crystalline layer
US8362482B2 (en) 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US9412645B1 (en) 2009-04-14 2016-08-09 Monolithic 3D Inc. Semiconductor devices and structures
US8987079B2 (en) 2009-04-14 2015-03-24 Monolithic 3D Inc. Method for developing a custom device
US8373439B2 (en) 2009-04-14 2013-02-12 Monolithic 3D Inc. 3D semiconductor device
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
US8378494B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8378715B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method to construct systems
US9577642B2 (en) 2009-04-14 2017-02-21 Monolithic 3D Inc. Method to form a 3D semiconductor device
US8384426B2 (en) 2009-04-14 2013-02-26 Monolithic 3D Inc. Semiconductor device and structure
US8405420B2 (en) 2009-04-14 2013-03-26 Monolithic 3D Inc. System comprising a semiconductor device and structure
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US8148728B2 (en) * 2009-10-12 2012-04-03 Monolithic 3D, Inc. Method for fabrication of a semiconductor device and structure
US20110233617A1 (en) * 2009-10-12 2011-09-29 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US8395191B2 (en) 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US8294159B2 (en) 2009-10-12 2012-10-23 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8237228B2 (en) 2009-10-12 2012-08-07 Monolithic 3D Inc. System comprising a semiconductor device and structure
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US8664042B2 (en) 2009-10-12 2014-03-04 Monolithic 3D Inc. Method for fabrication of configurable systems
US8907442B2 (en) 2009-10-12 2014-12-09 Monolthic 3D Inc. System comprising a semiconductor device and structure
US9406670B1 (en) 2009-10-12 2016-08-02 Monolithic 3D Inc. System comprising a semiconductor device and structure
US9564432B2 (en) 2010-02-16 2017-02-07 Monolithic 3D Inc. 3D semiconductor device and structure
US8846463B1 (en) 2010-02-16 2014-09-30 Monolithic 3D Inc. Method to construct a 3D semiconductor device
US8492886B2 (en) 2010-02-16 2013-07-23 Monolithic 3D Inc 3D integrated circuit with logic
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US8709880B2 (en) 2010-07-30 2014-04-29 Monolithic 3D Inc Method for fabrication of a semiconductor device and structure
US8912052B2 (en) 2010-07-30 2014-12-16 Monolithic 3D Inc. Semiconductor device and structure
US8642416B2 (en) 2010-07-30 2014-02-04 Monolithic 3D Inc. Method of forming three dimensional integrated circuit devices using layer transfer technique
US10910782B2 (en) 2010-08-31 2021-02-02 Commissariat A L'energie Atomique Et Aux Energies Alternatives Treatment, before the bonding of a mixed Cu-oxide surface, by a plasma containing nitrogen and hydrogen
FR2964112A1 (en) * 2010-08-31 2012-03-02 Commissariat Energie Atomique TREATMENT BEFORE BONDING A CU-OXIDE MIXED SURFACE BY PLASMA CONTAINING NITROGEN AND HYDROGEN
WO2012028793A3 (en) * 2010-08-31 2012-06-07 Commissariat A L'energie Atomique Et Aux Energies Alternatives Treatment, before the bonding of a mixed copper oxide surface, by a plasma containing nitrogen and hydrogen
US8461035B1 (en) 2010-09-30 2013-06-11 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8703597B1 (en) 2010-09-30 2014-04-22 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9419031B1 (en) 2010-10-07 2016-08-16 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US8440542B2 (en) 2010-10-11 2013-05-14 Monolithic 3D Inc. Semiconductor device and structure
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US8203148B2 (en) 2010-10-11 2012-06-19 Monolithic 3D Inc. Semiconductor device and structure
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US9818800B2 (en) 2010-10-11 2017-11-14 Monolithic 3D Inc. Self aligned semiconductor device and structure
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US8956959B2 (en) 2010-10-11 2015-02-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device with two monocrystalline layers
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US8373230B1 (en) 2010-10-13 2013-02-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11374042B1 (en) 2010-10-13 2022-06-28 Monolithic 3D Inc. 3D micro display semiconductor device and structure
US8476145B2 (en) 2010-10-13 2013-07-02 Monolithic 3D Inc. Method of fabricating a semiconductor device and structure
US8362800B2 (en) 2010-10-13 2013-01-29 Monolithic 3D Inc. 3D semiconductor device including field repairable logics
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US8823122B2 (en) 2010-10-13 2014-09-02 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US8379458B1 (en) 2010-10-13 2013-02-19 Monolithic 3D Inc. Semiconductor device and structure
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US8753913B2 (en) 2010-10-13 2014-06-17 Monolithic 3D Inc. Method for fabricating novel semiconductor and optoelectronic devices
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US8273610B2 (en) 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US9136153B2 (en) 2010-11-18 2015-09-15 Monolithic 3D Inc. 3D semiconductor device and structure with back-bias
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US8536023B2 (en) 2010-11-22 2013-09-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device and structure
US8541819B1 (en) 2010-12-09 2013-09-24 Monolithic 3D Inc. Semiconductor device and structure
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8901613B2 (en) 2011-03-06 2014-12-02 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8450804B2 (en) 2011-03-06 2013-05-28 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8581349B1 (en) 2011-05-02 2013-11-12 Monolithic 3D Inc. 3D memory semiconductor device and structure
US9219005B2 (en) 2011-06-28 2015-12-22 Monolithic 3D Inc. Semiconductor system and device
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
US9530753B2 (en) 2011-09-23 2016-12-27 STATS ChipPAC Pte. Ltd. Integrated circuit packaging system with chip stacking and method of manufacture thereof
US9030858B2 (en) 2011-10-02 2015-05-12 Monolithic 3D Inc. Semiconductor device and structure
US8687399B2 (en) 2011-10-02 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US9197804B1 (en) 2011-10-14 2015-11-24 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US9029173B2 (en) 2011-10-18 2015-05-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9000557B2 (en) 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US9305867B1 (en) 2012-04-09 2016-04-05 Monolithic 3D Inc. Semiconductor devices and structures
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US8836073B1 (en) 2012-04-09 2014-09-16 Monolithic 3D Inc. Semiconductor device and structure
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US10777533B2 (en) 2012-08-30 2020-09-15 Invensas Bonding Technologies, Inc. Heterogeneous device
US11631586B2 (en) 2012-08-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Heterogeneous annealing method
US8686428B1 (en) 2012-11-16 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US8574929B1 (en) 2012-11-16 2013-11-05 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US8742476B1 (en) 2012-11-27 2014-06-03 Monolithic 3D Inc. Semiconductor device and structure
US8933564B2 (en) * 2012-12-21 2015-01-13 Intel Corporation Landing structure for through-silicon via
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US8921970B1 (en) 2012-12-22 2014-12-30 Monolithic 3D Inc Semiconductor device and structure
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US9252134B2 (en) 2012-12-22 2016-02-02 Monolithic 3D Inc. Semiconductor device and structure
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US9460991B1 (en) 2012-12-29 2016-10-04 Monolithic 3D Inc. Semiconductor device and structure
US9460978B1 (en) 2012-12-29 2016-10-04 Monolithic 3D Inc. Semiconductor device and structure
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US9911627B1 (en) 2012-12-29 2018-03-06 Monolithic 3D Inc. Method of processing a semiconductor device
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US8803206B1 (en) 2012-12-29 2014-08-12 Monolithic 3D Inc. 3D semiconductor device and structure
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US9496271B2 (en) 2013-03-11 2016-11-15 Monolithic 3D Inc. 3DIC system with a two stable state memory and back-bias region
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US11121246B2 (en) 2013-03-11 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US11515413B2 (en) 2013-03-11 2022-11-29 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US10355121B2 (en) 2013-03-11 2019-07-16 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US10964807B2 (en) 2013-03-11 2021-03-30 Monolithic 3D Inc. 3D semiconductor device with memory
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US10127344B2 (en) 2013-04-15 2018-11-13 Monolithic 3D Inc. Automation for monolithic 3D devices
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US11205600B2 (en) 2014-03-12 2021-12-21 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US11935907B2 (en) 2014-12-11 2024-03-19 Adeia Semiconductor Technologies Llc Image sensor device
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US11056390B2 (en) 2015-06-24 2021-07-06 Invensas Corporation Structures and methods for reliable packages
US11710718B2 (en) 2015-07-10 2023-07-25 Adeia Semiconductor Technologies Llc Structures and methods for low temperature bonding using nanoparticles
US11264345B2 (en) 2015-08-25 2022-03-01 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US11830838B2 (en) 2015-08-25 2023-11-28 Adeia Semiconductor Bonding Technologies Inc. Conductive barrier direct hybrid bonding
US10515981B2 (en) 2015-09-21 2019-12-24 Monolithic 3D Inc. Multilevel semiconductor device and structure with memory
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US10607937B2 (en) 2015-12-18 2020-03-31 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US10896902B2 (en) 2016-01-13 2021-01-19 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10354975B2 (en) 2016-05-16 2019-07-16 Raytheon Company Barrier layer for interconnects in 3D integrated device
WO2017200632A1 (en) * 2016-05-16 2017-11-23 Raytheon Company Barrier layer for interconnects in 3d integrated device
IL262669B (en) * 2016-05-16 2022-08-01 Raytheon Co Barrier layer for interconnects in 3d integrated device
US11658173B2 (en) 2016-05-19 2023-05-23 Adeia Semiconductor Bonding Technologies Inc. Stacked dies and methods for forming bonded structures
US10879226B2 (en) 2016-05-19 2020-12-29 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US11837596B2 (en) 2016-05-19 2023-12-05 Adeia Semiconductor Bonding Technologies Inc. Stacked dies and methods for forming bonded structures
US11956952B2 (en) 2016-08-22 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure
WO2018040544A1 (en) * 2016-08-29 2018-03-08 洛阳鸿泰半导体有限公司 Semiconductor wafer having three-dimensional structure
US10998265B2 (en) 2016-09-30 2021-05-04 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US11881454B2 (en) 2016-10-07 2024-01-23 Adeia Semiconductor Inc. Stacked IC structure with orthogonal interconnect layers
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US10546832B2 (en) 2016-12-21 2020-01-28 Invensas Bonding Technologies, Inc. Bonded structures
US10879207B2 (en) 2016-12-21 2020-12-29 Invensas Bonding Technologies, Inc. Bonded structures
US11670615B2 (en) 2016-12-21 2023-06-06 Adeia Semiconductor Bonding Technologies Inc. Bonded structures
US10796936B2 (en) 2016-12-22 2020-10-06 Invensas Bonding Technologies, Inc. Die tray with channels
US11348801B2 (en) 2016-12-28 2022-05-31 Invensas Bonding Technologies, Inc. Processing stacked substrates
US11367652B2 (en) 2016-12-28 2022-06-21 Invensas Bonding Technologies, Inc. Microelectronic assembly from processed substrate
US11626363B2 (en) 2016-12-29 2023-04-11 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10522499B2 (en) 2017-02-09 2019-12-31 Invensas Bonding Technologies, Inc. Bonded structures
US10879210B2 (en) 2017-02-09 2020-12-29 Invensas Bonding Technologies, Inc. Bonded structures
US11715730B2 (en) 2017-03-16 2023-08-01 Adeia Semiconductor Technologies Llc Direct-bonded LED arrays including optical elements configured to transmit optical signals from LED elements
US11894326B2 (en) 2017-03-17 2024-02-06 Adeia Semiconductor Bonding Technologies Inc. Multi-metal contact structure
US11088099B2 (en) 2017-03-17 2021-08-10 Invensas Bonding Technologies, Inc. Multi-metal contact structure in microelectronic component
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US11257727B2 (en) 2017-03-21 2022-02-22 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
US11417576B2 (en) 2017-03-21 2022-08-16 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10985133B2 (en) 2017-04-21 2021-04-20 Invensas Bonding Technologies, Inc. Die processing
US10714449B2 (en) 2017-04-21 2020-07-14 Invensas Bonding Technologies, Inc. Die processing
US11742315B2 (en) 2017-04-21 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Die processing
US10515925B2 (en) 2017-04-21 2019-12-24 Invensas Bonding Technologies, Inc. Die processing
US11652083B2 (en) 2017-05-11 2023-05-16 Adeia Semiconductor Bonding Technologies Inc. Processed stacked dies
US10748824B2 (en) 2017-05-11 2020-08-18 Invensas Bonding Technologies, Inc. Probe methodology for ultrafine pitch interconnects
US10529634B2 (en) 2017-05-11 2020-01-07 Invensas Bonding Technologies, Inc. Probe methodology for ultrafine pitch interconnects
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US11908739B2 (en) 2017-06-05 2024-02-20 Adeia Semiconductor Technologies Llc Flat metal features for microelectronics applications
US11387214B2 (en) 2017-06-15 2022-07-12 Invensas Llc Multi-chip modules formed using wafer-level processing of a reconstituted wafer
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11552041B2 (en) 2017-09-24 2023-01-10 Adeia Semiconductor Bonding Technologies Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US11694925B2 (en) 2017-10-06 2023-07-04 Adeia Semiconductor Bonding Technologies Inc. Diffusion barrier collar for interconnects
US10658313B2 (en) 2017-12-11 2020-05-19 Invensas Bonding Technologies, Inc. Selective recess
US11011503B2 (en) 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US11600542B2 (en) 2017-12-22 2023-03-07 Adeia Semiconductor Bonding Technologies Inc. Cavity packages
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11948847B2 (en) 2017-12-22 2024-04-02 Adeia Semiconductor Bonding Technologies Inc. Bonded structures
US11037919B2 (en) 2018-02-15 2021-06-15 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11855064B2 (en) 2018-02-15 2023-12-26 Adeia Semiconductor Bonding Technologies Inc. Techniques for processing devices
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11860415B2 (en) 2018-02-26 2024-01-02 Adeia Semiconductor Bonding Technologies Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US11804377B2 (en) 2018-04-05 2023-10-31 Adeia Semiconductor Bonding Technologies, Inc. Method for preparing a surface for direct-bonding
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US11244916B2 (en) 2018-04-11 2022-02-08 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US11515279B2 (en) 2018-04-11 2022-11-29 Adeia Semiconductor Bonding Technologies Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11791307B2 (en) 2018-04-20 2023-10-17 Adeia Semiconductor Bonding Technologies Inc. DBI to SI bonding for simplified handle wafer
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11916054B2 (en) 2018-05-15 2024-02-27 Adeia Semiconductor Bonding Technologies Inc. Stacked devices and methods of fabrication
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US11171117B2 (en) 2018-06-12 2021-11-09 Invensas Bonding Technologies, Inc. Interlayer connection of stacked microelectronic components
US11728313B2 (en) 2018-06-13 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Offset pads over TSV
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US11749645B2 (en) 2018-06-13 2023-09-05 Adeia Semiconductor Bonding Technologies Inc. TSV as pad
US10998292B2 (en) 2018-06-13 2021-05-04 Invensas Bonding Technologies, Inc. Offset pads over TSV
US11862604B2 (en) 2018-06-22 2024-01-02 Adeia Semiconductor Inc. Systems and methods for releveled bump planes for chiplets
US11664357B2 (en) 2018-07-03 2023-05-30 Adeia Semiconductor Bonding Technologies Inc. Techniques for joining dissimilar materials in microelectronics
US11158606B2 (en) 2018-07-06 2021-10-26 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11764189B2 (en) 2018-07-06 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Molded direct bonded and interconnected stack
US11837582B2 (en) 2018-07-06 2023-12-05 Adeia Semiconductor Bonding Technologies Inc. Molded direct bonded and interconnected stack
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11894345B2 (en) 2018-08-28 2024-02-06 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11296044B2 (en) 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11756880B2 (en) 2018-10-22 2023-09-12 Adeia Semiconductor Bonding Technologies Inc. Interconnect structures
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
US11817409B2 (en) 2019-01-14 2023-11-14 Adeia Semiconductor Bonding Technologies Inc. Directly bonded structures without intervening adhesive and methods for forming the same
US11476213B2 (en) 2019-01-14 2022-10-18 Invensas Bonding Technologies, Inc. Bonded structures without intervening adhesive
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US11621246B2 (en) 2019-03-29 2023-04-04 Adeia Semiconductor Technologies Llc Diffused bitline replacement in stacked wafer memory
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11728287B2 (en) 2019-04-12 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Wafer-level bonding of obstructive elements
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11848284B2 (en) 2019-04-12 2023-12-19 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) * 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US11239203B2 (en) 2019-11-01 2022-02-01 Xilinx, Inc. Multi-chip stacked devices
WO2021086864A1 (en) * 2019-11-01 2021-05-06 Xilinx, Inc. Multi-chip stacked devices
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US11842894B2 (en) 2019-12-23 2023-12-12 Adeia Semiconductor Bonding Technologies Inc. Electrical redundancy for bonded structures
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
US11735523B2 (en) 2020-05-19 2023-08-22 Adeia Semiconductor Bonding Technologies Inc. Laterally unconfined structure
US11538781B2 (en) 2020-06-30 2022-12-27 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages including bonded structures
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11929347B2 (en) 2020-10-20 2024-03-12 Adeia Semiconductor Technologies Llc Mixed exposure for large die
US11955393B2 (en) 2021-05-07 2024-04-09 Adeia Semiconductor Bonding Technologies Inc. Structures for bonding elements including conductive interface features
US11955463B2 (en) 2022-02-25 2024-04-09 Adeia Semiconductor Bonding Technologies Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US11955445B2 (en) 2022-06-09 2024-04-09 Adeia Semiconductor Bonding Technologies Inc. Metal pads over TSV

Also Published As

Publication number Publication date
US7157787B2 (en) 2007-01-02
US20030157748A1 (en) 2003-08-21
US20040219763A1 (en) 2004-11-04
US6762076B2 (en) 2004-07-13

Similar Documents

Publication Publication Date Title
US6762076B2 (en) Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US7148565B2 (en) Etch stop layer for silicon (Si) via etch in three-dimensional (3-D) wafer-to-wafer vertical stack
US6887769B2 (en) Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
US8609506B2 (en) On-chip heat spreader
US20210125900A1 (en) Through-Substrate Vias with Improved Connections
US7056807B2 (en) Barrier structure against corrosion and contamination in three-dimensional (3-D) wafer-to-wafer vertical stack
US20230335468A1 (en) Manufacturing method of semiconductor structure
US11587910B2 (en) Stacked semiconductor structure and method
US9978708B2 (en) Wafer backside interconnect structure connected to TSVs
US7410884B2 (en) 3D integrated circuits using thick metal for backside connections and offset bumps
US8174124B2 (en) Dummy pattern in wafer backside routing
US20070087528A1 (en) Method and structure for vertically-stacked device contact
TWI399827B (en) Method of forming stacked dies
EP1926145A2 (en) Self-aligned through vias for chip stacking
CN111211102A (en) Semiconductor device and semiconductor package
US20200168497A1 (en) Microelectronic devices including redistribution layers
US20240055378A1 (en) Semiconductor chip and semiconductor package including the same
US20230113465A1 (en) Semiconductor package and method of manufacturing the same
US20210233853A1 (en) Through-Silicon Via for High-Speed Interconnects
KR20230035173A (en) Semiconductor device, semiconductor package and method of manufacturing the same

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION