US20070107660A9 - Heated gas box for PECVD applications - Google Patents

Heated gas box for PECVD applications Download PDF

Info

Publication number
US20070107660A9
US20070107660A9 US10/910,269 US91026904A US2007107660A9 US 20070107660 A9 US20070107660 A9 US 20070107660A9 US 91026904 A US91026904 A US 91026904A US 2007107660 A9 US2007107660 A9 US 2007107660A9
Authority
US
United States
Prior art keywords
chamber
gas
insert
gas box
lid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US10/910,269
Other versions
US7628863B2 (en
US20060027165A1 (en
Inventor
Soovo Sen
Inna Shmurun
Thomas Nowak
Nancy Fung
Brian Hopper
Andrzej Kaszuba
Eller Juco
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US10/910,269 priority Critical patent/US7628863B2/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: FUNG, NANCY, KASZUBA, ANDREZEJ, NOWAK, THOMAS, SEN, SDOVO, HOPPER, BRIAN, JUCO, ELLER, SHIMURUN, INNA
Priority to EP05779323A priority patent/EP1797216A2/en
Priority to PCT/US2005/027612 priority patent/WO2006017596A2/en
Priority to SG200905172-3A priority patent/SG155179A1/en
Priority to JP2007524954A priority patent/JP4889640B2/en
Priority to TW094126404A priority patent/TWI318422B/en
Priority to KR1020077003453A priority patent/KR100870792B1/en
Priority to CNA2005800262516A priority patent/CN1993495A/en
Publication of US20060027165A1 publication Critical patent/US20060027165A1/en
Publication of US20070107660A9 publication Critical patent/US20070107660A9/en
Publication of US7628863B2 publication Critical patent/US7628863B2/en
Application granted granted Critical
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C22METALLURGY; FERROUS OR NON-FERROUS ALLOYS; TREATMENT OF ALLOYS OR NON-FERROUS METALS
    • C22CALLOYS
    • C22C21/00Alloys based on aluminium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Definitions

  • Embodiments of the present invention generally relate to a method and apparatus to provide chemical vapor deposition (CVD) of a film in a microprocessor processing chamber and to provide a mechanism for improved cleaning of the chamber.
  • CVD chemical vapor deposition
  • Chemical vapor deposition (CVD) chambers may be used to deposit materials such as oxides onto substrates used in the fabrication of integrated circuits and semiconductor devices.
  • a gas distribution plate is commonly used to uniformly distribute gases into a chamber. Such a uniform gas distribution is necessary to achieve uniform deposition of the material on the surface of a substrate located within the chamber.
  • the gas distribution plate generally receives deposition gases from a mixing region, also known as a gas box, above the gas distribution plate.
  • a gas inlet passage into the gas box is typically water-cooled to a temperature of approximately under 100° C.
  • a heater is generally disposed in a substrate support member beneath the gas distribution plate. The heater is typically heated to a temperature of approximately between 100 and 600° C.
  • the temperature of the gas distribution plate is somewhere between the temperature of the gas inlet passage and the temperature of the heater.
  • the temperature of the gas distribution plate is generally closer to the temperature of the gas inlet passage than the temperature of the heater.
  • FIG. 1 is a schematic view of a chamber that has two processing regions, 618 , 620 connected to two remote plasma sources 800 .
  • One remote plasma source 800 is connected to processing region 618
  • the other remote plasma source 800 is connected to processing region 620 .
  • a heater pedestal 628 is movably disposed in each processing region 618 , 620 by a stem 626 which extends through the bottom of the chamber body 612 where it is connected to a drive system 603 .
  • Each of the processing regions 618 , 620 includes a gas distribution assembly comprising a gas box 642 disposed through the chamber lid 604 to deliver gases into the processing regions 618 , 620 through blocker plates 602 .
  • the gas distribution assembly 608 of each processing region also includes a gas inlet passage 640 which delivers gas into a gas box 642 .
  • a cooling channel 652 is formed in a base plate 648 of each gas distribution assembly 608 to cool the plate during operation.
  • An inlet 655 delivers a coolant fluid, such as water, into the cooling channels 652 which are connected to each other by coolant line 657 .
  • the cooling fluid exits the channel through a coolant outlet 659 .
  • the cooling fluid is circulated through the manifold.
  • the deposition rate is inversely proportional to temperature.
  • a film is often deposited on the gas distribution plate during processing, which leads to a longer chamber cleaning period and an increase in clean gas consumption.
  • Another result of the low temperature of the gas distribution plate is uneven distribution of chemicals across the surface of the substrate which can lead to non-uniform film properties across the wafer.
  • the deposition process also typically results in deposition of some materials on the walls and components of the deposition chamber. As the materials are distributed through the gas distribution plate during processing, deposits are often formed on the gas distribution plate which may clog the holes of the plate or flake off in particles that rain down on the substrate. This reduces the uniformity of deposition on the substrate and contaminates the substrate. Consequently, it is necessary to clean the interior of the deposition chamber on a regular basis.
  • a remote plasma cleaning procedure may be employed.
  • a high density plasma source such as a microwave plasma system, toroidal plasma generator, or similar device may be employed to generate a remote plasma.
  • Dissociated species from the remote plasma are then transported to the deposition chamber where the species react with and etch away the undesired deposits. It is also common to remove the deposits on the interior of chamber walls with an in situ chamber clean operation.
  • Common chamber cleaning techniques include the use of an etchant gas such as fluorine or oxygen to remove the deposited material from the chamber walls and other areas.
  • the etchant gas is introduced into the chamber and plasma is formed so that the etchant gas reacts with and removes the deposited material from the chamber walls.
  • heat may be supplied to the chamber by heating elements or heat exchange fluid embedded in the substrate support to facilitate cleaning or other chamber processes.
  • the present invention generally provides a chamber for chemical vapor deposition on a substrate in a processing region, comprising a heated gas box having a gas inlet passage and a face plate positioned to conduct gas from the heated gas box to a substrate processing region.
  • the invention also provides a method for providing heat to a chemical vapor deposition chamber, comprising supplying heat to a substrate support and to a gas box having a gas inlet passage. Heating the gas box instead of the face plate reduces deposition within the gas box, reducing the chamber clean time.
  • This invention reduces the clean time for CVD processes wherein the deposition rate is inversely proportional to temperature such as processes for the deposition of carbon doped silicon oxide, oxygen doped silicon carbide, silicon oxide, doped amorphous carbon, and silicon nitride.
  • FIG. 1 (prior art) is a schematic view of a deposition chamber having a gas box that features cooling channels.
  • FIG. 2 is a schematic view of an embodiment of a gas distribution assembly with a heated insert.
  • FIG. 3 is a partial sectional view of another embodiment of a gas distribution assembly with an embedded heating element.
  • FIG. 4 is a partial sectional view of another embodiment of a gas distribution assembly with a heating element placed on top of the gas inlet passage.
  • FIG. 5 is a partial sectional view of another embodiment of a gas distribution assembly with a heating element placed along the side of the gas inlet passage.
  • the present invention provides a method and an apparatus for chemical vapor deposition (CVD) of a film in a substrate processing chamber and improved cleaning of the chamber.
  • the deposition chambers that may benefit from the apparatus and methods described herein include chambers that may be used to deposit oxides, such as carbon-doped silicon oxides, silicon containing films, and other dielectric materials including advanced patterned films (APF).
  • An example of a deposition chamber is the Producer® Chamber, available from Applied Materials, Inc. of Santa Clara, Calif.
  • the Producer® Chamber is a CVD chamber with two isolated processing regions that may be used to deposit carbon-doped silicon oxides and other materials.
  • a chamber having two isolated processing regions is described in U. S. Pat. No. 5,855,681, which is incorporated by reference.
  • the Producer® Chamber has a port to which remote plasma sources may be attached.
  • a Producer® Chamber with a remote plasma source model number 5707024-F, available from Advanced Energy Industries, Inc. of Fort Collins, Colo., may be used in embodiments of the methods described herein.
  • a remote plasma source may be attached to a Producer® Chamber such that one remote plasma source is connected to both isolated processing regions of the Producer® Chamber.
  • the processes described below may also be performed by using two remote plasma sources connected, for example, by a tee line, to each processing region of the Producer® Chamber and by adjusting the flow rates accordingly.
  • the gas flow rates described below refer to flow rates experienced by each of the isolated processing regions.
  • the gas flow rates experienced by the Producer® Chamber as a whole, that is, the combination of flow rates of both of the isolated processing regions, are approximately twice the gas flow rates experienced by each of the isolated processing regions. While some examples of embodiments are described as cleaning a single processing region of a Producer Chamber that has two processing regions, the methods described herein may be used to clean a processing region of a chamber that has one or more processing regions.
  • FIG. 2 is a sectional view of an embodiment of the present invention.
  • This chamber 200 has a gas inlet passage 640 and a gas box 642 that is heated by a heating element embedded in an annular insert 201 along the upper portion of the gas box 642 and along the upper surface of the faceplate 203 .
  • the heating element insert 201 is stabilized in place with a clamping plate 202 .
  • Insulation 205 may insulate the upper surface of the clamping plate.
  • the heating element insert 201 may be a silicone rubber heater such as a Watlow heater with part number 168168500 available from the Watlow Corporation of St. Louis, Mo.
  • the insert 201 may also have wire wound around its exterior surface.
  • Thermocouples may be inserted along the surface and embedded into the center of the insert 201 .
  • the clamping plate 202 may act as an insulator.
  • the clamping plate 202 may be made of an alloy or mixture of aluminum and stainless steel. Alternatively, insulation may be supplied along the upper surface of the insert 201 or the upper surface of the clamping plate 202 .
  • FIG. 3 is a sectional view of another alternative embodiment of the chamber of FIG. 2 .
  • the chamber 300 has walls 302 of faceplate 203 that engage the perimeter of the gas inlet passage 640 , and contain channels 301 for embedded, cast in, or inserted heating elements (not shown).
  • the gas distribution assembly 608 is constructed from materials that conduct heat to the face plate 203 such as aluminum.
  • FIG. 4 is a sectional view of another alternative embodiment of the chamber of FIG. 2 .
  • This chamber 400 has a gas inlet passage 640 with an insert 401 along the top of the gas inlet passage 640 .
  • the insert 401 is a ring shaped heater that is solid metal with an embedded heating element.
  • the insert 401 is clamped to the top of the blocker plate 402 .
  • the insert 401 may be made out of an aluminum alloy.
  • the blocker plate 402 may also be made out of a conductive material such as aluminum to facilitate heat transfer to the face plate 203 .
  • the face plate 203 may also be made out of aluminum.
  • FIG. 5 is a sectional view of another alternative embodiment of the chamber of FIG. 2 .
  • This chamber 500 has a gas inlet passage 640 with an insert 501 on the top edge of the support 502 for the face plate 203 and along the circumference of the bottom edge of the blocker plate 602 .
  • the diameter of gas inlet passage 640 may be reduced to accommodate the insert 501 .
  • the insert 501 may be made out of an aluminum alloy.
  • the insert 501 may have an embedded heating element or the heating element may be located in grooves in the middle of the insert 501 .
  • the process and carrier gases may be preheated prior to entering the gas inlet passage 640 .
  • the gas As the gas enters the gas box, it is further heated by the various heating elements shown in FIGS. 2-5 .
  • the gas then flows through the face plate and enters the processing region of the chamber.
  • the face plate is heated directly by the gas as it leaves the gas inlet passage and indirectly by the heat supplied to the gas box.
  • Heating the gas box indirectly heats the face plate which may reduce recombination of the etchant species, hence improving the etch rate. It also reduces deposition within the gas box and hence reduces the clean time. This reduction in the clean time for CVD processes when the deposition rate is inversely proportional to temperature can be desirable for films such as carbon doped silicon oxide, oxygen doped silicon carbide, silicon oxide, amorphous carbon, and silicon nitride.
  • the temperature of the face plate edge increases from about 100 to about 175° C.
  • the slope of the line is curved.
  • the face plate edge temperature increases from about 100 to about 110° C.
  • the face plate edge temperature increases from about 110 to about 175° C.
  • trimethylsilane in oxygen with helium was introduced to the chamber.
  • the gas box and substrate support were heated to 120, 150, 175, and 200° C.
  • the film thickness and film deposition rate increased across the surface of the substrate and undesirable deposit formation along the other chamber surfaces such as the face plate decreased.
  • octamethylcyclotetrasiloxane and oxygen were introduced into the chamber.
  • the gas inlet passage and substrate support were heated to 120, 150, 175, and 200° C.
  • the film thickness increased from about 7800 to about 9600 ⁇ during 60 second testing.
  • the film deposition rate increased from about 7800 to about 9600 ⁇ /min during 60 second testing.
  • a triethyloxysilane film on undoped silicon glass was etched at different temperatures.
  • a plot of etch rate as a function of the substrate support and gas inlet passage temperature set point revealed that as the temperature of the substrate support and the gas inlet passage were increased, the etch rate of the film also increased.
  • the etch rate of a system with a gas inlet passage at 200° C. was more than twice the etch rate of a conventional system.
  • the etch rate across the surface of the substrate was measured at the center, the edge, and half way between the edge and the center of the substrate.
  • a trimethylsilane film was deposited on coupons. The etch was performed with the gas inlet passage at 200° C. and the substrate support at 350° C. for 15 seconds.
  • NF 3 was fed to the system at 2500 sccm with helium at 9000 sccm.
  • the pressure was 6 Torr.
  • the film was deposited in a 200 mm layer.
  • One measurement was taken for the center and four measurements were taken for the middle and edge of the substrate.
  • the average etch rate along the edge was about 115 k ⁇ /min.
  • the average etch rate along the middle of the substrate was about 134 k ⁇ /min.
  • the center etch rate was about 120 k ⁇ /min.
  • the chamber mount optical endpoint trace was measured when the gas inlet passage was set to 200° C. with 1500 sccm NF 3 and 1000 sccm He flow at 6 Torr.
  • the plasma was set to 350 W.
  • the film thickness was 450 mm.
  • the voltage was measured as a function of time.
  • the endpoint for 1 mm of carbon doped silicon oxide was approximately 70 seconds.

Abstract

A method and apparatus for a chamber for chemical vapor deposition on a substrate in a processing region comprising a gas box having a heated lid comprising a gas inlet passage, and a face plate connected to the heated lid positioned to conduct gas from the heated gas box to a substrate processing region. Also, a method for providing heat to a chemical vapor deposition chamber comprising supplying heat to a lid of a gas box, and heating a face plate connected to the gas box by heat transfer from the lid.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • Embodiments of the present invention generally relate to a method and apparatus to provide chemical vapor deposition (CVD) of a film in a microprocessor processing chamber and to provide a mechanism for improved cleaning of the chamber.
  • 2. Description of the Related Aart
  • Chemical vapor deposition (CVD) chambers may be used to deposit materials such as oxides onto substrates used in the fabrication of integrated circuits and semiconductor devices. In a CVD chamber, a gas distribution plate is commonly used to uniformly distribute gases into a chamber. Such a uniform gas distribution is necessary to achieve uniform deposition of the material on the surface of a substrate located within the chamber. The gas distribution plate generally receives deposition gases from a mixing region, also known as a gas box, above the gas distribution plate. A gas inlet passage into the gas box is typically water-cooled to a temperature of approximately under 100° C. A heater is generally disposed in a substrate support member beneath the gas distribution plate. The heater is typically heated to a temperature of approximately between 100 and 600° C. Consequently, the temperature of the gas distribution plate is somewhere between the temperature of the gas inlet passage and the temperature of the heater. However, because the gas distribution plate is connected to the gas inlet passage, the temperature of the gas distribution plate is generally closer to the temperature of the gas inlet passage than the temperature of the heater.
  • FIG. 1 is a schematic view of a chamber that has two processing regions, 618, 620 connected to two remote plasma sources 800. One remote plasma source 800 is connected to processing region 618, and the other remote plasma source 800 is connected to processing region 620. A heater pedestal 628 is movably disposed in each processing region 618, 620 by a stem 626 which extends through the bottom of the chamber body 612 where it is connected to a drive system 603. Each of the processing regions 618, 620 includes a gas distribution assembly comprising a gas box 642 disposed through the chamber lid 604 to deliver gases into the processing regions 618, 620 through blocker plates 602. The gas distribution assembly 608 of each processing region also includes a gas inlet passage 640 which delivers gas into a gas box 642. A cooling channel 652 is formed in a base plate 648 of each gas distribution assembly 608 to cool the plate during operation. An inlet 655 delivers a coolant fluid, such as water, into the cooling channels 652 which are connected to each other by coolant line 657. The cooling fluid exits the channel through a coolant outlet 659. Alternatively, the cooling fluid is circulated through the manifold.
  • For CVD films such as carbon doped silicon oxide, oxygen doped silicon carbide, silicon oxide, amorphous carbon, and silicon nitride, the deposition rate is inversely proportional to temperature. As a result of the low temperature of the gas distribution plate in comparison to the temperature of the substrate heater, a film is often deposited on the gas distribution plate during processing, which leads to a longer chamber cleaning period and an increase in clean gas consumption. Another result of the low temperature of the gas distribution plate is uneven distribution of chemicals across the surface of the substrate which can lead to non-uniform film properties across the wafer.
  • The deposition process also typically results in deposition of some materials on the walls and components of the deposition chamber. As the materials are distributed through the gas distribution plate during processing, deposits are often formed on the gas distribution plate which may clog the holes of the plate or flake off in particles that rain down on the substrate. This reduces the uniformity of deposition on the substrate and contaminates the substrate. Consequently, it is necessary to clean the interior of the deposition chamber on a regular basis.
  • Several methods of cleaning the deposition chamber components including the gas distribution plate have been developed. For example, a remote plasma cleaning procedure may be employed. A high density plasma source such as a microwave plasma system, toroidal plasma generator, or similar device may be employed to generate a remote plasma. Dissociated species from the remote plasma are then transported to the deposition chamber where the species react with and etch away the undesired deposits. It is also common to remove the deposits on the interior of chamber walls with an in situ chamber clean operation. Common chamber cleaning techniques include the use of an etchant gas such as fluorine or oxygen to remove the deposited material from the chamber walls and other areas. The etchant gas is introduced into the chamber and plasma is formed so that the etchant gas reacts with and removes the deposited material from the chamber walls. Also, heat may be supplied to the chamber by heating elements or heat exchange fluid embedded in the substrate support to facilitate cleaning or other chamber processes.
  • Conventional chamber cleaning methods, however, still require a considerable amount of time. The longer it takes to clean the chamber, the lower the number of substrates that can be processed in a given time and the more gas that is consumed to clean the chamber.
  • Therefore, a need exists for an improved method for heating and distributing gases into the processing region of a deposition chamber and for cleaning a deposition chamber.
  • SUMMARY OF THE INVENTION
  • The present invention generally provides a chamber for chemical vapor deposition on a substrate in a processing region, comprising a heated gas box having a gas inlet passage and a face plate positioned to conduct gas from the heated gas box to a substrate processing region. The invention also provides a method for providing heat to a chemical vapor deposition chamber, comprising supplying heat to a substrate support and to a gas box having a gas inlet passage. Heating the gas box instead of the face plate reduces deposition within the gas box, reducing the chamber clean time. This invention reduces the clean time for CVD processes wherein the deposition rate is inversely proportional to temperature such as processes for the deposition of carbon doped silicon oxide, oxygen doped silicon carbide, silicon oxide, doped amorphous carbon, and silicon nitride.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1 (prior art) is a schematic view of a deposition chamber having a gas box that features cooling channels.
  • FIG. 2 is a schematic view of an embodiment of a gas distribution assembly with a heated insert.
  • FIG. 3 is a partial sectional view of another embodiment of a gas distribution assembly with an embedded heating element.
  • FIG. 4 is a partial sectional view of another embodiment of a gas distribution assembly with a heating element placed on top of the gas inlet passage.
  • FIG. 5 is a partial sectional view of another embodiment of a gas distribution assembly with a heating element placed along the side of the gas inlet passage.
  • DETAILED DESCRIPTION
  • The present invention provides a method and an apparatus for chemical vapor deposition (CVD) of a film in a substrate processing chamber and improved cleaning of the chamber. The deposition chambers that may benefit from the apparatus and methods described herein include chambers that may be used to deposit oxides, such as carbon-doped silicon oxides, silicon containing films, and other dielectric materials including advanced patterned films (APF). An example of a deposition chamber is the Producer® Chamber, available from Applied Materials, Inc. of Santa Clara, Calif. The Producer® Chamber is a CVD chamber with two isolated processing regions that may be used to deposit carbon-doped silicon oxides and other materials. A chamber having two isolated processing regions is described in U. S. Pat. No. 5,855,681, which is incorporated by reference. The Producer® Chamber has a port to which remote plasma sources may be attached. A Producer® Chamber with a remote plasma source, model number 5707024-F, available from Advanced Energy Industries, Inc. of Fort Collins, Colo., may be used in embodiments of the methods described herein.
  • In the embodiments described herein, a remote plasma source may be attached to a Producer® Chamber such that one remote plasma source is connected to both isolated processing regions of the Producer® Chamber. However, the processes described below may also be performed by using two remote plasma sources connected, for example, by a tee line, to each processing region of the Producer® Chamber and by adjusting the flow rates accordingly. The gas flow rates described below refer to flow rates experienced by each of the isolated processing regions. The gas flow rates experienced by the Producer® Chamber as a whole, that is, the combination of flow rates of both of the isolated processing regions, are approximately twice the gas flow rates experienced by each of the isolated processing regions. While some examples of embodiments are described as cleaning a single processing region of a Producer Chamber that has two processing regions, the methods described herein may be used to clean a processing region of a chamber that has one or more processing regions.
  • FIG. 2 is a sectional view of an embodiment of the present invention. This chamber 200 has a gas inlet passage 640 and a gas box 642 that is heated by a heating element embedded in an annular insert 201 along the upper portion of the gas box 642 and along the upper surface of the faceplate 203. The heating element insert 201 is stabilized in place with a clamping plate 202. Insulation 205 may insulate the upper surface of the clamping plate. The heating element insert 201 may be a silicone rubber heater such as a Watlow heater with part number 168168500 available from the Watlow Corporation of St. Louis, Mo. The insert 201 may also have wire wound around its exterior surface. Thermocouples may be inserted along the surface and embedded into the center of the insert 201. The clamping plate 202 may act as an insulator. The clamping plate 202 may be made of an alloy or mixture of aluminum and stainless steel. Alternatively, insulation may be supplied along the upper surface of the insert 201 or the upper surface of the clamping plate 202.
  • FIG. 3 is a sectional view of another alternative embodiment of the chamber of FIG. 2. The chamber 300 has walls 302 of faceplate 203 that engage the perimeter of the gas inlet passage 640, and contain channels 301 for embedded, cast in, or inserted heating elements (not shown). The gas distribution assembly 608 is constructed from materials that conduct heat to the face plate 203 such as aluminum.
  • FIG. 4 is a sectional view of another alternative embodiment of the chamber of FIG. 2. This chamber 400 has a gas inlet passage 640 with an insert 401 along the top of the gas inlet passage 640. The insert 401 is a ring shaped heater that is solid metal with an embedded heating element. The insert 401 is clamped to the top of the blocker plate 402. The insert 401 may be made out of an aluminum alloy. The blocker plate 402 may also be made out of a conductive material such as aluminum to facilitate heat transfer to the face plate 203. The face plate 203 may also be made out of aluminum.
  • FIG. 5 is a sectional view of another alternative embodiment of the chamber of FIG. 2. This chamber 500 has a gas inlet passage 640 with an insert 501 on the top edge of the support 502 for the face plate 203 and along the circumference of the bottom edge of the blocker plate 602. The diameter of gas inlet passage 640 may be reduced to accommodate the insert 501. The insert 501 may be made out of an aluminum alloy. The insert 501 may have an embedded heating element or the heating element may be located in grooves in the middle of the insert 501.
  • In operation, the process and carrier gases may be preheated prior to entering the gas inlet passage 640. Also, as the gas enters the gas box, it is further heated by the various heating elements shown in FIGS. 2-5. The gas then flows through the face plate and enters the processing region of the chamber. The face plate is heated directly by the gas as it leaves the gas inlet passage and indirectly by the heat supplied to the gas box.
  • Heating the gas box indirectly heats the face plate which may reduce recombination of the etchant species, hence improving the etch rate. It also reduces deposition within the gas box and hence reduces the clean time. This reduction in the clean time for CVD processes when the deposition rate is inversely proportional to temperature can be desirable for films such as carbon doped silicon oxide, oxygen doped silicon carbide, silicon oxide, amorphous carbon, and silicon nitride.
  • As the set point temperature of the gas inlet passage is increased from 75 to 200° C., the temperature of the face plate edge increases from about 100 to about 175° C. When the temperature of the face plate edge is plotted as a function of the set point temperature, the slope of the line is curved. As the set point temperature of the gas inlet increases from 75 to 125° C., the face plate edge temperature increases from about 100 to about 110° C. As the gas inlet set point increases from 125 to 200° C., the face plate edge temperature increases from about 110 to about 175° C.
  • When 9000 sccm of preheated helium at 6 Torr was introduced to the heated gas inlet passage and processing region of a chamber with 200 mm between the substrate and face plate, the temperature of the edge and the center of the face plate was plotted as a function of the set point temperature of the gas inlet passage. The curve of the line for both the temperature at the center of the face plate and the edge of the face plate were similar. The temperature of the center of the face plate was about 80° C. warmer than the edge of the face plate.
  • To illustrate how the heated gas box influences carbon doped silicon oxide film deposition, trimethylsilane in oxygen with helium was introduced to the chamber. The gas box and substrate support were heated to 120, 150, 175, and 200° C. As the temperature increased, the film thickness and film deposition rate increased across the surface of the substrate and undesirable deposit formation along the other chamber surfaces such as the face plate decreased.
  • To illustrate how the heated gas box influences an alternative carbon doped silicon oxide film deposition, octamethylcyclotetrasiloxane and oxygen were introduced into the chamber. The gas inlet passage and substrate support were heated to 120, 150, 175, and 200° C. As the temperature increased, the film thickness increased from about 7800 to about 9600 Å during 60 second testing. The film deposition rate increased from about 7800 to about 9600 Å/min during 60 second testing.
  • A triethyloxysilane film on undoped silicon glass was etched at different temperatures. A plot of etch rate as a function of the substrate support and gas inlet passage temperature set point revealed that as the temperature of the substrate support and the gas inlet passage were increased, the etch rate of the film also increased. The etch rate of a system with a gas inlet passage at 200° C. was more than twice the etch rate of a conventional system.
  • The etch rate across the surface of the substrate was measured at the center, the edge, and half way between the edge and the center of the substrate. A trimethylsilane film was deposited on coupons. The etch was performed with the gas inlet passage at 200° C. and the substrate support at 350° C. for 15 seconds. NF3 was fed to the system at 2500 sccm with helium at 9000 sccm. The pressure was 6 Torr. The film was deposited in a 200 mm layer. One measurement was taken for the center and four measurements were taken for the middle and edge of the substrate. The average etch rate along the edge was about 115 kÅ/min. The average etch rate along the middle of the substrate was about 134 kÅ/min. The center etch rate was about 120 kÅ/min.
  • The chamber mount optical endpoint trace was measured when the gas inlet passage was set to 200° C. with 1500 sccm NF3 and 1000 sccm He flow at 6 Torr. The plasma was set to 350 W. The film thickness was 450 mm. The voltage was measured as a function of time. The endpoint for 1 mm of carbon doped silicon oxide was approximately 70 seconds.
  • Repeatability tests with shorter clean times than conventional system clean times yielded substrates that did not have particle spikes. Also, the chamber was clean when inspected after the repeatability tests.
  • While the foregoing is directed to embodiments of the present invention, other and further emobdiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (9)

1. A chamber for chemical vapor deposition on a substrate in a processing region, comprising:
a gas box having a heated lid comprising a gas inlet passage; and
a face plate connected to the heated lid and positioned to conduct gas from the heated gas box to a substrate processing region.
2. The chamber of claim 1, wherein a heating element is embedded in an insert that contacts the heated lid and the face plate.
3. The chamber of claim 1, wherein a heating element is embedded in an insert located along an upper surface of the heated lid.
4. The chamber of claim 2, wherein the insert is a silicon rubber insert.
5. The chamber of claim 4, wherein the silicon rubber insert is wire wound.
6. A method for providing heat to a chemical vapor deposition chamber, comprising:
supplying heat to a lid of a gas box; and
heating a face plate connected to the gas box by heat transfer from the lid.
7. The method of claim 6, wherein a heating element is embedded in an insert positioned between the lid and the faceplate.
8. The method of claim 7, wherein the heating element is embedded in a silicon rubber insert.
9. The method of claim 8, wherein the silicon rubber insert is wire wound.
US10/910,269 2004-08-03 2004-08-03 Heated gas box for PECVD applications Active 2025-07-20 US7628863B2 (en)

Priority Applications (8)

Application Number Priority Date Filing Date Title
US10/910,269 US7628863B2 (en) 2004-08-03 2004-08-03 Heated gas box for PECVD applications
KR1020077003453A KR100870792B1 (en) 2004-08-03 2005-08-03 Heated gas box for pecvd applications
PCT/US2005/027612 WO2006017596A2 (en) 2004-08-03 2005-08-03 Heated gas box for pecvd applications
SG200905172-3A SG155179A1 (en) 2004-08-03 2005-08-03 Heated gas box for pecvd applications
JP2007524954A JP4889640B2 (en) 2004-08-03 2005-08-03 Chamber for performing chemical vapor deposition on a substrate in a processing region
TW094126404A TWI318422B (en) 2004-08-03 2005-08-03 Heated gas box for pecvd applications
EP05779323A EP1797216A2 (en) 2004-08-03 2005-08-03 Heated gas box for pecvd applications
CNA2005800262516A CN1993495A (en) 2004-08-03 2005-08-03 Heated gas box for pecvd applications

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/910,269 US7628863B2 (en) 2004-08-03 2004-08-03 Heated gas box for PECVD applications

Publications (3)

Publication Number Publication Date
US20060027165A1 US20060027165A1 (en) 2006-02-09
US20070107660A9 true US20070107660A9 (en) 2007-05-17
US7628863B2 US7628863B2 (en) 2009-12-08

Family

ID=35448162

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/910,269 Active 2025-07-20 US7628863B2 (en) 2004-08-03 2004-08-03 Heated gas box for PECVD applications

Country Status (8)

Country Link
US (1) US7628863B2 (en)
EP (1) EP1797216A2 (en)
JP (1) JP4889640B2 (en)
KR (1) KR100870792B1 (en)
CN (1) CN1993495A (en)
SG (1) SG155179A1 (en)
TW (1) TWI318422B (en)
WO (1) WO2006017596A2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080178797A1 (en) * 2007-01-30 2008-07-31 Fodor Mark A Processing chamber with heated chamber liner
US20150000695A1 (en) * 2013-06-28 2015-01-01 Hitachi Kokusai Electric Inc. Cleaning method, method of manufacturing semiconductor device, substrate processing apparatus, and recording medium

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
US7628863B2 (en) * 2004-08-03 2009-12-08 Applied Materials, Inc. Heated gas box for PECVD applications
US8216374B2 (en) * 2005-12-22 2012-07-10 Applied Materials, Inc. Gas coupler for substrate processing chamber
US9157151B2 (en) * 2006-06-05 2015-10-13 Applied Materials, Inc. Elimination of first wafer effect for PECVD films
US20080050932A1 (en) * 2006-08-23 2008-02-28 Applied Materials, Inc. Overall defect reduction for PECVD films
JP5347294B2 (en) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 Film forming apparatus, film forming method, and storage medium
FR2930561B1 (en) * 2008-04-28 2011-01-14 Altatech Semiconductor DEVICE AND METHOD FOR CHEMICAL TREATMENT IN STEAM PHASE.
US7699935B2 (en) * 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
US8502689B2 (en) 2010-09-23 2013-08-06 Applied Materials, Inc. System and method for voltage-based plasma excursion detection
US8587321B2 (en) 2010-09-24 2013-11-19 Applied Materials, Inc. System and method for current-based plasma excursion detection
JP2015536043A (en) * 2012-09-26 2015-12-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Temperature control in substrate processing systems
US20160359080A1 (en) 2015-06-07 2016-12-08 Solarcity Corporation System, method and apparatus for chemical vapor deposition
US10741428B2 (en) 2016-04-11 2020-08-11 Applied Materials, Inc. Semiconductor processing chamber
US10428426B2 (en) * 2016-04-22 2019-10-01 Applied Materials, Inc. Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
KR20200072640A (en) 2018-12-12 2020-06-23 삼성디스플레이 주식회사 Deposition apparatus
US11242600B2 (en) * 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application
CN113130354A (en) * 2021-04-09 2021-07-16 长鑫存储技术有限公司 Semiconductor production device

Citations (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4686354A (en) * 1985-04-04 1987-08-11 The Boc Group Plc Inhalation apparatus
US5300322A (en) * 1992-03-10 1994-04-05 Martin Marietta Energy Systems, Inc. Molybdenum enhanced low-temperature deposition of crystalline silicon nitride
US5376213A (en) * 1992-07-28 1994-12-27 Tokyo Electron Limited Plasma processing apparatus
US5595606A (en) * 1995-04-20 1997-01-21 Tokyo Electron Limited Shower head and film forming apparatus using the same
US5772773A (en) * 1996-05-20 1998-06-30 Applied Materials, Inc. Co-axial motorized wafer lift
US5950925A (en) * 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6090442A (en) * 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US6103014A (en) * 1993-04-05 2000-08-15 Applied Materials, Inc. Chemical vapor deposition chamber
US6153261A (en) * 1999-05-28 2000-11-28 Applied Materials, Inc. Dielectric film deposition employing a bistertiarybutylaminesilane precursor
US6271054B1 (en) * 2000-06-02 2001-08-07 International Business Machines Corporation Method for reducing dark current effects in a charge couple device
US6326658B1 (en) * 1998-09-25 2001-12-04 Kabushiki Kaisha Toshiba Semiconductor device including an interface layer containing chlorine
US6333547B1 (en) * 1999-01-08 2001-12-25 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6453992B1 (en) * 1999-05-10 2002-09-24 Hyundai Electronics Industries Co., Ltd. Temperature controllable gas distributor
US20020164890A1 (en) * 2001-05-01 2002-11-07 Kwan Kim Yeong Method of forming silicon containing thin films by atomic layer deposition utilizing s12cl6 and nh3
US6500266B1 (en) * 2000-01-18 2002-12-31 Applied Materials, Inc. Heater temperature uniformity qualification tool
US6559074B1 (en) * 2001-12-12 2003-05-06 Applied Materials, Inc. Method of forming a silicon nitride layer on a substrate
US6566246B1 (en) * 2001-05-21 2003-05-20 Novellus Systems, Inc. Deposition of conformal copper seed layers by control of barrier layer morphology
US6582522B2 (en) * 2000-07-21 2003-06-24 Applied Materials, Inc. Emissivity-change-free pumping plate kit in a single wafer chamber
US6586343B1 (en) * 1999-07-09 2003-07-01 Applied Materials, Inc. Method and apparatus for directing constituents through a processing chamber
US6624088B2 (en) * 2000-02-22 2003-09-23 Micron Technology, Inc. Method of forming low dielectric silicon oxynitride spacer films highly selective to etchants
US20040052969A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
US20040050492A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Heated gas distribution plate for a processing chamber
US20040118519A1 (en) * 2002-12-20 2004-06-24 Applied Materials, Inc. Blocker plate bypass design to improve clean rate at the edge of the chamber
US6777352B2 (en) * 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US6794215B2 (en) * 1999-12-28 2004-09-21 Hyundai Electronics Industries Co., Ltd. Method for reducing dark current in image sensor
US20040203255A1 (en) * 2003-02-13 2004-10-14 Mitsubishi Materials Corporation Method of forming Si-containing thin film
US20050150452A1 (en) * 2004-01-14 2005-07-14 Soovo Sen Process kit design for deposition chamber
US20050196971A1 (en) * 2004-03-05 2005-09-08 Applied Materials, Inc. Hardware development to reduce bevel deposition
US6954585B2 (en) * 2002-12-03 2005-10-11 Tokyo Electron Limited Substrate processing method and apparatus
US20050255241A1 (en) * 2000-06-21 2005-11-17 Tokyo Electron Limited Gas supply device and treating device
US20060093756A1 (en) * 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4567148B2 (en) 2000-06-23 2010-10-20 東京エレクトロン株式会社 Thin film forming equipment
JP4815724B2 (en) * 2000-09-08 2011-11-16 東京エレクトロン株式会社 Shower head structure and film forming apparatus
JP2002129334A (en) * 2000-10-26 2002-05-09 Applied Materials Inc Method for cleaning vapor-phase deposition apparatus and vapor-phase deposition apparatus
JP4720019B2 (en) * 2001-05-18 2011-07-13 東京エレクトロン株式会社 Cooling mechanism and processing device
JP4121269B2 (en) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 Plasma CVD apparatus and method for performing self-cleaning
US7628863B2 (en) * 2004-08-03 2009-12-08 Applied Materials, Inc. Heated gas box for PECVD applications

Patent Citations (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4686354A (en) * 1985-04-04 1987-08-11 The Boc Group Plc Inhalation apparatus
US5300322A (en) * 1992-03-10 1994-04-05 Martin Marietta Energy Systems, Inc. Molybdenum enhanced low-temperature deposition of crystalline silicon nitride
US5376213A (en) * 1992-07-28 1994-12-27 Tokyo Electron Limited Plasma processing apparatus
US6103014A (en) * 1993-04-05 2000-08-15 Applied Materials, Inc. Chemical vapor deposition chamber
US5595606A (en) * 1995-04-20 1997-01-21 Tokyo Electron Limited Shower head and film forming apparatus using the same
US5772773A (en) * 1996-05-20 1998-06-30 Applied Materials, Inc. Co-axial motorized wafer lift
US5950925A (en) * 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
US6090442A (en) * 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6326658B1 (en) * 1998-09-25 2001-12-04 Kabushiki Kaisha Toshiba Semiconductor device including an interface layer containing chlorine
US6333547B1 (en) * 1999-01-08 2001-12-25 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6453992B1 (en) * 1999-05-10 2002-09-24 Hyundai Electronics Industries Co., Ltd. Temperature controllable gas distributor
US6153261A (en) * 1999-05-28 2000-11-28 Applied Materials, Inc. Dielectric film deposition employing a bistertiarybutylaminesilane precursor
US6277200B2 (en) * 1999-05-28 2001-08-21 Applied Materials, Inc. Dielectric film deposition employing a bistertiarybutylaminesilane precursor
US6586343B1 (en) * 1999-07-09 2003-07-01 Applied Materials, Inc. Method and apparatus for directing constituents through a processing chamber
US6794215B2 (en) * 1999-12-28 2004-09-21 Hyundai Electronics Industries Co., Ltd. Method for reducing dark current in image sensor
US6500266B1 (en) * 2000-01-18 2002-12-31 Applied Materials, Inc. Heater temperature uniformity qualification tool
US6624088B2 (en) * 2000-02-22 2003-09-23 Micron Technology, Inc. Method of forming low dielectric silicon oxynitride spacer films highly selective to etchants
US6271054B1 (en) * 2000-06-02 2001-08-07 International Business Machines Corporation Method for reducing dark current effects in a charge couple device
US20050255241A1 (en) * 2000-06-21 2005-11-17 Tokyo Electron Limited Gas supply device and treating device
US6582522B2 (en) * 2000-07-21 2003-06-24 Applied Materials, Inc. Emissivity-change-free pumping plate kit in a single wafer chamber
US6528430B2 (en) * 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US20020164890A1 (en) * 2001-05-01 2002-11-07 Kwan Kim Yeong Method of forming silicon containing thin films by atomic layer deposition utilizing s12cl6 and nh3
US6566246B1 (en) * 2001-05-21 2003-05-20 Novellus Systems, Inc. Deposition of conformal copper seed layers by control of barrier layer morphology
US6559074B1 (en) * 2001-12-12 2003-05-06 Applied Materials, Inc. Method of forming a silicon nitride layer on a substrate
US6777352B2 (en) * 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US20040050492A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Heated gas distribution plate for a processing chamber
US20040052969A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
US6954585B2 (en) * 2002-12-03 2005-10-11 Tokyo Electron Limited Substrate processing method and apparatus
US20040118519A1 (en) * 2002-12-20 2004-06-24 Applied Materials, Inc. Blocker plate bypass design to improve clean rate at the edge of the chamber
US20040203255A1 (en) * 2003-02-13 2004-10-14 Mitsubishi Materials Corporation Method of forming Si-containing thin film
US20050150452A1 (en) * 2004-01-14 2005-07-14 Soovo Sen Process kit design for deposition chamber
US20050196971A1 (en) * 2004-03-05 2005-09-08 Applied Materials, Inc. Hardware development to reduce bevel deposition
US20060093756A1 (en) * 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080178797A1 (en) * 2007-01-30 2008-07-31 Fodor Mark A Processing chamber with heated chamber liner
US8444926B2 (en) 2007-01-30 2013-05-21 Applied Materials, Inc. Processing chamber with heated chamber liner
US20150000695A1 (en) * 2013-06-28 2015-01-01 Hitachi Kokusai Electric Inc. Cleaning method, method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US9865451B2 (en) * 2013-06-28 2018-01-09 Hitachi Kokusai Electric, Inc. Cleaning method, method of manufacturing semiconductor device, substrate processing apparatus, and recording medium

Also Published As

Publication number Publication date
TW200610039A (en) 2006-03-16
KR20070037507A (en) 2007-04-04
EP1797216A2 (en) 2007-06-20
KR100870792B1 (en) 2008-11-27
CN1993495A (en) 2007-07-04
US7628863B2 (en) 2009-12-08
JP2008509560A (en) 2008-03-27
US20060027165A1 (en) 2006-02-09
WO2006017596A3 (en) 2006-10-19
SG155179A1 (en) 2009-09-30
JP4889640B2 (en) 2012-03-07
TWI318422B (en) 2009-12-11
WO2006017596A2 (en) 2006-02-16

Similar Documents

Publication Publication Date Title
JP4889640B2 (en) Chamber for performing chemical vapor deposition on a substrate in a processing region
JP7176860B6 (en) Semiconductor processing chamber to improve precursor flow
US7396771B2 (en) Plasma etching apparatus and plasma etching method
US6461980B1 (en) Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
US6071573A (en) Process for precoating plasma CVD reactors
TW544721B (en) Gas distribution apparatus for semiconductor processing
EP0015694B1 (en) Method for forming an insulating film on a semiconductor substrate surface
US6143078A (en) Gas distribution system for a CVD processing chamber
US7718004B2 (en) Gas-introducing system and plasma CVD apparatus
US6486081B1 (en) Gas distribution system for a CVD processing chamber
KR100915252B1 (en) Shower head structure and cleaning method thereof
US7588036B2 (en) Chamber clean method using remote and in situ plasma cleaning systems
US20060144334A1 (en) Method and apparatus for deposition of low dielectric constant materials
EP1118692A1 (en) Remote plasma apparatus
US20070266946A1 (en) Semiconductor device manufacturing apparatus and method of using the same
US20140272184A1 (en) Methods for maintaining clean etch rate and reducing particulate contamination with pecvd of amorphous silicon filims
KR20010085502A (en) A Thin-film Forming Apparatus having an Automatic Cleaning Function for Cleaning the Inside
JPH1174258A (en) Method and device for obtaining final point in plasma cleaning process
JPH1072672A (en) Non-plasma type chamber cleaning method
JP2002129331A (en) Film forming apparatus and treating apparatus
JPH0560256B2 (en)
JP3820212B2 (en) Method for conditioning a CVD chamber after CVD chamber cleaning
EP0871200A2 (en) Low temperature etch process utilizing power splitting between electrodes in an RF plasma reactor
US20040161536A1 (en) Method for depositing a low-k material having a controlled thickness range
JP2004186210A (en) Method for forming silicon compound film comprising nitrogen

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SEN, SDOVO;SHIMURUN, INNA;NOWAK, THOMAS;AND OTHERS;REEL/FRAME:015296/0390;SIGNING DATES FROM 20041008 TO 20041012

Owner name: APPLIED MATERIALS, INC.,CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SEN, SDOVO;SHIMURUN, INNA;NOWAK, THOMAS;AND OTHERS;SIGNING DATES FROM 20041008 TO 20041012;REEL/FRAME:015296/0390

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SEN, SDOVO;SHIMURUN, INNA;NOWAK, THOMAS;AND OTHERS;SIGNING DATES FROM 20041008 TO 20041012;REEL/FRAME:015296/0390

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12