US20070071888A1 - Method and apparatus for forming device features in an integrated electroless deposition system - Google Patents

Method and apparatus for forming device features in an integrated electroless deposition system Download PDF

Info

Publication number
US20070071888A1
US20070071888A1 US11/534,175 US53417506A US2007071888A1 US 20070071888 A1 US20070071888 A1 US 20070071888A1 US 53417506 A US53417506 A US 53417506A US 2007071888 A1 US2007071888 A1 US 2007071888A1
Authority
US
United States
Prior art keywords
substrate
layer
electroless
chamber
electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/534,175
Inventor
Arulkumar Shanmugasundram
Timothy Weidman
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/534,175 priority Critical patent/US20070071888A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SHANMUGASUNDRAM, ARULKUMAR, WEIDMAN, TIMOTHY W.
Publication of US20070071888A1 publication Critical patent/US20070071888A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F3/00Brightening metals by chemical means
    • C23F3/04Heavy metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1603Process or apparatus coating on selected surface areas
    • C23C18/1607Process or apparatus coating on selected surface areas by direct patterning
    • C23C18/1608Process or apparatus coating on selected surface areas by direct patterning from pretreatment step, i.e. selective pre-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1619Apparatus for electroless plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F3/00Brightening metals by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/02087Cleaning of wafer edges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/6723Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one plating chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/40Forming printed elements for providing electric connections to or between printed circuits
    • H05K3/42Plated through-holes or plated via connections
    • H05K3/422Plated through-holes or plated via connections characterised by electroless plating method; pretreatment therefor
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/09Shape and layout
    • H05K2201/09209Shape and layout details of conductors
    • H05K2201/095Conductive through-holes or vias
    • H05K2201/09563Metal filled via
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/02Apparatus or processes for manufacturing printed circuits in which the conductive material is applied to the surface of the insulating support and is thereafter removed from such areas of the surface which are not intended for current conducting or shielding
    • H05K3/04Apparatus or processes for manufacturing printed circuits in which the conductive material is applied to the surface of the insulating support and is thereafter removed from such areas of the surface which are not intended for current conducting or shielding the conductive material being removed mechanically, e.g. by punching
    • H05K3/045Apparatus or processes for manufacturing printed circuits in which the conductive material is applied to the surface of the insulating support and is thereafter removed from such areas of the surface which are not intended for current conducting or shielding the conductive material being removed mechanically, e.g. by punching by making a conductive layer having a relief pattern, followed by abrading of the raised portions

Definitions

  • Embodiments of the invention generally relate to a method and apparatus for depositing materials within a feature using an integrated electroless deposition system.
  • VLSI very large scale integration
  • ULSI ultra large scale integration
  • Contact metallization and multilevel interconnect metallization lie at the heart of this technology require precise processing of high aspect ratio features, such as contacts, vias and other interconnects. Reliable formation of these features is very important to VLSI and ULSI success and to the continued effort to increase circuit density and quality of individual substrates.
  • copper and copper alloys have become the metals of choice for nanometer-sized interconnect technology because copper has a lower electrical resistivity than aluminum, (about 1.7 ⁇ -cm compared to about 3.1 ⁇ -cm for aluminum), a higher current carrying capacity, and significantly higher electromigration resistance. These characteristics are important for supporting the higher current densities experienced at high levels of integration and increased device speed. Further, copper has a good thermal conductivity and is available in a highly pure state.
  • Electroless deposition involves an autocatalyzed chemical deposition process that does not require an applied current to induce chemical reduction.
  • An electroless deposition process typically involves exposing a substrate to a solution by immersing the substrate in a bath or by spraying the solution over the substrate.
  • An electroless deposition process of a material within nanotechnology requires a surface capable of electron transfer for nucleation of the material to occur over the surface, such as a catalytic seed layer.
  • Non-metal surfaces and oxidized surfaces are examples of surfaces which cannot participate in electron transfer.
  • Barrier layers comprising tantalum, tantalum nitride, titanium and titanium nitride are poor surfaces for nucleation of a subsequently electrolessly deposited material layer since native oxides of these barrier layer materials are easily formed.
  • an electroless deposition process utilizes a seed layer as both a catalytic surface as well as an adhesion surface.
  • a seed layer may serve as a surface capable of electron transfer during an electroless deposition process to deposit the electroless layer.
  • a seed layer functions as an adhesion layer to the underlying barrier layer or contact surface. For example, an electroless layer deposited on a tantalum nitride barrier layer without an intermediate adhesion seed layer is easily peeled from a substrate surface during a standard tape test.
  • a process used to fill a device feature formed on the substrate after conventional lithographic and etching techniques have been performed on the substrate include: 1) depositing a barrier layer in a PVD and/or ALD cluster tool, 2) depositing a seed layer over the barrier layer in the same or different cluster tool, 3) filling a feature in an electrochemical plating cell or performing a CVD fill process in another cluster tool, and 4) chemical mechanical polishing (CMP) of the deposited layer on the field region of the substrate in another cluster tool.
  • CMP chemical mechanical polishing
  • the present invention generally provides a method of processing a substrate in a substrate processing platform, comprising removing a portion of a layer formed on a surface of substrate using a material removal process, and filing a feature formed on the substrate using an electroless deposition process after removing the portion of the layer formed on the surface of the substrate.
  • Embodiments of the invention may further provide a method of processing a substrate in a substrate processing platform, comprising filing one or more recesses formed on a surface of the substrate with an electrolessly deposited metal layer, and inhibiting the growth of the electrolessly deposited metal layer generally above the top of the recesses formed in the surface of the substrate using a first electrode, a counter electrode and a power supply that is adapted to bias the first electrode relative to the counter electrode, wherein the first electrode is in electrical communication with at least a portion of the metal layer during at least a portion of the electroless deposition process.
  • Embodiments of the invention may further provide a cluster tool that is adapted to fill a substrate feature on a surface of a substrate, comprising at least one material removal chamber that is adapted to preferentially remove a metal layer from a field region rather than one or more recessed features formed on the surface of a substrate, and at least one electroless plating cell that is adapted to deposit an electrolessly deposited layer on a surface of the substrate.
  • a cluster tool that is adapted to fill a substrate feature on a surface of a substrate, comprising at least one material removal chamber that is adapted to preferentially remove a metal layer from a field region rather than one or more recessed features formed on the surface of a substrate, and at least one electroless plating cell that is adapted to deposit an electrolessly deposited layer on a surface of the substrate.
  • Embodiments of the invention may further provide a cluster tool that is adapted to fill a substrate feature on a surface of a substrate, comprising at least one electroless plating cell that is adapted to deposit an electrolessly deposited layer on a surface of the substrate and preferentially inhibit growth the electrolessly deposited layer on a field region on the surface of a substrate, and at least one cleaning module.
  • FIG. 1 illustrates a transferring sequence according to one embodiment described herein.
  • FIGS. 2A-2F illustrate schematic cross-sectional views of an integrated circuit fabrication sequence formed by a process described herein.
  • FIG. 3 illustrates a process sequence according to one embodiment described herein.
  • FIG. 4 is a schematic plan view of an exemplary deposition system.
  • FIGS. 5A-5B illustrate a side cross-sectional view of an electroless processing chamber according to one embodiment described herein.
  • FIGS. 6A-6B illustrate a side cross-sectional view of an electroless processing chamber according to one embodiment described herein.
  • Embodiments of the invention generally provide a cluster tool that is configured to fill features formed on a substrate.
  • An example of a typical substrate transferring sequence for a hybrid electroless/material removal platform is illustrated in FIG. 1 , which is discussed below. More particularly, embodiments of the invention allow for the filling of interconnect or contact level features using one or more electroless fill process steps.
  • a typical sequence for forming an interconnect includes depositing one or more non-conductive layers, etching at least one of the layer(s) to form one or more features therein, depositing a barrier layer in the feature(s) and depositing one or more conductive layers, such as copper, to fill the feature.
  • FIGS. 2A-2F illustrate a cross-sectional view of a feature 102 as the various processing steps of a process sequence 110 ( FIG. 3 ) are performed on a substrate 100 .
  • FIG. 2A illustrates a cross-sectional view of substrate 100 having a field region 105 and a feature 102 formed into a dielectric layer 101 on the surface of the substrate 100 .
  • Substrate 100 may comprise a semiconductor material such as, for example, silicon, germanium, or silicon germanium, for example.
  • the dielectric layer 101 may be an insulating material, such as silicon dioxide, silicon nitride, SOI, silicon oxynitride and/or carbon-doped silicon oxides, such as SiO x C y , for example, BLACK DIAMONDTM low-k dielectric, available from Applied Materials, Inc., located in Santa Clara, Calif.
  • Feature 102 may be formed in substrate 100 using conventional lithography and etching techniques to expose a layer 103 . In general, if the feature 102 is formed at the contact level the layer 103 may be a heavily doped silicon material or a metal silicide layer. If the feature 102 is formed in the interconnect levels (e.g., M1 and above) the layer 103 may contain copper, tungsten, aluminum, nickel, titanium, tantalum, cobalt or alloys thereof.
  • barrier layer 104 may be formed on the dielectric layer 101 and in feature 102 (step 112 in FIG. 3 ), as depicted in FIG. 2B .
  • Barrier layer 104 may be formed using a suitable deposition process including atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD) or combinations thereof.
  • barrier layer 104 may be formed by a chamber of the cluster tool 200 ( FIG. 4 ) discussed below.
  • the substrate may be placed into a plasma enhanced ALD (PE-ALD), a plasma enhanced CVD (PE-CVD) or high density plasma CVD (HDP-CVD) chamber, such as the ULTIMA HDP-CVDTM, Centura iSprintTM or Endura iLBTM systems, available from Applied Materials Inc., located in Santa Clara, Calif.
  • PE-ALD plasma enhanced ALD
  • PE-CVD plasma enhanced CVD
  • HDP-CVD high density plasma CVD
  • the barrier layer 104 may be performed using a physical vapor deposition (PVD), chemical vapor deposition (CVD) or atomic layer deposition (ALD) deposition process.
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • the barrier layer 104 in this case may be a single deposited layer, or multiple deposited layers, containing ruthenium (Ru), titanium (Ti), titanium nitride (TiN), tungsten (W), tungsten nitride (WN), Tantalum (Ta), tantalum nitride (TaN) or other alloy containing these materials.
  • the single deposited layer or multiple deposited layer stack may contain a BlokTM layer that generally containing SiCN, which is deposited using a CVD process.
  • the multiple deposited layer stack may contain a first layer that is titanium (Ti) and a second layer, which is deposited on the first layer, containing titanium nitride (TiN), tungsten nitride (WN), or tantalum nitride (TaN).
  • the multiple deposited layer stack may contain a first layer that is titanium (Ti), a second layer, which is deposited on the first layer, containing titanium nitride (TiN), tungsten nitride (WN), or tantalum nitride (TaN), and a third layer that may contain titanium (Ti), tantalum (Ta) or tungsten (W) to help promote adhesion.
  • the multiple deposited layer stack may have a first layer that contains tantalum (e.g., Ta, TaN) and a second layer that contains copper (Cu).
  • the barrier layer 104 may be performed using a physical vapor deposition (PVD), chemical vapor deposition (CVD), or atomic layer deposition (ALD) process.
  • the barrier layer 104 in this case may be a single layer or multiple layer stack containing ruthenium (Ru), titanium (Ti), titanium nitride (TiN), tungsten (W), tungsten nitride (WN), Tantalum (Ta), tantalum nitride (TaN) or other alloy containing these materials.
  • the multiple layer stack may contain a BlokTM layer containing SiCN, which is deposited using a CVD process, over a metal containing barrier layer.
  • the multiple deposited layer stack may contain a first layer that is titanium (Ti) and a second layer, which is deposited on the first layer, containing titanium nitride (TiN), tungsten nitride (WN), or tantalum nitride (TaN).
  • the multiple deposited layer stack may have a first layer that contains tantalum (e.g., Ta, TaN) and a second layer that contains copper (Cu).
  • the deposited barrier layer 104 may be about 10 to about 250 Angstroms ( ⁇ ) thick.
  • the next step 114 includes the deposition of an adhesion-promoting layer 106 .
  • the layer may be deposited on the barrier layer 104 using a physical vapor deposition (PVD), chemical vapor deposition (CVD), electroless deposition or atomic layer deposition (ALD) deposition processes.
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • the adhesion-promoting layer 106 deposition process may be conducted in the same deposition chamber as the barrier layer deposition process, described above.
  • the adhesion-promoting layer 106 may be a copper (Cu) layer, a ruthenium (Ru) layer, a palladium (Pd) layer, a nickel (Ni) layer, a cobalt (Co) layer, or a layer that is an alloy containing one or more of these elements.
  • the deposited adhesion-promoting layer 106 is about 10 to about 250 Angstroms ( ⁇ ) thick.
  • the next step 116 includes the removal of a portion of the adhesion-promoting layer 106 from the field region 105 by use of a material removal process, or planarization process, such as an electrochemical process or chemical mechanical polishing process (CMP).
  • the removal of the adhesion-promoting layer 106 is generally performed to limit the growth of subsequently deposited layers on the field region 105 of the substrate 100 . It should be noted that growth of the subsequent electrolessly deposited layers on the exposed feature 102 will generally be minimal, since typical barrier layers readily oxidize and thus will generally not participate in the subsequent electroless deposition process(es).
  • the removal of a portion of the adhesion-promoting layer 106 during the material removal process is performed by use of a planarization process which should be broadly construed and includes, but is not limited to, planarizing a substrate by the application of chemical, mechanical or electrochemical activity.
  • the removal of a portion of the adhesion-promoting layer 106 during the material removal process is performed by use of an electropolishing process which should be broadly construed and includes, but is not limited to, planarizing a substrate by the application of electrochemical activity.
  • the removal of a portion of the adhesion-promoting layer 106 during the material removal process is performed by use of a chemical polishing which is broadly defined, but is not limited to, planarizing a substrate surface using chemical activity.
  • the removal of a portion of the adhesion-promoting layer 106 during the material removal process is performed by use of a CMP process which is broadly construed and includes, but is not limited to, planarizing a substrate by the application of mechanical activity (e.g., use of an abrasive medium) and chemical activity, or a combination of chemical and mechanical activity.
  • the electrochemical process used to remove a portion of the adhesion-promoting layer 106 is an electrochemical mechanical polishing (ECMP) process which is broadly construed and includes, but is not limited to, planarizing a substrate by the application of electrochemical activity, mechanical activity, chemical activity, or a combination of electrochemical, chemical, and mechanical activity to remove a material from a substrate surface.
  • ECMP electrochemical mechanical polishing
  • an ECMP processes is preferred since the material is generally selectively removed from the field region 105 of the substrate 100 , rather than from the feature 102 .
  • the need for selective removal can be critical where the thickness of the adhesion-promoting layer 106 is rather thin, such as about 10 to about 250 Angstroms ( ⁇ ).
  • the ECMP process is performed in a Reflexion LK EcmpTM processing system, available from Applied Materials Inc., located in Santa Clara, Calif.
  • a Reflexion LK EcmpTM processing system available from Applied Materials Inc., located in Santa Clara, Calif.
  • An ECMP chamber and chemistry that may be adapted to perform various aspects of the invention described herein is further described in U.S. patent application Ser. No. 10/456,220, filed Jun. 6, 2003 and U.S. patent application Ser. No. 11/123,274, filed May 5, 2005, which are both incorporated by reference in their entirety to the extent not inconsistent with the claimed aspects of the invention.
  • the process step 116 is adapted to remove the adhesion-promoting layer 106 and barrier layer 104 from the field region 105 by use of a material removal process, such as an electropolishing process, a chemical polishing process, a CMP process and/or an ECMP process as discussed above.
  • a material removal process such as an electropolishing process, a chemical polishing process, a CMP process and/or an ECMP process as discussed above.
  • a clean process such as a megasonic clean process or brush clean process may be performed to remove any material trapped in the features 102 .
  • the next step 118 includes the filling of the feature 102 with a metal layer 108 by use of electroless deposition process.
  • the feature is preferentially filled from the bottom of the feature 102 until the layer is about level with the field region 105 (e.g., bottom up fill).
  • the metal layer 108 may be a copper (Cu) layer, a cobalt (Co) layer, a nickel (Ni) layer, or a layer that is an alloy containing one or more of these elements.
  • the feature is filed using a multilayer fill process in which two or more layers are sequentially deposited to fill the feature.
  • the metal layer 108 may be electrolessly deposited using an electroless deposition solution that contains one or more metal ion sources and a reducing agent that allows the deposition of a layer that contains one or more metals.
  • one of the metals ions is a copper ion and the other metal ion(s) are a metal selected from a group consisting of aluminum (Al), indium (In), molybdenum (Mo), tungsten (W), manganese (Mn), cobalt (Co), tin (Sn), nickel (Ni), magnesium (Mg), rhenium (Rh), beryllium (Be), phosphorus (P), boron (B), gallium (Ga), or ruthenium (Ru).
  • a metal alloying element that is more electropositive than copper may be beneficial to improve the oxidation resistance and corrosion resistance of the deposited film.
  • the metal layer 108 is deposited by an electroless deposition process to fill feature 102 from the bottom-up.
  • Features 102 are filled with metal material while avoiding defects (e.g., seams, voids or gaps) within metal layer 108 .
  • the bottom-up fill electroless deposition process utilizes an electroless solution containing a metal ion source and at least one additive, such as an accelerator, a suppressor, a leveler or combinations thereof.
  • FIG. 2E illustrates metal layer 108 deposited over the surface of feature 102 .
  • the metal layer 108 is a copper-containing layer is formed from copper or a copper alloy.
  • levelers within the bottom-up fill electroless solution are used to achieve different deposition thickness as a function of leveler concentration and feature geometry while depositing metal layer 108 .
  • the leveler within the electroless deposition solution may have a concentration in a range from about 20 ppb to about 600 ppm, preferably from about 100 ppb to about 100 ppm.
  • Examples of levelers that may be employed in an electroless solution include, but are not limited to alkylpolyimines and organic sulfonates, such as 1-(2-hydroxyethyl)-2-imidazolidinethione (HIT), 4-mercaptopyridine, 2-mercaptothiazoline, ethylene thiourea, thiourea, or derivatives thereof.
  • the electroless deposition solution may contain brighteners or accelerators and suppressors as alternative additives to provide further control of the deposition process.
  • the role of accelerators is to enhance the growth of the metal layer 108 that is in contact with the bottom-up electroless solution.
  • the accelerator within the electroless deposition solution has a concentration in a range from about 20 ppb to about 600 ppm, preferably from about 100 ppb to about 100 ppm.
  • Accelerators that are useful in an electroless solution for depositing metal layer 108 may include sulfur-based compounds such as bis(3-sulfopropyl) disulfide (SPS), 3-mercapto-1-propane sulfonic acid (MPSA), aminoethane sulfonic acids, thiourea, derivatives thereof, and combinations thereof.
  • Suppressors are used to suppress copper deposition by initially adsorbing onto underlying catalytic surfaces (e.g., adhesion-promoting layer 106 ) and therefore blocking access to the catalyst of the reaction.
  • Suppressors generally may include polyethylene glycol (PEG), polypropylene glycol (PPG), polyoxyethylene-polyoxypropylene copolymer (POCP), benzotriazole (BTA), dipyridyl, dimethyl dipyridyl, derivatives thereof, or combinations thereof.
  • the suppressor within the electroless deposition solution has a concentration in a range from about 20 ppb to about 600 ppm, preferably from about 100 ppb to about 100 ppm.
  • the metal ion source within the electroless deposition solution may have a concentration in a range from about 5 mM to about 100 mM, preferably from about 25 mM to about 75 mM.
  • the metal ion is a copper ion (e.g., Cu 1+ or Cu 2+ ) dissolved within the electroless solution to be reduced out as a deposited copper-containing material.
  • Useful copper sources include copper sulfate, copper chloride, copper acetate, copper phosphate, derivatives thereof, hydrates thereof, or combinations thereof.
  • the metal ion is a nickel ion dissolved within the electroless solution to be reduced out as a deposited nickel-containing material.
  • Useful nickel sources include nickel sulfate, nickel chloride, nickel acetate, nickel phosphate, derivatives thereof, hydrates thereof, or combinations thereof.
  • the metal layer 108 is a cobalt containing layer.
  • the selective deposition process is performed using an electroless deposition process to selectively deposit a layer that contains, for example, a cobalt-tungsten alloy (e.g., CoW, CoWP, CoWB, CoWPB).
  • a cobalt-tungsten alloy e.g., CoW, CoWP, CoWB, CoWPB.
  • An example of an electroless solution used to deposit a cobalt-tungsten alloy may contain a cobalt source, a tungsten source, a citrate source, a hypophosphite source, a borane reductant, and other additives.
  • Other electroless deposition solutions that may be used to deposit a cobalt-tungsten alloy are further described in the commonly assigned U.S.
  • the next step 120 includes the removal of the barrier layer 104 from the field region 105 by use of a material removal process, such as an electrochemical process or chemical mechanical polishing process (CMP). If the barrier layer is removed during process step 116 this process step may not be needed and can thus be left out. In one aspect, this process step includes the process of removing any over plating leftover after performing the deposition of the metal layer 108 .
  • An ECMP chamber and chemistry that may be used to remove a barrier layer and thus may be adapted to perform various aspects of the invention described herein is further described in U.S. patent application Ser. No. 11/130,032, filed May 16, 2005 and U.S. Provisional Patent Application Ser. No. 60/650,676, filed Feb. 7, 2005, which are both incorporated by reference in their entirety to the extent not inconsistent with the claimed aspects of the invention.
  • the removal of a portion of the barrier layer 104 is performed by use of an electropolishing process, chemical polishing process, CMP process and/or ECMP process as discussed above in step 116 .
  • an ECMP processes is preferred since the material is generally selectively removed from the field region 105 of the substrate 100 , rather than from the feature 102 .
  • the need for selective removal can be critical where the thickness of the barrier layer 104 is rather thin, such as about 10 to about 250 Angstroms ( ⁇ ).
  • the ECMP process is performed in a Reflexion LK EcmpTM processing system, available from Applied Materials Inc., located in Santa Clara, Calif.
  • a CMP process is used to remove the barrier layer 104 .
  • an electroless capping layer deposition process is performed over the filled features 102 .
  • the capping layer deposition process is performed using an electroless deposition process to selectively deposit a layer that contains, for example, a cobalt-tungsten alloy (e.g., CoW, CoWP, CoWB, CoWPB).
  • a cobalt-tungsten alloy e.g., CoW, CoWP, CoWB, CoWPB.
  • An example of an electroless solution used to deposit a cobalt-tungsten alloy may contain a cobalt source, a tungsten source, a citrate source, a hypophosphite source, a borane reductant, and other additives.
  • the next step 122 optionally includes the process of cleaning and/or drying the substrate 100 after all the process steps in the process sequence 110 have been performed.
  • the clean step 122 may be performed by applying a clean solution to the substrate structure, scrubbing the surface of the substrate with a brush like material and/or applying sonic energy to the substrate structure to remove any excess material that may be present on the exposed portion of the substrate 100 .
  • the use of a brush module to clean a substrate may be especially useful when CMP or ECMP processes are used that contain a slurry component.
  • the clean solution may include one or more acids (e.g., citric acid).
  • a post-deposition clean solution is an ElectraCleanTM solution, available from Applied Materials Inc. of Santa Clara, Calif. or a CX-100 solution available from Wako Chemicals USA, Inc. of Richmond, Va.
  • the cleaning process, or processes is performed in a spin rinse dry (SRD) chamber, integrated bevel clean (IBC) chamber, DessicaTM brush clean module, or vapor dry module commonly found in a Reflexion CMPTM system or SlimCell ECPTM system, which are available from available from Applied Materials Inc., located in Santa Clara, Calif.
  • SRD spin rinse dry
  • IBC integrated bevel clean
  • DessicaTM brush clean module or vapor dry module commonly found in a Reflexion CMPTM system or SlimCell ECPTM system, which are available from available from Applied Materials Inc., located in Santa Clara, Calif.
  • the substrate is cleaned by exposing one or more surfaces of the substrate to a cleaning solution to remove any accumulated material therefrom and then performing a drying process.
  • the cleaning solution is a high resistivity deionized water solution that is delivered to the processing surface of the substrate.
  • the bevel edge of the substrate may be cleaned to remove any accumulated material therefrom (often called the edge bead) by providing an etchant solution.
  • an etchant solution includes a solution of sulfuric acid, hydrogen peroxide and deionized water.
  • Another example of an etchant solution further includes HCl and/or nitric acid.
  • One apparatus and method of cleaning the bevel edge, or edge bead removal chamber is disclosed in U.S. Pat. No. 6,516,815, entitled “Edge Bead Removal/Spin Rinse Dry (EBR/SRD) Module,” which is incorporated by reference to the extent not inconsistent with the present disclosure.
  • Another apparatus and method of cleaning the bevel edge is disclosed in U.S. patent application Ser. No. 09/785,815, entitled “Integrated Semiconductor Substrate Bevel Cleaning Apparatus and Method,” which is incorporated by reference in its entirety to the extent not inconsistent with the present disclosure.
  • a vapor drying step may be performed by itself or in conjunction with the SRD and/or bevel edge cleaning steps.
  • Vapor drying generally includes introducing a surface tension-reducing volatile compound, such as a volatile organic compound (VOC), to the substrate structure as it is removed from a bath.
  • a VOC may be introduced with a carrier gas (e.g., nitrogen gas) in the vicinity of the liquid adhering to a substrate structure.
  • IPA isopropyl alcohol
  • the liquid is deionized water (i.e., DI Water).
  • the VOC may be other alcohols, ketones, ethers, or other suitable compounds.
  • Examples of exemplary vapor drying processes are further described in the commonly assigned U.S. Pat. No. 6,328,814, filed Mar. 26, 1999 [AMAT No. 2894/CMP/RKK] and U.S. patent application Ser. No. 10/737,732, entitled “Scrubber With Integrated Vertical Marangoni Drying”, filed Dec. 16, 2003, which is incorporated by reference in its entirety to the extent not inconsistent with the present disclosure.
  • the cluster tool generally contains a wet processing platform in communication with a substrate loading area and together with the loading area, comprises a substrate plating system.
  • the loading area or “dry side”, is generally configured to receive substrate-containing cassettes and transfer substrates received from the cassettes to the wet processing platform for wet processing.
  • the loading area typically includes “dry side” processing chambers for treatment of substrates before and/or after wet processing, such as barrier layer deposition chambers and anneal chambers.
  • the dry side may also contain a robot configured to transfer substrates between the cassettes, the wet processing platform, and the dry side processing chambers.
  • the wet processing platform generally includes at least one substrate transfer robot and a plurality of substrate processing chambers, e.g., electroless plating cells, ECMP chambers, ECP cells, IBC chambers, SRD chambers, etc.
  • substrate processing chambers e.g., electroless plating cells, ECMP chambers, ECP cells, IBC chambers, SRD chambers, etc.
  • the various embodiments may include different combinations of wet and dry substrate-processing chambers.
  • the cluster tool will allow for pre-treatment of a dry substrate, such as barrier layer deposition (e.g., PVD, ALD or CVD chambers), wet processing of the substrate, such as adhesion-layer deposition, electrochemical and/or electroless gap fill, and surface and/or bevel cleaning and drying, and in some cases post-deposition processing, such as anneal.
  • a dry substrate such as barrier layer deposition (e.g., PVD, ALD or CVD chambers)
  • wet processing of the substrate such as adhesion-layer deposition, electrochemical and/or electroless gap fill, and surface and/or bevel cleaning and drying, and in some cases post-deposition processing, such as anneal.
  • FIG. 4 illustrates an exemplary electroless cluster tool 200 .
  • Cluster tool 200 includes a factory interface 230 that includes a plurality of substrate loading stations 234 configured to interface with and retain substrate containing cassettes (hereafter referred to as cassettes).
  • a factory interface robot 232 is positioned in the factory interface 230 and is configured to access and transfer substrates 226 into and out of the cassettes positioned on the loading stations 234 .
  • the robot 232 also extends into a link tunnel 215 that connects the factory interface 230 to a wet processing platform (i.e., platform 213 ).
  • the position of robot 232 allows for access to loading stations 234 to retrieve substrates therefrom, and to then deliver the substrates 226 to an in-station 972 (not shown in FIG.
  • Station 235 may include one or more stacked dry process chambers, such as anneal, barrier layer deposition, adhesion-layer deposition or even dry etch chambers. Barrier layer and adhesion-layer deposition take place prior to wet processing of a substrate and the annealing process typically takes place after wet processing.
  • An anneal chamber that may be adapted to perform various aspects of the invention described herein is further described in U.S. patent application Ser. No. 10/996,342, filed Nov.
  • robot 232 may then deliver the clean, dry substrate 226 back to one of the cassettes positioned on the loading stations 234 for removal from cluster tool 200 .
  • Wet processing platform 213 also referred to as the mainframe, includes a centrally positioned mainframe robot 220 .
  • Mainframe robot 220 generally includes one or more blades 222 and 224 configured to support and transfer substrates. Additionally, mainframe robot 220 and the accompanying blades 222 and 224 are generally configured to independently extend, rotate, pivot, and vertically move so that the mainframe robot 220 may simultaneously insert and remove substrates to/from the plurality of processing cell locations 202 , 204 , 206 , 208 , 210 , 212 , 214 or 216 positioned on platform 213 .
  • factory interface robot 232 also includes the ability to rotate, extend, pivot, and vertically move its substrate support blade, while also allowing for linear travel along the robot track 250 that extends from the factory interface 230 to the platform 213 .
  • the processing cell locations 202 , 204 , 206 , 208 , 210 , 212 , 214 , or 216 may be any of a number of processing chambers utilized in a substrate processing system. More particularly, the processing chambers on the integrated wet processing platform may be configured as material removal process chambers (e.g., ECMP cells, CMP platen, electropolishing cells), rinsing chambers, IBC chambers, SRD chambers, substrate surface cleaning chambers (which collectively includes cleaning, rinsing, and etching chambers), electroless plating chambers (which includes pre- and post-clean chambers, electroless activation chambers, electroless deposition chambers, etc.), brush box chambers and vapor drier chambers.
  • material removal process chambers e.g., ECMP cells, CMP platen, electropolishing cells
  • rinsing chambers IBC chambers, SRD chambers
  • substrate surface cleaning chambers which collectively includes cleaning, rinsing, and
  • Each of the respective processing cell locations 202 , 204 , 206 , 208 , 210 , 212 , 214 and 216 and robots 220 and 232 are generally in communication with a process controller 211 , which may be a microprocessor-based control system configured to receive inputs from both a user and/or various sensors positioned on the cluster tool 200 and appropriately control the operation of cluster tool 200 in accordance with the inputs and/or a predetermined processing recipe.
  • a process controller 211 may be a microprocessor-based control system configured to receive inputs from both a user and/or various sensors positioned on the cluster tool 200 and appropriately control the operation of cluster tool 200 in accordance with the inputs and/or a predetermined processing recipe.
  • processing cell locations 202 , 204 , 206 , 208 , 210 , 212 , 214 and 216 are also in communication with a fluid delivery system (not shown) configured to supply the necessary processing fluids to the respective processing cell locations during processing, which is also generally under the control of system controller 211 .
  • a fluid delivery system (not shown) configured to supply the necessary processing fluids to the respective processing cell locations during processing, which is also generally under the control of system controller 211 .
  • An exemplary processing fluid delivery system may be found in commonly assigned U.S. patent application Ser. No. 10/438,624, entitled “Multi-Chemistry Electrochemical Processing System,” filed on May 14, 2003, which is hereby incorporated by reference in its entirety to the extent not inconsistent with the present invention.
  • cluster tools may be created. These embodiments are capable of performing one or more of the above processes with high throughput, low defects, minimal oxidation of copper interconnect features and superior adhesion between deposited layers.
  • a cluster tool 200 generally includes an electroless plating cell, ECMP processing cell, and an optional clean chamber(s).
  • the cluster tool 200 contains a CMP type processing chamber.
  • the clean chambers are a bevel clean, vapor dry and/or spin-rinse drying type processing chambers.
  • the cluster tool may include an ALD barrier processing chamber and/or adhesion-layer deposition processing chambers prior to performing wet processing.
  • it may also include a plasma-enhanced dry etch chamber for removal of native oxide prior to barrier or adhesion-layer deposition.
  • plating cluster tool 200 allows the sequential deposition of multiple films on a substrate within a single cluster tool, such as an ALD or CVD barrier layer formed on substrate structures, such as tantalum nitride (TaN), an electroless copper fill layer formed on the substrate structures or a barrier layer, and lastly a clean of the features on the substrate.
  • the adhesion-layer 106 is a Ruthenium-containing layer deposited without the use of carbon-containing precursors, using a process described in the commonly assigned U.S. patent application Ser. No. 11/228,425, filed Sep. 15 2005, and U.S. Provisional Patent Application entitled “Patterned Electroless Metallization Processes For Large Area Electronics” [APPM 10254L] by T.
  • Barrier layer, seed layer and gap fill deposition are ordinarily performed by separate substrate processing systems, increasing total substrate processing time and expense.
  • this configuration of plating cluster tool 200 deposits metal layers with improved electrical properties, better defect performance and greater adhesion than metal layers formed on a substrate via multiple substrate processing systems.
  • the sequential formation of the processes described in FIGS. 2 A-F in a controlled environment will result in fewer defects compared to processing substrates in multiple processing systems.
  • the use of ruthenium-containing adhesion-layers can also offer superior adhesion to subsequent metal layers over the prior art.
  • this configuration provides better device performance, at a lower cost per substrate processed, and the process is less complicated than conventional systems.
  • FIG. 4 illustrates one embodiment of an exemplary cluster tool 200 .
  • station 235 may be configured as an ALD or CVD chamber for the deposition of a barrier layer and/or adhesion-layer prior to wet processing.
  • processing locations 214 and 216 may be configured as an interface between wet processing platform 213 and the generally dry processing stations positioned in factory interface 230 of the plating cluster tool 200 .
  • substrates are introduced into platform 213 by being placed in a holding location, know as an in-station (not shown) which holds substrates for future wet processing.
  • the in-station is typically located above or below processing stations 214 and 216 .
  • the processing stations 214 and 216 may include a vapor dry chamber or SRD chamber that is adapted to perform the final wet processing steps on a substrate before the substrate leaves platform 213 .
  • the processing station 214 is an SRD chamber and 216 is a vapor dry chamber that is adapted to perform the final wet processing steps on a substrate before the substrate leaves platform 213 .
  • a spin rinse dry (SRD) chamber, integrated bevel clean (IBC) chamber, DesicaTM brush clean module, or vapor dry module are commonly found in a Reflexion CMPTM system or SlimCell ECPTM systems which are available from available from Applied Materials Inc., located in Santa Clara, Calif. Examples of exemplary vapor drying processes are further described in the commonly assigned U.S. Pat.
  • the processing locations 202 and 210 contain electroless plating cells
  • the processing locations 204 and 212 contain ECMP cells that are adapted to remove adhesion-layer 106
  • the processing locations 206 and 208 contain ECMP cells that are adapted to remove the barrier-layer 104 .
  • the process chemistry used in the ECMP cells that are adapted to the barrier layer 104 and the ECMP cells that are adapted to remove the adhesion-layer 106 may have different chemistries which are used to enhance the removal of the desired type of material.
  • processing locations 202 and 204 , and 210 and 212 are electroless plating twin cells, and locations 206 and 208 are ECMP chambers that are adapted to remove both the adhesion-layer 106 and the barrier-layer 104 .
  • processing locations 202 , 206 , and 210 are electroless plating cell, and processing locations 204 , 208 and 212 are ECMP chambers that are adapted to remove both the adhesion-layer 106 and the barrier-layer 104 .
  • the configurations of the processing chambers in the various processing locations 202 , 204 , 206 , 208 , 210 and 212 may be rearranged without affecting the functionality of the invention and are defined above only for purposes of description.
  • a substrate transfer shuttle 605 that is adapted to transfer substrates between the first and second processing stations inside each enclosure 302 .
  • Exemplary electroless plating cells are further described in U.S. patent application Ser. No. 10/059,572, filed Jan. 28, 2002 [AMAT No. 5840.03], U.S. patent application Ser. No. 10/996,342, filed Nov. 22, 2004, and U.S. patent application Ser. No. 11/192,993 [APPM 8707.P1], filed Jul. 29, 2005 which is incorporated by reference in its entirety to the extent not inconsistent with the present disclosure.
  • the cluster tool may contain electroless plating cells in processing locations 202 and 210 , a adhesion-layer removal ECMP cells in the processing locations 204 and 212 , a barrier layer ECMP (or CMP) processing cell in processing locations 206 and 208 , an SRD in the processing location 214 , and a vapor dry chamber in the processing location 216 .
  • the vapor dry chamber in the processing location 216 is adapted to perform the final wet processing steps on a substrate before the substrate leaves platform 213 ( FIG. 4 ).
  • station 235 is configured as a barrier layer ALD/CVD chamber.
  • an optional substrate pre-treatment step is performed, where with a barrier layer (element 104 in FIGS. 2 B-E) and an adhesion-promoting layer (element 106 in FIGS. 2 B-E) are deposited on the substrate in station 235 prior to wet processing. If it is not desirable to form the barrier layer and an adhesion-layer in the cluster tool 200 , then these steps may be performed in other cluster tools, such as the ULTIMA HDP-CVDTM, Centura iSprintTM or Endura iLBTM systems, available from Applied Materials Inc., located in Santa Clara, Calif.
  • factory interface robot 232 also known as the “dry” robot, removes the substrate from the station 235 and places the substrate at the in-station associated with processing location 214 or 216 .
  • mainframe robot 220 also known as the “wet” robot, transfers the substrate to a process chamber positioned in one of the locations 204 or 212 , where an adhesion-layer material removal process (e.g., planarization process) is preformed, such as a CMP or ECMP process.
  • an adhesion-layer material removal process e.g., planarization process
  • a clean process such as a megasonic clean process or brush clean process may be performed to remove any material trapped in the features.
  • the substrate is transferred between processing locations 204 or 212 to processing locations 202 or 210 , respectively, via use of an internal shuttle transfer 605 .
  • an electroless deposition process (step 118 ) is performed to fill the feature.
  • the electroless deposition requires an activation type process (e.g., preparatory cleaning, activation and post-activation clean steps) to be performed, and then an electroless plating step may be performed.
  • the electroless deposition requires only that an electroless plating step to be performed.
  • the mainframe robot 220 is used to transfer the substrate between the processing locations 204 and 202 , or 212 and 210 .
  • step 1008 the mainframe robot 220 transfers a substrate to one of the processing station 206 or 208 , where the barrier CMP process is optionally performed. In one aspect, a barrier ECMP process is optionally performed.
  • a clean process such as a megasonic clean process or brush clean process may be performed to remove any material trapped in the features.
  • step 1010 the mainframe robot 220 transfers a substrate to the processing location 214 where an SRD process is performed.
  • a description of an exemplary SRD chamber that may be used in embodiments of the invention may be found in commonly assigned U.S. application Ser. No. 10/616,284 entitled “Multi-Chemistry Plating System,” filed on Jul. 8, 2004, which is hereby incorporated by reference in its entirety to the extent not inconsistent with the present invention. [7669.P1]
  • step 1012 the mainframe robot 220 transfers a substrate from the processing location 214 to the processing location 216 where a vapor dry process is performed.
  • step 1010 or step 1012 may be removed to reduce the complexity of the device fabrication and transferring process.
  • factory interface robot 232 removes the substrate from the vapor dry chamber, which is in the processing location 216 , and the platform 213 and places them in the substrate loading stations 234 .
  • an electroless plating chamber 400 is configured to improve the bottom-up fill capability and reduce common defects found during the filling of features of different depths and shapes.
  • FIGS. 5A and 5B illustrate one embodiment of an electroless plating chamber 400 that may be adapted to perform aspects described herein.
  • the electroless plating chamber 400 contains a substrate support 401 and an electrode assembly 406 , which is positioned opposing the processing surface 402 E ( FIG. 5B ) of the substrate 402 positioned on the substrate support 401 .
  • the substrate support 401 generally contains a substrate receiving surface 401 B and lift/rotation assembly 401 A.
  • the lift/rotation assembly 401 A is adapted to raise and lower and rotate the substrate support 401 relative to the electrode assembly 406 .
  • the electrode assembly 406 is adapted to be raised and lowered and/or rotated relative to the substrate 402 .
  • the substrate 402 or the flexible electrode 404 and/or the substrate support 401 may be rotated or oscillated. While FIGS. 5A and 5B tend to illustrate an electroless plating chamber 400 that is in a face-up orientation, this configuration is not intended to limit the scope of the invention described herein.
  • the electrode assembly 406 generally contains a flexible electrode 404 , an electrode support 403 , and a power supply 410 .
  • the power supply 410 is generally adapted to bias the flexible electrode relative to the counter electrode 414 .
  • the power supply 410 is connected to the flexible electrode 404 using an electrical connection 412 , the counter electrode using the electrical connection 411 and an optional reference electrode 415 using the electrical connection 413 .
  • the flexible electrode 404 is a conductive porous electrode that is adapted to allow the electroless plating solution delivered from the source 405 through the flexible electrode 404 and to the processing surface 402 E of the substrate 402 .
  • the flexible electrode 404 contains an biasing electrode (not shown) and an ionic membrane, such as a NafionTM membrane, that allows certain ions to pass through the flexible electrode 404 assembly but keeps the fluid delivered from source 405 separated from the electroless deposition fluid that is in contact with the processing surface 402 E of the substrate 402 .
  • the fluid in contact with the processing surface 402 E of the substrate 402 can be delivered from a separate fluid source (not shown) that is in fluid communication with the processing surface 402 E.
  • the biasing electrode such as a metal rod or wire mesh (e.g., platinum, titanium), is positioned in the fluid volume 403 A (e.g., similar to item # 407 in FIG. 6A ) formed between the electrode support 403 and the flexible electrode 404 .
  • the substrate 402 or the flexible electrode 404 and/or the substrate support 401 may be rotated or oscillated.
  • the flexible electrode 404 is constructed from a woven fabric material such as a graphite cloth selected such that is does not exhibit catalytic properties towards the oxidation of the reducing agent utilized in the electroless plating formulation and which is essentially inert towards dissolution in the plating chemistry.
  • the flexible electrode 404 should also generally be inert towards dissolution in the plating chemistry.
  • the flexible electrode 404 is highly absorbent it will facilitate the efficient retention of a relatively small volume of plating chemistry on the surface of the substrate 402 .
  • the use of the electroless plating chamber 400 is intended to prevent the filling bottom-up growth of shallow features 402 B formed on the substrate 402 from covering the opening of the deeper features 402 A as the electroless deposition process proceeds towards filling all of the features formed on the processing surface 402 E of the substrate 402 .
  • the an electroless deposition fluid is delivered to the fluid volume 403 A and processing surface 402 E of the substrate 402 and an anodic bias is applied to the flexible electrode 404 relative to the counter electrode 414 .
  • the flexible electrode is positioned such that it is either brought into contact or is positioned very close to the processing surface 402 E of the substrate 402 .
  • the metal layer formed in the shallow feature (e.g., element 108 FIG. 2E ) will contact the flexible electrode 404 before the metal layer formed in the deep feature 402 A contacts the flexible electrode 404 .
  • Contact of a metal layer with the flexible electrode 404 will effectively “siphoning off” electrons liberated by the autocatalytic oxidation of the reducing agent and minimize the deposition over the shallow features 402 B and thus allowing the deep features 402 A to “catchup.”
  • the applied field on the flexible electrode 404 is adjusted so as to suppress/prevent the deposition of the metal layer contacting the flexible electrode 404 .
  • the applied potential is adjusted relative to a reference electrode 415 and the cell completed by a dimensionally stable counter electrode 414 located behind an ion exchange type membrane or effectively “downstream” from the plating region.
  • the bottom up electoless fill process can be initiated prior to introducing (i.e. lowering to make contact) the flexible electrode 404 .
  • the flexible electrode 404 can be present form the beginning and only biased until well after the initiation and substantial filling of the shallow features 402 B or fastest growing features has occurred.
  • This invention has immediate relevance for applications in which contact is being made to a material which is in the field region (e.g., element 105 in FIGS. 2 A-F) which is intrinsically catalytically active towards the initiating of an electroless plating chemistry, thereby providing a mechanism for selective bottom up fill and inhibiting the growth that is in contact with the flexible electrode 404 .
  • a material which is in the field region e.g., element 105 in FIGS. 2 A-F
  • This invention has immediate relevance for applications in which contact is being made to a material which is in the field region (e.g., element 105 in FIGS. 2 A-F) which is intrinsically catalytically active towards the initiating of an electroless plating chemistry, thereby providing a mechanism for selective bottom up fill and inhibiting the growth that is in contact with the flexible electrode 404 .
  • the electroless chamber 400 in which a conformally deposited adhesion-layer, or barrier layer, which may or may not be electrically conducting, is first removed by an efficient CMP and/or electrochemically assisted striping process from the “field regions” (e.g., item # 402 C in FIG. 6 ) before the initiation of the electroless filling process.
  • the process may also require that the conformally deposited adhesion-layer, or barrier layer, be highly electrically resistive or be prone to preferentially dissolution with an electrode (e.g., flexible electrode 404 or electrode 407 (seen below)) during the initiation and growth of the electrolessly deposited layer.
  • an electrode e.g., flexible electrode 404 or electrode 407 (seen below)
  • the preferentially dissolution may be enhanced due to an application of a high electrical bias or the use of a resistive electroless plating solution. As such it might be applied even to the electroless metal fill of damascene structures initiating on extremely thin ALD like layers without the requirement for an electrically contiguous contact.
  • an electroless plating chamber 400 is configured to improve the bottom-up fill capability and reduce common defects found during the filling of features of different depths and shapes.
  • FIG. 6 illustrates one embodiment of an electroless plating chamber 400 that may be adapted to perform aspects described herein. While FIG. 6 tends to illustrate an electroless plating chamber 400 that is in a face-up orientation, this configuration is not intended to limit the scope of the invention described herein.
  • the electroless plating chamber 400 contains a substrate support 401 and an electrode assembly 406 , which is positioned opposing the processing surface 402 E of the substrate 402 positioned on the substrate support 401 .
  • the substrate support 401 generally contains a substrate receiving surface 401 B and lift/rotation assembly 401 A.
  • the lift/rotation assembly 401 A is adapted to raise and lower and rotate the substrate support 401 relative to the electrode assembly 406 .
  • the electrode assembly 406 generally contains an electrode 407 , an electrode support 409 , a membrane 408 and a power supply 420 .
  • the power supply 420 is generally adapted to bias the electrode 407 relative to a metal layer 402 F formed on the processing surface 402 E of the substrate 402 .
  • the power supply 420 is connected to the electrode 407 using an electrical connection 421 , the substrate surface using the electrical connection 422 (e.g., using platinum contacts or other conventional contact designs which are well known in the art) and an optional reference electrode 423 positioned in a fluid layer “F” positioned between the electrode 407 and the metal layer 402 F formed on the processing surface 402 E.
  • the electrode 407 is a conductive porous electrode that is adapted to allow the electroless plating solution delivered from the source 405 through the electrode 407 and the membrane 408 to the processing surface 402 E of the substrate 402 .
  • the membrane 408 is an ionic membrane, such as a NafionTM membrane, that allows certain ions to pass between the electrode 407 and the metal layer 402 F formed on the processing surface 402 E.
  • the ionic membrane keeps the fluid delivered from source 405 separated from the electroless deposition fluid layer “F” that is in contact with the processing surface 402 E of the substrate 402 .
  • the fluid in contact with the processing surface 402 E of the substrate 402 can be delivered from a separate fluid source (not shown) that is in fluid communication with the processing surface 402 E.
  • the electrode 407 is positioned in the fluid volume 409 A formed between the electrode support 409 and the electrode 407 .
  • the substrate 402 or the flexible electrode 404 and/or the substrate support 401 may be rotated or oscillated.
  • the electrode 407 is constructed from a woven fabric material such as a graphite cloth selected such that is does not exhibit catalytic properties towards the oxidation of the reducing agent utilized in the electroless plating formulation and which is essentially inert towards dissolution in the plating chemistry. In one aspect, if the electrode 407 is a metal material such as titanium, platinum, copper, palladium, or other material.
  • the use of the electroless plating chamber 400 shown in FIG. 6 is intended to minimize the growth of the electrolessly deposited material on the field region 402 C (e.g., element 105 in FIGS. 2 A-F) of the substrate 402 by preferentially removing the material on the field prior to performing the electroless plating process or by controlling the amount deposited on the field region 402 C during the electroless deposition process.
  • the an electroless deposition fluid is delivered to the fluid volume 409 A and processing surface 402 E of the substrate 402 and a cathodic bias is applied to the electrode 407 relative to the metal layer 402 F.
  • the preferentially dissolution from the field region 402 C may be enhanced due to an application of a high cathodic electrical bias or the use of a resistive electroless plating solution.
  • the bias might be applied even during the electroless metal fill of damascene structures that are initiating on extremely thin ALD like layers without the requirement for an electrically contiguous contact.
  • a membrane 408 that has a desired abrasive properties is positioned such that it is brought into contact the processing surface 402 E of the substrate 402 . Therefore, the when the membrane 408 is moved relative to the processing surface 402 E of the substrate the electroless deposition material that is being deposited on the field region 402 C is continually being removed as the other parts of the feature 402 A′ (e.g., element 402 D) is being filled with electrolessly deposited material.
  • the surface of the membrane 408 is made from a conductive medium, such as a conventional conductive pad used in ECMP applications, which is available from Applied Materials Inc., to make contact across the surface of the processing surface 402 E.
  • the field, and/or voltage, applied to the electrode 407 is adjusted so as to suppress/prevent the deposition of the metal on the field region 402 C.
  • the applied potential is adjusted relative to the surface of the substrate 402 and the electrode 407 located behind the membrane 408 , which is effectively “downstream” from the plating region.

Abstract

Embodiments of the invention generally provide a cluster tool that is configured to electrolessly fill features formed on a substrate. More particularly, embodiments of the invention are used to integrate the filling of an interconnect or contact level feature using an electroless fill process and material removal steps. A typical sequence for forming an interconnect includes depositing one or more non-conductive layers, etching at least one of the layer(s) to form one or more features therein, depositing a barrier layer in the feature(s) and depositing one or more conductive layers, such as copper, to fill the feature.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims benefit of U.S. Provisional Patent Application Ser. No. 60/719,440, filed Sep. 21, 2005, which is herein incorporated by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • Embodiments of the invention generally relate to a method and apparatus for depositing materials within a feature using an integrated electroless deposition system.
  • 2. Description of the Related Art
  • Reliably producing nanometer-sized features is one of the key technologies for the next generation of very large scale integration (VLSI) and ultra large scale integration (ULSI) of semiconductor devices. However, as the fringes of circuit technology are pressed, the shrinking dimensions of interconnects in VLSI and ULSI technology have placed additional demands on the processing capabilities. Contact metallization and multilevel interconnect metallization lie at the heart of this technology require precise processing of high aspect ratio features, such as contacts, vias and other interconnects. Reliable formation of these features is very important to VLSI and ULSI success and to the continued effort to increase circuit density and quality of individual substrates.
  • As circuit densities increase, the widths of vias, apertures, trenches, contacts and other features, as well as the dielectric materials between them, decrease to nanometer dimensions, whereas the thickness of the dielectric layers remains substantially constant, with the result that the aspect ratios for the features, i.e., their height divided by width, increases. Many traditional deposition processes have difficulty filling nanometer-sized structures where the aspect ratio exceeds 4:1, and particularly where the aspect ratio exceeds 10:1. Therefore, there is a great amount of ongoing effort being directed at the formation of substantially void-free, nanometer-sized features having high aspect ratios.
  • Currently, copper and copper alloys have become the metals of choice for nanometer-sized interconnect technology because copper has a lower electrical resistivity than aluminum, (about 1.7 μΩ-cm compared to about 3.1 μΩ-cm for aluminum), a higher current carrying capacity, and significantly higher electromigration resistance. These characteristics are important for supporting the higher current densities experienced at high levels of integration and increased device speed. Further, copper has a good thermal conductivity and is available in a highly pure state.
  • Electroless deposition involves an autocatalyzed chemical deposition process that does not require an applied current to induce chemical reduction. An electroless deposition process typically involves exposing a substrate to a solution by immersing the substrate in a bath or by spraying the solution over the substrate. An electroless deposition process of a material within nanotechnology requires a surface capable of electron transfer for nucleation of the material to occur over the surface, such as a catalytic seed layer. Non-metal surfaces and oxidized surfaces are examples of surfaces which cannot participate in electron transfer. Barrier layers comprising tantalum, tantalum nitride, titanium and titanium nitride are poor surfaces for nucleation of a subsequently electrolessly deposited material layer since native oxides of these barrier layer materials are easily formed. Typically, an electroless deposition process utilizes a seed layer as both a catalytic surface as well as an adhesion surface. A seed layer may serve as a surface capable of electron transfer during an electroless deposition process to deposit the electroless layer. However, if there are discontinuities in the seed layer across the surface, then a subsequently deposited layer may not form uniformly cover the seed layer. Also, a seed layer functions as an adhesion layer to the underlying barrier layer or contact surface. For example, an electroless layer deposited on a tantalum nitride barrier layer without an intermediate adhesion seed layer is easily peeled from a substrate surface during a standard tape test.
  • To form typical contact and via level device features requires the use of multiple systems that are adapted to perform many different processes, which requires a large outlay of money to buy these tools and provide a clean room space to perform these processes. In one example, a process used to fill a device feature formed on the substrate after conventional lithographic and etching techniques have been performed on the substrate, include: 1) depositing a barrier layer in a PVD and/or ALD cluster tool, 2) depositing a seed layer over the barrier layer in the same or different cluster tool, 3) filling a feature in an electrochemical plating cell or performing a CVD fill process in another cluster tool, and 4) chemical mechanical polishing (CMP) of the deposited layer on the field region of the substrate in another cluster tool. The cost of ownership, which is affected by the cost of consumables used to keep each of these cluster tools running and the semiconductor fab space used to house all of these cluster tools, is very expensive for this process sequence, thus making it less competitive. Also, one challenge is to fill very small features of varying depths and widths using this type of process sequence. During typical PVD type device fabrication processes, the PVD deposited material will form regions that overhang the opening of the small features, which can hinder or prevent good gap fill of these features. Further, the cost of consumables used to complete this process sequence, particularly during planarization processes, is high due to the amount of copper that needs to be removed during these steps.
  • Therefore, there exists a need to reliably fill a feature on a substrate that can be free of defects and can reduce the overall production cost to form these desirable devices.
  • SUMMARY OF THE INVENTION
  • The present invention generally provides a method of processing a substrate in a substrate processing platform, comprising removing a portion of a layer formed on a surface of substrate using a material removal process, and filing a feature formed on the substrate using an electroless deposition process after removing the portion of the layer formed on the surface of the substrate.
  • Embodiments of the invention may further provide a method of processing a substrate in a substrate processing platform, comprising filing one or more recesses formed on a surface of the substrate with an electrolessly deposited metal layer, and inhibiting the growth of the electrolessly deposited metal layer generally above the top of the recesses formed in the surface of the substrate using a first electrode, a counter electrode and a power supply that is adapted to bias the first electrode relative to the counter electrode, wherein the first electrode is in electrical communication with at least a portion of the metal layer during at least a portion of the electroless deposition process.
  • Embodiments of the invention may further provide a cluster tool that is adapted to fill a substrate feature on a surface of a substrate, comprising at least one material removal chamber that is adapted to preferentially remove a metal layer from a field region rather than one or more recessed features formed on the surface of a substrate, and at least one electroless plating cell that is adapted to deposit an electrolessly deposited layer on a surface of the substrate.
  • Embodiments of the invention may further provide a cluster tool that is adapted to fill a substrate feature on a surface of a substrate, comprising at least one electroless plating cell that is adapted to deposit an electrolessly deposited layer on a surface of the substrate and preferentially inhibit growth the electrolessly deposited layer on a field region on the surface of a substrate, and at least one cleaning module.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1 illustrates a transferring sequence according to one embodiment described herein.
  • FIGS. 2A-2F illustrate schematic cross-sectional views of an integrated circuit fabrication sequence formed by a process described herein.
  • FIG. 3 illustrates a process sequence according to one embodiment described herein.
  • FIG. 4 is a schematic plan view of an exemplary deposition system.
  • FIGS. 5A-5B illustrate a side cross-sectional view of an electroless processing chamber according to one embodiment described herein.
  • FIGS. 6A-6B illustrate a side cross-sectional view of an electroless processing chamber according to one embodiment described herein.
  • For clarity, identical reference numerals have been used, where applicable, to designate identical elements that are common between figures.
  • DETAILED DESCRIPTION
  • Embodiments of the invention generally provide a cluster tool that is configured to fill features formed on a substrate. An example of a typical substrate transferring sequence for a hybrid electroless/material removal platform is illustrated in FIG. 1, which is discussed below. More particularly, embodiments of the invention allow for the filling of interconnect or contact level features using one or more electroless fill process steps. A typical sequence for forming an interconnect includes depositing one or more non-conductive layers, etching at least one of the layer(s) to form one or more features therein, depositing a barrier layer in the feature(s) and depositing one or more conductive layers, such as copper, to fill the feature.
  • FIGS. 2A-2F illustrate a cross-sectional view of a feature 102 as the various processing steps of a process sequence 110 (FIG. 3) are performed on a substrate 100. FIG. 2A illustrates a cross-sectional view of substrate 100 having a field region 105 and a feature 102 formed into a dielectric layer 101 on the surface of the substrate 100. Substrate 100 may comprise a semiconductor material such as, for example, silicon, germanium, or silicon germanium, for example. The dielectric layer 101 may be an insulating material, such as silicon dioxide, silicon nitride, SOI, silicon oxynitride and/or carbon-doped silicon oxides, such as SiOxCy, for example, BLACK DIAMOND™ low-k dielectric, available from Applied Materials, Inc., located in Santa Clara, Calif. Feature 102 may be formed in substrate 100 using conventional lithography and etching techniques to expose a layer 103. In general, if the feature 102 is formed at the contact level the layer 103 may be a heavily doped silicon material or a metal silicide layer. If the feature 102 is formed in the interconnect levels (e.g., M1 and above) the layer 103 may contain copper, tungsten, aluminum, nickel, titanium, tantalum, cobalt or alloys thereof.
  • To prevent copper diffusion into dielectric layer 101, barrier layer 104 may be formed on the dielectric layer 101 and in feature 102 (step 112 in FIG. 3), as depicted in FIG. 2B. Barrier layer 104 may be formed using a suitable deposition process including atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD) or combinations thereof. In one embodiment, barrier layer 104 may be formed by a chamber of the cluster tool 200 (FIG. 4) discussed below. In one aspect, the substrate may be placed into a plasma enhanced ALD (PE-ALD), a plasma enhanced CVD (PE-CVD) or high density plasma CVD (HDP-CVD) chamber, such as the ULTIMA HDP-CVD™, Centura iSprint™ or Endura iLB™ systems, available from Applied Materials Inc., located in Santa Clara, Calif.
  • In one embodiment, where the feature 102 is formed at the contact level on the substrate 100, the barrier layer 104 may performed using a physical vapor deposition (PVD), chemical vapor deposition (CVD) or atomic layer deposition (ALD) deposition process. The barrier layer 104 in this case may be a single deposited layer, or multiple deposited layers, containing ruthenium (Ru), titanium (Ti), titanium nitride (TiN), tungsten (W), tungsten nitride (WN), Tantalum (Ta), tantalum nitride (TaN) or other alloy containing these materials. In one aspect, the single deposited layer or multiple deposited layer stack may contain a Blok™ layer that generally containing SiCN, which is deposited using a CVD process. In one aspect, the multiple deposited layer stack may contain a first layer that is titanium (Ti) and a second layer, which is deposited on the first layer, containing titanium nitride (TiN), tungsten nitride (WN), or tantalum nitride (TaN). In another aspect, the multiple deposited layer stack may contain a first layer that is titanium (Ti), a second layer, which is deposited on the first layer, containing titanium nitride (TiN), tungsten nitride (WN), or tantalum nitride (TaN), and a third layer that may contain titanium (Ti), tantalum (Ta) or tungsten (W) to help promote adhesion. In yet another aspect, the multiple deposited layer stack may have a first layer that contains tantalum (e.g., Ta, TaN) and a second layer that contains copper (Cu).
  • In one embodiment, where the feature 102 is formed in an interconnect level on the substrate 100 the barrier layer 104 may performed using a physical vapor deposition (PVD), chemical vapor deposition (CVD), or atomic layer deposition (ALD) process. The barrier layer 104 in this case may be a single layer or multiple layer stack containing ruthenium (Ru), titanium (Ti), titanium nitride (TiN), tungsten (W), tungsten nitride (WN), Tantalum (Ta), tantalum nitride (TaN) or other alloy containing these materials. In one aspect, the multiple layer stack may contain a Blok™ layer containing SiCN, which is deposited using a CVD process, over a metal containing barrier layer. In one aspect, the multiple deposited layer stack may contain a first layer that is titanium (Ti) and a second layer, which is deposited on the first layer, containing titanium nitride (TiN), tungsten nitride (WN), or tantalum nitride (TaN). In yet another aspect, the multiple deposited layer stack may have a first layer that contains tantalum (e.g., Ta, TaN) and a second layer that contains copper (Cu). In one aspect, the deposited barrier layer 104 may be about 10 to about 250 Angstroms (Å) thick.
  • The next step 114, illustrated in FIGS. 2C and 3, includes the deposition of an adhesion-promoting layer 106. To form an adhesion-promoting layer 106, the layer may be deposited on the barrier layer 104 using a physical vapor deposition (PVD), chemical vapor deposition (CVD), electroless deposition or atomic layer deposition (ALD) deposition processes. In one embodiment, the adhesion-promoting layer 106 deposition process may be conducted in the same deposition chamber as the barrier layer deposition process, described above. In one aspect, the adhesion-promoting layer 106 may be a copper (Cu) layer, a ruthenium (Ru) layer, a palladium (Pd) layer, a nickel (Ni) layer, a cobalt (Co) layer, or a layer that is an alloy containing one or more of these elements. In one aspect, the deposited adhesion-promoting layer 106 is about 10 to about 250 Angstroms (Å) thick.
  • The next step 116, illustrated in FIGS. 2D and 3, includes the removal of a portion of the adhesion-promoting layer 106 from the field region 105 by use of a material removal process, or planarization process, such as an electrochemical process or chemical mechanical polishing process (CMP). The removal of the adhesion-promoting layer 106 is generally performed to limit the growth of subsequently deposited layers on the field region 105 of the substrate 100. It should be noted that growth of the subsequent electrolessly deposited layers on the exposed feature 102 will generally be minimal, since typical barrier layers readily oxidize and thus will generally not participate in the subsequent electroless deposition process(es).
  • In one aspect, the removal of a portion of the adhesion-promoting layer 106 during the material removal process is performed by use of a planarization process which should be broadly construed and includes, but is not limited to, planarizing a substrate by the application of chemical, mechanical or electrochemical activity. In one aspect, the removal of a portion of the adhesion-promoting layer 106 during the material removal process is performed by use of an electropolishing process which should be broadly construed and includes, but is not limited to, planarizing a substrate by the application of electrochemical activity. In another aspect, the removal of a portion of the adhesion-promoting layer 106 during the material removal process is performed by use of a chemical polishing which is broadly defined, but is not limited to, planarizing a substrate surface using chemical activity. In another aspect, the removal of a portion of the adhesion-promoting layer 106 during the material removal process is performed by use of a CMP process which is broadly construed and includes, but is not limited to, planarizing a substrate by the application of mechanical activity (e.g., use of an abrasive medium) and chemical activity, or a combination of chemical and mechanical activity.
  • In one aspect, the electrochemical process used to remove a portion of the adhesion-promoting layer 106 is an electrochemical mechanical polishing (ECMP) process which is broadly construed and includes, but is not limited to, planarizing a substrate by the application of electrochemical activity, mechanical activity, chemical activity, or a combination of electrochemical, chemical, and mechanical activity to remove a material from a substrate surface. In one aspect, an ECMP processes is preferred since the material is generally selectively removed from the field region 105 of the substrate 100, rather than from the feature 102. The need for selective removal can be critical where the thickness of the adhesion-promoting layer 106 is rather thin, such as about 10 to about 250 Angstroms (Å). In one aspect, the ECMP process is performed in a Reflexion LK Ecmp™ processing system, available from Applied Materials Inc., located in Santa Clara, Calif. An ECMP chamber and chemistry that may be adapted to perform various aspects of the invention described herein is further described in U.S. patent application Ser. No. 10/456,220, filed Jun. 6, 2003 and U.S. patent application Ser. No. 11/123,274, filed May 5, 2005, which are both incorporated by reference in their entirety to the extent not inconsistent with the claimed aspects of the invention.
  • In one embodiment of the process sequence 110, the process step 116 is adapted to remove the adhesion-promoting layer 106 and barrier layer 104 from the field region 105 by use of a material removal process, such as an electropolishing process, a chemical polishing process, a CMP process and/or an ECMP process as discussed above.
  • In one embodiment of the process sequence 110, subsequent to step 116 and prior to step 118, a clean process, such as a megasonic clean process or brush clean process may be performed to remove any material trapped in the features 102.
  • The next step 118, illustrated in FIGS. 2E and 3, includes the filling of the feature 102 with a metal layer 108 by use of electroless deposition process. In one aspect, the feature is preferentially filled from the bottom of the feature 102 until the layer is about level with the field region 105 (e.g., bottom up fill). In one aspect, the metal layer 108 may be a copper (Cu) layer, a cobalt (Co) layer, a nickel (Ni) layer, or a layer that is an alloy containing one or more of these elements. In one aspect, the feature is filed using a multilayer fill process in which two or more layers are sequentially deposited to fill the feature. An exemplary electroless fill processes and electroless chemistries that may be adapted to perform various aspects of the invention described herein is further described in U.S. Provisional Patent Application Ser. No. 60/709,564, filed Aug. 19, 2005 [APPM 9916L05], U.S. patent application Ser. No. 11/385,290, filed Mar. 20, 2006 [APPM 9916], U.S. patent application Ser. No. 11/385,037 [APPM 9920], filed Mar. 20, 2006, U.S. patent application Ser. No. 11/385,344 [APPM 9916.03], filed Mar. 20, 2006, and U.S. patent application Ser. No. 11/385,038 [APPM 9920.02], filed Mar. 20, 2006, which are all incorporated by reference in their entirety to the extent not inconsistent with the claimed aspects of the invention. In general, the metal layer 108 may be electrolessly deposited using an electroless deposition solution that contains one or more metal ion sources and a reducing agent that allows the deposition of a layer that contains one or more metals. In one aspect, one of the metals ions is a copper ion and the other metal ion(s) are a metal selected from a group consisting of aluminum (Al), indium (In), molybdenum (Mo), tungsten (W), manganese (Mn), cobalt (Co), tin (Sn), nickel (Ni), magnesium (Mg), rhenium (Rh), beryllium (Be), phosphorus (P), boron (B), gallium (Ga), or ruthenium (Ru). In one aspect, a metal alloying element that is more electropositive than copper may be beneficial to improve the oxidation resistance and corrosion resistance of the deposited film.
  • In one aspect, the metal layer 108 is deposited by an electroless deposition process to fill feature 102 from the bottom-up. Features 102 are filled with metal material while avoiding defects (e.g., seams, voids or gaps) within metal layer 108. The bottom-up fill electroless deposition process utilizes an electroless solution containing a metal ion source and at least one additive, such as an accelerator, a suppressor, a leveler or combinations thereof. FIG. 2E illustrates metal layer 108 deposited over the surface of feature 102. In one aspect, the metal layer 108 is a copper-containing layer is formed from copper or a copper alloy. An exemplary chemistry and method for performing a bottom fill process that may be adapted to perform various aspects of the invention described herein is further described in U.S. patent application Ser. No. 11/385,344 [APPM 9916.03], filed Mar. 20, 2006, U.S. patent application Ser. No. 11/385,037 [APPM 9920], filed Mar. 20, 2006, and U.S. Provisional Patent Application Ser. No. 60/663,492, filed Mar. 18, 2005, which are incorporated by reference in their entirety to the extent not inconsistent with the claimed aspects of the invention.
  • In general, levelers within the bottom-up fill electroless solution are used to achieve different deposition thickness as a function of leveler concentration and feature geometry while depositing metal layer 108. The leveler within the electroless deposition solution may have a concentration in a range from about 20 ppb to about 600 ppm, preferably from about 100 ppb to about 100 ppm. Examples of levelers that may be employed in an electroless solution include, but are not limited to alkylpolyimines and organic sulfonates, such as 1-(2-hydroxyethyl)-2-imidazolidinethione (HIT), 4-mercaptopyridine, 2-mercaptothiazoline, ethylene thiourea, thiourea, or derivatives thereof.
  • The electroless deposition solution may contain brighteners or accelerators and suppressors as alternative additives to provide further control of the deposition process. The role of accelerators is to enhance the growth of the metal layer 108 that is in contact with the bottom-up electroless solution. The accelerator within the electroless deposition solution has a concentration in a range from about 20 ppb to about 600 ppm, preferably from about 100 ppb to about 100 ppm. Accelerators that are useful in an electroless solution for depositing metal layer 108 may include sulfur-based compounds such as bis(3-sulfopropyl) disulfide (SPS), 3-mercapto-1-propane sulfonic acid (MPSA), aminoethane sulfonic acids, thiourea, derivatives thereof, and combinations thereof. Suppressors are used to suppress copper deposition by initially adsorbing onto underlying catalytic surfaces (e.g., adhesion-promoting layer 106) and therefore blocking access to the catalyst of the reaction. Suppressors generally may include polyethylene glycol (PEG), polypropylene glycol (PPG), polyoxyethylene-polyoxypropylene copolymer (POCP), benzotriazole (BTA), dipyridyl, dimethyl dipyridyl, derivatives thereof, or combinations thereof. The suppressor within the electroless deposition solution has a concentration in a range from about 20 ppb to about 600 ppm, preferably from about 100 ppb to about 100 ppm.
  • In one embodiment, the metal ion source within the electroless deposition solution may have a concentration in a range from about 5 mM to about 100 mM, preferably from about 25 mM to about 75 mM. In one aspect, the metal ion is a copper ion (e.g., Cu1+ or Cu2+) dissolved within the electroless solution to be reduced out as a deposited copper-containing material. Useful copper sources include copper sulfate, copper chloride, copper acetate, copper phosphate, derivatives thereof, hydrates thereof, or combinations thereof. In one aspect, the metal ion is a nickel ion dissolved within the electroless solution to be reduced out as a deposited nickel-containing material. Useful nickel sources include nickel sulfate, nickel chloride, nickel acetate, nickel phosphate, derivatives thereof, hydrates thereof, or combinations thereof.
  • In another aspect, the metal layer 108 is a cobalt containing layer. In one aspect, the selective deposition process is performed using an electroless deposition process to selectively deposit a layer that contains, for example, a cobalt-tungsten alloy (e.g., CoW, CoWP, CoWB, CoWPB). An example of an electroless solution used to deposit a cobalt-tungsten alloy may contain a cobalt source, a tungsten source, a citrate source, a hypophosphite source, a borane reductant, and other additives. Other electroless deposition solutions that may be used to deposit a cobalt-tungsten alloy are further described in the commonly assigned U.S. patent application Ser. No. 10/967,919, entitled, “Selective Self-initiating Electroless Capping of Copper with Cobalt-containing Alloys,” filed on Oct. 18, 2004, which is incorporated by reference to the extent not inconsistent with the claimed aspects and description herein.
  • The next step 120, illustrated in FIGS. 2F and 3, includes the removal of the barrier layer 104 from the field region 105 by use of a material removal process, such as an electrochemical process or chemical mechanical polishing process (CMP). If the barrier layer is removed during process step 116 this process step may not be needed and can thus be left out. In one aspect, this process step includes the process of removing any over plating leftover after performing the deposition of the metal layer 108. An ECMP chamber and chemistry that may be used to remove a barrier layer and thus may be adapted to perform various aspects of the invention described herein is further described in U.S. patent application Ser. No. 11/130,032, filed May 16, 2005 and U.S. Provisional Patent Application Ser. No. 60/650,676, filed Feb. 7, 2005, which are both incorporated by reference in their entirety to the extent not inconsistent with the claimed aspects of the invention.
  • In one aspect, the removal of a portion of the barrier layer 104 is performed by use of an electropolishing process, chemical polishing process, CMP process and/or ECMP process as discussed above in step 116. In one aspect, an ECMP processes is preferred since the material is generally selectively removed from the field region 105 of the substrate 100, rather than from the feature 102. The need for selective removal can be critical where the thickness of the barrier layer 104 is rather thin, such as about 10 to about 250 Angstroms (Å). In one aspect, the ECMP process is performed in a Reflexion LK Ecmp™ processing system, available from Applied Materials Inc., located in Santa Clara, Calif.
  • In one aspect, a CMP process is used to remove the barrier layer 104. In this configuration it may be desirable to use at least one polishing platen and at least one chemistry to remove the desired layer(s) and prevent scratching.
  • In one embodiment of the process sequence 110, subsequent to step 120 and prior to step 122, an electroless capping layer deposition process is performed over the filled features 102. In one aspect, the capping layer deposition process is performed using an electroless deposition process to selectively deposit a layer that contains, for example, a cobalt-tungsten alloy (e.g., CoW, CoWP, CoWB, CoWPB). An example of an electroless solution used to deposit a cobalt-tungsten alloy may contain a cobalt source, a tungsten source, a citrate source, a hypophosphite source, a borane reductant, and other additives.
  • The next step 122, illustrated in FIG. 3, optionally includes the process of cleaning and/or drying the substrate 100 after all the process steps in the process sequence 110 have been performed. The clean step 122 may be performed by applying a clean solution to the substrate structure, scrubbing the surface of the substrate with a brush like material and/or applying sonic energy to the substrate structure to remove any excess material that may be present on the exposed portion of the substrate 100. The use of a brush module to clean a substrate may be especially useful when CMP or ECMP processes are used that contain a slurry component. In one embodiment, the clean solution may include one or more acids (e.g., citric acid). One example of a post-deposition clean solution is an ElectraClean™ solution, available from Applied Materials Inc. of Santa Clara, Calif. or a CX-100 solution available from Wako Chemicals USA, Inc. of Richmond, Va. In one embodiment, the cleaning process, or processes, is performed in a spin rinse dry (SRD) chamber, integrated bevel clean (IBC) chamber, Dessica™ brush clean module, or vapor dry module commonly found in a Reflexion CMPTM system or SlimCell ECP™ system, which are available from available from Applied Materials Inc., located in Santa Clara, Calif. In one example of process step 122, the substrate is cleaned by exposing one or more surfaces of the substrate to a cleaning solution to remove any accumulated material therefrom and then performing a drying process. In one aspect, the cleaning solution is a high resistivity deionized water solution that is delivered to the processing surface of the substrate. One example of an SRD chamber that may be adapted to perform step 122 is further described in the commonly assigned U.S. Pat. No. 6,290,865, which is incorporated by reference herein in its entirety.
  • In one aspect of process step 122, the bevel edge of the substrate may be cleaned to remove any accumulated material therefrom (often called the edge bead) by providing an etchant solution. One example of an etchant solution includes a solution of sulfuric acid, hydrogen peroxide and deionized water. Another example of an etchant solution further includes HCl and/or nitric acid. One apparatus and method of cleaning the bevel edge, or edge bead removal chamber, is disclosed in U.S. Pat. No. 6,516,815, entitled “Edge Bead Removal/Spin Rinse Dry (EBR/SRD) Module,” which is incorporated by reference to the extent not inconsistent with the present disclosure. Another apparatus and method of cleaning the bevel edge is disclosed in U.S. patent application Ser. No. 09/785,815, entitled “Integrated Semiconductor Substrate Bevel Cleaning Apparatus and Method,” which is incorporated by reference in its entirety to the extent not inconsistent with the present disclosure.
  • In another aspect of process step 122, a vapor drying step may be performed by itself or in conjunction with the SRD and/or bevel edge cleaning steps. Vapor drying generally includes introducing a surface tension-reducing volatile compound, such as a volatile organic compound (VOC), to the substrate structure as it is removed from a bath. For example, a VOC may be introduced with a carrier gas (e.g., nitrogen gas) in the vicinity of the liquid adhering to a substrate structure. The introduction of the VOC results in surface tension gradients which cause the liquid to flow off of the substrate, leaving it dry. In one embodiment, the VOC is isopropyl alcohol (IPA). In one embodiment, the liquid is deionized water (i.e., DI Water). In other embodiments, the VOC may be other alcohols, ketones, ethers, or other suitable compounds. Examples of exemplary vapor drying processes are further described in the commonly assigned U.S. Pat. No. 6,328,814, filed Mar. 26, 1999 [AMAT No. 2894/CMP/RKK] and U.S. patent application Ser. No. 10/737,732, entitled “Scrubber With Integrated Vertical Marangoni Drying”, filed Dec. 16, 2003, which is incorporated by reference in its entirety to the extent not inconsistent with the present disclosure.
  • General Cluster Tool Description
  • Various embodiments of a cluster tool and process chambers that may be adapted to perform the at least two of the process steps described above in FIGS. 2A-F and 3 is described below. In one embodiment, the cluster tool generally contains a wet processing platform in communication with a substrate loading area and together with the loading area, comprises a substrate plating system. The loading area, or “dry side”, is generally configured to receive substrate-containing cassettes and transfer substrates received from the cassettes to the wet processing platform for wet processing. The loading area typically includes “dry side” processing chambers for treatment of substrates before and/or after wet processing, such as barrier layer deposition chambers and anneal chambers. The dry side may also contain a robot configured to transfer substrates between the cassettes, the wet processing platform, and the dry side processing chambers. The wet processing platform generally includes at least one substrate transfer robot and a plurality of substrate processing chambers, e.g., electroless plating cells, ECMP chambers, ECP cells, IBC chambers, SRD chambers, etc. The various embodiments may include different combinations of wet and dry substrate-processing chambers. In one aspect, the cluster tool will allow for pre-treatment of a dry substrate, such as barrier layer deposition (e.g., PVD, ALD or CVD chambers), wet processing of the substrate, such as adhesion-layer deposition, electrochemical and/or electroless gap fill, and surface and/or bevel cleaning and drying, and in some cases post-deposition processing, such as anneal.
  • FIG. 4 illustrates an exemplary electroless cluster tool 200. Cluster tool 200 includes a factory interface 230 that includes a plurality of substrate loading stations 234 configured to interface with and retain substrate containing cassettes (hereafter referred to as cassettes). A factory interface robot 232 is positioned in the factory interface 230 and is configured to access and transfer substrates 226 into and out of the cassettes positioned on the loading stations 234. The robot 232 also extends into a link tunnel 215 that connects the factory interface 230 to a wet processing platform (i.e., platform 213). The position of robot 232 allows for access to loading stations 234 to retrieve substrates therefrom, and to then deliver the substrates 226 to an in-station 972 (not shown in FIG. 4 for clarity) positioned on the platform 213 and typically located above processing cell location 214. Similarly, robot 232 may be used to transfer a substrate 226 into or out of processing cell locations 214 and 216 or station 235. Station 235 may include one or more stacked dry process chambers, such as anneal, barrier layer deposition, adhesion-layer deposition or even dry etch chambers. Barrier layer and adhesion-layer deposition take place prior to wet processing of a substrate and the annealing process typically takes place after wet processing. An anneal chamber that may be adapted to perform various aspects of the invention described herein is further described in U.S. patent application Ser. No. 10/996,342, filed Nov. 22, 2004, which is incorporated by reference in its entirety to the extent not inconsistent with the claimed aspects of the invention. When removing substrate 226 from locations 214, 216, or 235, robot 232 may then deliver the clean, dry substrate 226 back to one of the cassettes positioned on the loading stations 234 for removal from cluster tool 200.
  • Wet processing platform 213, also referred to as the mainframe, includes a centrally positioned mainframe robot 220. Mainframe robot 220 generally includes one or more blades 222 and 224 configured to support and transfer substrates. Additionally, mainframe robot 220 and the accompanying blades 222 and 224 are generally configured to independently extend, rotate, pivot, and vertically move so that the mainframe robot 220 may simultaneously insert and remove substrates to/from the plurality of processing cell locations 202, 204, 206, 208, 210, 212, 214 or 216 positioned on platform 213. Similarly, factory interface robot 232 also includes the ability to rotate, extend, pivot, and vertically move its substrate support blade, while also allowing for linear travel along the robot track 250 that extends from the factory interface 230 to the platform 213.
  • Generally, the processing cell locations 202, 204, 206, 208, 210, 212, 214, or 216 may be any of a number of processing chambers utilized in a substrate processing system. More particularly, the processing chambers on the integrated wet processing platform may be configured as material removal process chambers (e.g., ECMP cells, CMP platen, electropolishing cells), rinsing chambers, IBC chambers, SRD chambers, substrate surface cleaning chambers (which collectively includes cleaning, rinsing, and etching chambers), electroless plating chambers (which includes pre- and post-clean chambers, electroless activation chambers, electroless deposition chambers, etc.), brush box chambers and vapor drier chambers. Each of the various configurations of the wet processing platform and the factory interface will be discussed below.
  • Each of the respective processing cell locations 202, 204, 206, 208, 210, 212, 214 and 216 and robots 220 and 232 are generally in communication with a process controller 211, which may be a microprocessor-based control system configured to receive inputs from both a user and/or various sensors positioned on the cluster tool 200 and appropriately control the operation of cluster tool 200 in accordance with the inputs and/or a predetermined processing recipe. Additionally, the processing cell locations 202, 204, 206, 208, 210, 212, 214 and 216 are also in communication with a fluid delivery system (not shown) configured to supply the necessary processing fluids to the respective processing cell locations during processing, which is also generally under the control of system controller 211. An exemplary processing fluid delivery system may be found in commonly assigned U.S. patent application Ser. No. 10/438,624, entitled “Multi-Chemistry Electrochemical Processing System,” filed on May 14, 2003, which is hereby incorporated by reference in its entirety to the extent not inconsistent with the present invention.
  • Cluster Tool Configurations
  • In an effort to provide a cluster tool that can perform the process described in FIGS. 2A-F and 3, various embodiments of cluster tools may be created. These embodiments are capable of performing one or more of the above processes with high throughput, low defects, minimal oxidation of copper interconnect features and superior adhesion between deposited layers.
  • A. Cluster Tool Configuration
  • One embodiment, as illustrated in FIG. 4, of a cluster tool 200 generally includes an electroless plating cell, ECMP processing cell, and an optional clean chamber(s). In one embodiment, the cluster tool 200 contains a CMP type processing chamber. In one aspect, the clean chambers are a bevel clean, vapor dry and/or spin-rinse drying type processing chambers. Optionally, the cluster tool may include an ALD barrier processing chamber and/or adhesion-layer deposition processing chambers prior to performing wet processing. Optionally, it may also include a plasma-enhanced dry etch chamber for removal of native oxide prior to barrier or adhesion-layer deposition. This configuration of plating cluster tool 200 allows the sequential deposition of multiple films on a substrate within a single cluster tool, such as an ALD or CVD barrier layer formed on substrate structures, such as tantalum nitride (TaN), an electroless copper fill layer formed on the substrate structures or a barrier layer, and lastly a clean of the features on the substrate. In one embodiment, the adhesion-layer 106 is a Ruthenium-containing layer deposited without the use of carbon-containing precursors, using a process described in the commonly assigned U.S. patent application Ser. No. 11/228,425, filed Sep. 15 2005, and U.S. Provisional Patent Application entitled “Patterned Electroless Metallization Processes For Large Area Electronics” [APPM 10254L] by T. Weidman and filed Sep. 8, 2005, which are all herein incorporated by reference. Barrier layer, seed layer and gap fill deposition are ordinarily performed by separate substrate processing systems, increasing total substrate processing time and expense. Also, this configuration of plating cluster tool 200 deposits metal layers with improved electrical properties, better defect performance and greater adhesion than metal layers formed on a substrate via multiple substrate processing systems. The sequential formation of the processes described in FIGS. 2A-F in a controlled environment will result in fewer defects compared to processing substrates in multiple processing systems. Also, the use of ruthenium-containing adhesion-layers can also offer superior adhesion to subsequent metal layers over the prior art. Hence, this configuration provides better device performance, at a lower cost per substrate processed, and the process is less complicated than conventional systems.
  • B. Description of Cluster Tool Configuration
  • FIG. 4 illustrates one embodiment of an exemplary cluster tool 200. In this embodiment, station 235 may be configured as an ALD or CVD chamber for the deposition of a barrier layer and/or adhesion-layer prior to wet processing. Referring to FIG. 4, processing locations 214 and 216 may be configured as an interface between wet processing platform 213 and the generally dry processing stations positioned in factory interface 230 of the plating cluster tool 200. As such, substrates are introduced into platform 213 by being placed in a holding location, know as an in-station (not shown) which holds substrates for future wet processing. The in-station is typically located above or below processing stations 214 and 216. In this configuration, the processing stations 214 and 216 may include a vapor dry chamber or SRD chamber that is adapted to perform the final wet processing steps on a substrate before the substrate leaves platform 213. In one aspect, the processing station 214 is an SRD chamber and 216 is a vapor dry chamber that is adapted to perform the final wet processing steps on a substrate before the substrate leaves platform 213. A spin rinse dry (SRD) chamber, integrated bevel clean (IBC) chamber, Desica™ brush clean module, or vapor dry module are commonly found in a Reflexion CMP™ system or SlimCell ECP™ systems which are available from available from Applied Materials Inc., located in Santa Clara, Calif. Examples of exemplary vapor drying processes are further described in the commonly assigned U.S. Pat. No. 6,328,814, filed Mar. 26, 1999 [AMAT No. 2894/CMP/RKK] and U.S. patent application Ser. No. 10/737,732, entitled “Scrubber With Integrated Vertical Marangoni Drying”, filed Dec. 16, 2003, which is incorporated by reference in its entirety to the extent not inconsistent with the present disclosure.
  • In one embodiment of cluster tool 200, the processing locations 202 and 210 contain electroless plating cells, the processing locations 204 and 212 contain ECMP cells that are adapted to remove adhesion-layer 106, and the processing locations 206 and 208 contain ECMP cells that are adapted to remove the barrier-layer 104. In this configuration the process chemistry used in the ECMP cells that are adapted to the barrier layer 104 and the ECMP cells that are adapted to remove the adhesion-layer 106 may have different chemistries which are used to enhance the removal of the desired type of material. In another embodiment, processing locations 202 and 204, and 210 and 212 are electroless plating twin cells, and locations 206 and 208 are ECMP chambers that are adapted to remove both the adhesion-layer 106 and the barrier-layer 104. In yet another embodiment, processing locations 202, 206, and 210 are electroless plating cell, and processing locations 204, 208 and 212 are ECMP chambers that are adapted to remove both the adhesion-layer 106 and the barrier-layer 104. The configurations of the processing chambers in the various processing locations 202, 204, 206, 208, 210 and 212 may be rearranged without affecting the functionality of the invention and are defined above only for purposes of description. In one embodiment, between the processing locations 202/204, 210/212, and 206/208 which may be contained by a processing enclosure 302, a substrate transfer shuttle 605 that is adapted to transfer substrates between the first and second processing stations inside each enclosure 302. Exemplary electroless plating cells are further described in U.S. patent application Ser. No. 10/059,572, filed Jan. 28, 2002 [AMAT No. 5840.03], U.S. patent application Ser. No. 10/996,342, filed Nov. 22, 2004, and U.S. patent application Ser. No. 11/192,993 [APPM 8707.P1], filed Jul. 29, 2005 which is incorporated by reference in its entirety to the extent not inconsistent with the present disclosure. An ECMP chamber that may be adapted to perform various aspects of the invention described herein is further described in U.S. patent application Ser. No. 10/456,220, filed Jun. 6, 2003 and U.S. patent application Ser. No. 11/123,274, filed May 5, 2005, which are both incorporated by reference in their entirety to the extent not inconsistent with the claimed aspects of the invention.
  • Process Sequence
  • An example of a typical substrate transferring sequence for a hybrid electroless/material removal platform is illustrated in FIG. 1 which is used to complete the processing sequence illustrated in the flow chart illustrated in FIG. 3. As noted above, in one exemplary hybrid electroless/electrochemical plating platform configuration, which is used here to illustrate one embodiment of the present invention, the cluster tool may contain electroless plating cells in processing locations 202 and 210, a adhesion-layer removal ECMP cells in the processing locations 204 and 212, a barrier layer ECMP (or CMP) processing cell in processing locations 206 and 208, an SRD in the processing location 214, and a vapor dry chamber in the processing location 216. The vapor dry chamber in the processing location 216 is adapted to perform the final wet processing steps on a substrate before the substrate leaves platform 213 (FIG. 4). Optionally, station 235 is configured as a barrier layer ALD/CVD chamber.
  • In step 1000, an optional substrate pre-treatment step is performed, where with a barrier layer (element 104 in FIGS. 2B-E) and an adhesion-promoting layer (element 106 in FIGS. 2B-E) are deposited on the substrate in station 235 prior to wet processing. If it is not desirable to form the barrier layer and an adhesion-layer in the cluster tool 200, then these steps may be performed in other cluster tools, such as the ULTIMA HDP-CVD™, Centura iSprint™ or Endura iLB™ systems, available from Applied Materials Inc., located in Santa Clara, Calif.
  • In step 1002, factory interface robot 232, also known as the “dry” robot, removes the substrate from the station 235 and places the substrate at the in-station associated with processing location 214 or 216.
  • In step 1004, mainframe robot 220, also known as the “wet” robot, transfers the substrate to a process chamber positioned in one of the locations 204 or 212, where an adhesion-layer material removal process (e.g., planarization process) is preformed, such as a CMP or ECMP process.
  • In one embodiment of the invention, subsequent to the planarization process a clean process, such as a megasonic clean process or brush clean process may be performed to remove any material trapped in the features.
  • In step 1006, in one embodiment, the substrate is transferred between processing locations 204 or 212 to processing locations 202 or 210, respectively, via use of an internal shuttle transfer 605. In the processing locations 202 or 210, an electroless deposition process (step 118) is performed to fill the feature. In one aspect, the electroless deposition requires an activation type process (e.g., preparatory cleaning, activation and post-activation clean steps) to be performed, and then an electroless plating step may be performed. In one aspect, the electroless deposition requires only that an electroless plating step to be performed. In another aspect of step 1006, not shown in FIG. 1, the mainframe robot 220 is used to transfer the substrate between the processing locations 204 and 202, or 212 and 210.
  • In step 1008, the mainframe robot 220 transfers a substrate to one of the processing station 206 or 208, where the barrier CMP process is optionally performed. In one aspect, a barrier ECMP process is optionally performed.
  • In one embodiment of the invention, subsequent to the barrier CMP (or ECMP) process a clean process, such as a megasonic clean process or brush clean process may be performed to remove any material trapped in the features.
  • In step 1010, the mainframe robot 220 transfers a substrate to the processing location 214 where an SRD process is performed. A description of an exemplary SRD chamber that may be used in embodiments of the invention may be found in commonly assigned U.S. application Ser. No. 10/616,284 entitled “Multi-Chemistry Plating System,” filed on Jul. 8, 2004, which is hereby incorporated by reference in its entirety to the extent not inconsistent with the present invention. [7669.P1]
  • In step 1012, the mainframe robot 220 transfers a substrate from the processing location 214 to the processing location 216 where a vapor dry process is performed. In one aspect, either step 1010 or step 1012 may be removed to reduce the complexity of the device fabrication and transferring process.
  • In step 1014, after the vapor dry process is complete, factory interface robot 232 removes the substrate from the vapor dry chamber, which is in the processing location 216, and the platform 213 and places them in the substrate loading stations 234.
  • Electroless Bottom-Up Fill Chamber.
  • In one embodiment of the invention, an electroless plating chamber 400 is configured to improve the bottom-up fill capability and reduce common defects found during the filling of features of different depths and shapes. FIGS. 5A and 5B illustrate one embodiment of an electroless plating chamber 400 that may be adapted to perform aspects described herein. In general, the electroless plating chamber 400 contains a substrate support 401 and an electrode assembly 406, which is positioned opposing the processing surface 402E (FIG. 5B) of the substrate 402 positioned on the substrate support 401. The substrate support 401 generally contains a substrate receiving surface 401B and lift/rotation assembly 401A. In one aspect, the lift/rotation assembly 401A is adapted to raise and lower and rotate the substrate support 401 relative to the electrode assembly 406. In another aspect, the electrode assembly 406 is adapted to be raised and lowered and/or rotated relative to the substrate 402. In yet another aspect, the substrate 402 or the flexible electrode 404 and/or the substrate support 401 may be rotated or oscillated. While FIGS. 5A and 5B tend to illustrate an electroless plating chamber 400 that is in a face-up orientation, this configuration is not intended to limit the scope of the invention described herein.
  • In one aspect of the electroless plating chamber 400, the electrode assembly 406 generally contains a flexible electrode 404, an electrode support 403, and a power supply 410. The power supply 410 is generally adapted to bias the flexible electrode relative to the counter electrode 414. The power supply 410 is connected to the flexible electrode 404 using an electrical connection 412, the counter electrode using the electrical connection 411 and an optional reference electrode 415 using the electrical connection 413. In one aspect, the flexible electrode 404 is a conductive porous electrode that is adapted to allow the electroless plating solution delivered from the source 405 through the flexible electrode 404 and to the processing surface 402E of the substrate 402.
  • In another aspect, the flexible electrode 404 contains an biasing electrode (not shown) and an ionic membrane, such as a Nafion™ membrane, that allows certain ions to pass through the flexible electrode 404 assembly but keeps the fluid delivered from source 405 separated from the electroless deposition fluid that is in contact with the processing surface 402E of the substrate 402. In this configuration, the fluid in contact with the processing surface 402E of the substrate 402 can be delivered from a separate fluid source (not shown) that is in fluid communication with the processing surface 402E. In this configuration the biasing electrode (not shown), such as a metal rod or wire mesh (e.g., platinum, titanium), is positioned in the fluid volume 403A (e.g., similar to item # 407 in FIG. 6A) formed between the electrode support 403 and the flexible electrode 404. In one aspect, the substrate 402 or the flexible electrode 404 and/or the substrate support 401 may be rotated or oscillated.
  • In one aspect, the flexible electrode 404 is constructed from a woven fabric material such as a graphite cloth selected such that is does not exhibit catalytic properties towards the oxidation of the reducing agent utilized in the electroless plating formulation and which is essentially inert towards dissolution in the plating chemistry. The flexible electrode 404 should also generally be inert towards dissolution in the plating chemistry. In one aspect, if the flexible electrode 404 is highly absorbent it will facilitate the efficient retention of a relatively small volume of plating chemistry on the surface of the substrate 402.
  • The use of the electroless plating chamber 400 is intended to prevent the filling bottom-up growth of shallow features 402B formed on the substrate 402 from covering the opening of the deeper features 402A as the electroless deposition process proceeds towards filling all of the features formed on the processing surface 402E of the substrate 402. In one embodiment, in operation the an electroless deposition fluid is delivered to the fluid volume 403A and processing surface 402E of the substrate 402 and an anodic bias is applied to the flexible electrode 404 relative to the counter electrode 414. The flexible electrode is positioned such that it is either brought into contact or is positioned very close to the processing surface 402E of the substrate 402. Therefore, as the shallow feature 402B is filled with the electroless deposition material the metal layer formed in the shallow feature (e.g., element 108 FIG. 2E) will contact the flexible electrode 404 before the metal layer formed in the deep feature 402A contacts the flexible electrode 404. Contact of a metal layer with the flexible electrode 404 will effectively “siphoning off” electrons liberated by the autocatalytic oxidation of the reducing agent and minimize the deposition over the shallow features 402B and thus allowing the deep features 402A to “catchup.” The applied field on the flexible electrode 404 is adjusted so as to suppress/prevent the deposition of the metal layer contacting the flexible electrode 404. In one aspect, the applied potential is adjusted relative to a reference electrode 415 and the cell completed by a dimensionally stable counter electrode 414 located behind an ion exchange type membrane or effectively “downstream” from the plating region.
  • In one aspect, the bottom up electoless fill process can be initiated prior to introducing (i.e. lowering to make contact) the flexible electrode 404. In another aspect, the flexible electrode 404 can be present form the beginning and only biased until well after the initiation and substantial filling of the shallow features 402B or fastest growing features has occurred.
  • This invention has immediate relevance for applications in which contact is being made to a material which is in the field region (e.g., element 105 in FIGS. 2A-F) which is intrinsically catalytically active towards the initiating of an electroless plating chemistry, thereby providing a mechanism for selective bottom up fill and inhibiting the growth that is in contact with the flexible electrode 404.
  • Second Type of Electroless Process Chamber
  • In one embodiment, there exists an equally important variation of the electroless chamber 400 in which a conformally deposited adhesion-layer, or barrier layer, which may or may not be electrically conducting, is first removed by an efficient CMP and/or electrochemically assisted striping process from the “field regions” (e.g., item # 402C in FIG. 6) before the initiation of the electroless filling process. In such applications, the process may also require that the conformally deposited adhesion-layer, or barrier layer, be highly electrically resistive or be prone to preferentially dissolution with an electrode (e.g., flexible electrode 404 or electrode 407 (seen below)) during the initiation and growth of the electrolessly deposited layer. In one aspect, the preferentially dissolution may be enhanced due to an application of a high electrical bias or the use of a resistive electroless plating solution. As such it might be applied even to the electroless metal fill of damascene structures initiating on extremely thin ALD like layers without the requirement for an electrically contiguous contact.
  • In another embodiment, an electroless plating chamber 400 is configured to improve the bottom-up fill capability and reduce common defects found during the filling of features of different depths and shapes. FIG. 6 illustrates one embodiment of an electroless plating chamber 400 that may be adapted to perform aspects described herein. While FIG. 6 tends to illustrate an electroless plating chamber 400 that is in a face-up orientation, this configuration is not intended to limit the scope of the invention described herein. In general, the electroless plating chamber 400 contains a substrate support 401 and an electrode assembly 406, which is positioned opposing the processing surface 402E of the substrate 402 positioned on the substrate support 401. The substrate support 401 generally contains a substrate receiving surface 401 B and lift/rotation assembly 401A. In one aspect, the lift/rotation assembly 401A is adapted to raise and lower and rotate the substrate support 401 relative to the electrode assembly 406.
  • In one aspect of the electroless plating chamber 400, the electrode assembly 406 generally contains an electrode 407, an electrode support 409, a membrane 408 and a power supply 420. The power supply 420 is generally adapted to bias the electrode 407 relative to a metal layer 402F formed on the processing surface 402E of the substrate 402. The power supply 420 is connected to the electrode 407 using an electrical connection 421, the substrate surface using the electrical connection 422 (e.g., using platinum contacts or other conventional contact designs which are well known in the art) and an optional reference electrode 423 positioned in a fluid layer “F” positioned between the electrode 407 and the metal layer 402F formed on the processing surface 402E.
  • In one aspect, the electrode 407 is a conductive porous electrode that is adapted to allow the electroless plating solution delivered from the source 405 through the electrode 407 and the membrane 408 to the processing surface 402E of the substrate 402. In another aspect, the membrane 408 is an ionic membrane, such as a Nafion™ membrane, that allows certain ions to pass between the electrode 407 and the metal layer 402F formed on the processing surface 402E. In this aspect, the ionic membrane keeps the fluid delivered from source 405 separated from the electroless deposition fluid layer “F” that is in contact with the processing surface 402E of the substrate 402. In this configuration, the fluid in contact with the processing surface 402E of the substrate 402 can be delivered from a separate fluid source (not shown) that is in fluid communication with the processing surface 402E. In this configuration the electrode 407, is positioned in the fluid volume 409A formed between the electrode support 409 and the electrode 407. In one aspect, the substrate 402 or the flexible electrode 404 and/or the substrate support 401 may be rotated or oscillated.
  • In one aspect, the electrode 407 is constructed from a woven fabric material such as a graphite cloth selected such that is does not exhibit catalytic properties towards the oxidation of the reducing agent utilized in the electroless plating formulation and which is essentially inert towards dissolution in the plating chemistry. In one aspect, if the electrode 407 is a metal material such as titanium, platinum, copper, palladium, or other material.
  • The use of the electroless plating chamber 400 shown in FIG. 6 is intended to minimize the growth of the electrolessly deposited material on the field region 402C (e.g., element 105 in FIGS. 2A-F) of the substrate 402 by preferentially removing the material on the field prior to performing the electroless plating process or by controlling the amount deposited on the field region 402C during the electroless deposition process. In operation the an electroless deposition fluid is delivered to the fluid volume 409A and processing surface 402E of the substrate 402 and a cathodic bias is applied to the electrode 407 relative to the metal layer 402F. In one aspect, the preferentially dissolution from the field region 402C may be enhanced due to an application of a high cathodic electrical bias or the use of a resistive electroless plating solution. As such the bias might be applied even during the electroless metal fill of damascene structures that are initiating on extremely thin ALD like layers without the requirement for an electrically contiguous contact.
  • In one aspect, a membrane 408 that has a desired abrasive properties (e.g., fixed abrasive CMP pad type materials) is positioned such that it is brought into contact the processing surface 402E of the substrate 402. Therefore, the when the membrane 408 is moved relative to the processing surface 402E of the substrate the electroless deposition material that is being deposited on the field region 402C is continually being removed as the other parts of the feature 402A′ (e.g., element 402D) is being filled with electrolessly deposited material. In one embodiment, the surface of the membrane 408 is made from a conductive medium, such as a conventional conductive pad used in ECMP applications, which is available from Applied Materials Inc., to make contact across the surface of the processing surface 402E.
  • In one aspect, the field, and/or voltage, applied to the electrode 407 is adjusted so as to suppress/prevent the deposition of the metal on the field region 402C. The applied potential is adjusted relative to the surface of the substrate 402 and the electrode 407 located behind the membrane 408, which is effectively “downstream” from the plating region.
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (21)

1. A method of processing a substrate in a substrate processing platform, comprising:
removing a portion of a layer formed on a surface of substrate using a material removal process; and
filing a feature formed on the substrate using an electroless deposition process after removing the portion of the layer formed on the surface of the substrate.
2. The method of claim 1, further comprising:
cleaning the surface of the substrate subsequent to removing the portion of the layer formed on the surface of the substrate and prior to filling the feature, wherein cleaning comprises exposing a surface of a substrate to a liquid or a vapor selected from a group consisting of DI water, isopropyl alcohol, and an etchant solution.
3. The method of claim 2, wherein the cleaning the surface of the substrate comprises vapor drying the substrate in a vapor dry chamber.
4. The method of claim 1, wherein removing a portion of a layer formed on a surface of substrate is performed by use of a process selected from a group consisting of a chemical mechanical polishing process, an electrochemical mechanical polishing process and an electropolishing process.
5. The method of claim 1, wherein the adhesion-layer and barrier layer are removed during the material removal step.
6. The method of claim 1, wherein removing a portion of a layer formed on a surface of substrate comprises:
a first planarization step to remove the adhesion-layer; and
a second planarization step to remove the barrier layer.
7. The method of claim 1, further comprising depositing an electroless capping layer after filling the feature.
8. A method of processing a substrate in a substrate processing platform, comprising:
filing one or more recesses formed on a surface of the substrate with an electrolessly deposited metal layer; and
inhibiting the growth of the electrolessly deposited metal layer generally above the top of the recesses formed in the surface of the substrate using a first electrode, a counter electrode and a power supply that is adapted to bias the first electrode relative to the counter electrode, wherein the first electrode is in electrical communication with at least a portion of the metal layer during at least a portion of the electroless deposition process.
9. The method of claim 8, further comprising:
cleaning the surface of the substrate filling the one or more recesses, wherein cleaning comprises exposing a surface of a substrate to a liquid or a vapor selected from a group consisting of DI water, isopropyl alcohol, and an etchant solution.
10. The method of claim 8, wherein inhibiting the growth of the electrolessly deposited metal layer includes the process of removing material using one of the processes selected from the group consisting of chemical mechanical polishing, electrochemical mechanical polishing and electropolishing.
11. The method of claim 8, further comprising removing an adhesion-layer and/or a barrier layer from a field region of the substrate prior to filing one or more of the recesses.
12. The method of claim 11, wherein removing an adhesion-layer and/or a barrier layer is performed by use of a process selected from the group consisting of chemical mechanical polishing, electrochemical mechanical polishing and electropolishing.
13. The method of claim 8, further comprising depositing an electroless capping layer after filling the one or more recesses.
14. A cluster tool that is adapted to fill a substrate feature on a surface of a substrate, comprising:
at least one material removal chamber that is adapted to preferentially remove a metal layer from a field region rather than one or more recessed features formed on the surface of a substrate; and
at least one electroless plating cell that is adapted to deposit an electrolessly deposited layer on a surface of the substrate.
15. The cluster tool of claim 14, wherein the material removal chamber is adapted to remove the metal layer using electrochemical activity and is selected from a group consisting of an electrochemical mechanical polishing chamber or electropolishing chamber.
16. The cluster tool of claim 14, wherein the electrolessly deposited layer is formed in at least one of the one or more recessed features.
17. The cluster tool of claim 14, further comprising:
at least one cleaning modules is selected from a group consisting of a SRD chamber, a vapor dry chamber and brush module; and
an edge bead removal chamber.
18. A cluster tool that is adapted to fill a substrate feature on a surface of a substrate, comprising:
at least one electroless plating cell that is adapted to deposit an electrolessly deposited layer on a surface of the substrate and preferentially inhibit growth the electrolessly deposited layer on a field region on the surface of a substrate; and
at least one cleaning module.
19. The cluster tool of claim 18, wherein the at least one electroless plating cell comprises:
a substrate support that is adapted to receive a substrate on a substrate receiving surface;
a power source that is adapted to bias a portion of the substrate relative to an electrode; and
an electroless plating solution source that is adapted to position an electroless plating solution between the portion of the substrate and the electrode.
20. The cluster tool of claim 19, wherein the power supply is adapted to anodically bias the portion of the substrate relative to the electrode.
21. The cluster tool of claim 18, further comprising:
The at least one cleaning module is selected from a group consisting of a SRD chamber, a vapor dry chamber and brush module; and
an edge bead removal chamber.
US11/534,175 2005-09-21 2006-09-21 Method and apparatus for forming device features in an integrated electroless deposition system Abandoned US20070071888A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/534,175 US20070071888A1 (en) 2005-09-21 2006-09-21 Method and apparatus for forming device features in an integrated electroless deposition system

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US71944005P 2005-09-21 2005-09-21
US11/534,175 US20070071888A1 (en) 2005-09-21 2006-09-21 Method and apparatus for forming device features in an integrated electroless deposition system

Publications (1)

Publication Number Publication Date
US20070071888A1 true US20070071888A1 (en) 2007-03-29

Family

ID=37889539

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/534,175 Abandoned US20070071888A1 (en) 2005-09-21 2006-09-21 Method and apparatus for forming device features in an integrated electroless deposition system

Country Status (2)

Country Link
US (1) US20070071888A1 (en)
WO (1) WO2007035880A2 (en)

Cited By (160)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070218212A1 (en) * 2006-03-20 2007-09-20 Shinko Electric Industries Co., Ltd. Non-cyanide electroless gold plating solution and process for electroless gold plating
US20090087983A1 (en) * 2007-09-28 2009-04-02 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US20090111280A1 (en) * 2004-02-26 2009-04-30 Applied Materials, Inc. Method for removing oxides
US20100126872A1 (en) * 2008-11-26 2010-05-27 Enthone, Inc. Electrodeposition of copper in microelectronics with dipyridyl-based levelers
US20100155949A1 (en) * 2008-12-24 2010-06-24 Texas Instruments Incorporated Low cost process flow for fabrication of metal capping layer over copper interconnects
US8187970B2 (en) 2001-07-25 2012-05-29 Applied Materials, Inc. Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8975152B2 (en) 2011-11-08 2015-03-10 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9023732B2 (en) 2013-03-15 2015-05-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9472417B2 (en) 2013-11-12 2016-10-18 Applied Materials, Inc. Plasma-free metal etch
US9478432B2 (en) 2014-09-25 2016-10-25 Applied Materials, Inc. Silicon oxide selective removal
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9935004B2 (en) 2016-01-21 2018-04-03 Applied Materials, Inc. Process and chemistry of plating of through silicon vias
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US10221496B2 (en) 2008-11-26 2019-03-05 Macdermid Enthone Inc. Copper filling of through silicon vias
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10879116B2 (en) 2013-06-17 2020-12-29 Applied Materials, Inc. Method for copper plating through silicon vias using wet wafer back contact
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US20220186378A1 (en) * 2020-12-15 2022-06-16 Toyota Jidosha Kabushiki Kaisha Film formation device and film formation method for metal plating film
US20220193720A1 (en) * 2014-02-04 2022-06-23 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems

Citations (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US643816A (en) * 1899-12-06 1900-02-20 Robert L Durham Loom picker attachment.
US2369620A (en) * 1941-03-07 1945-02-13 Battelle Development Corp Method of coating cupreous metal with tin
US3745039A (en) * 1971-10-28 1973-07-10 Rca Corp Electroless cobalt plating bath and process
US3937857A (en) * 1974-07-22 1976-02-10 Amp Incorporated Catalyst for electroless deposition of metals
US4006047A (en) * 1974-07-22 1977-02-01 Amp Incorporated Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates
US4150177A (en) * 1976-03-31 1979-04-17 Massachusetts Institute Of Technology Method for selectively nickeling a layer of polymerized polyester resin
US4265943A (en) * 1978-11-27 1981-05-05 Macdermid Incorporated Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions
US4368223A (en) * 1981-06-01 1983-01-11 Asahi Glass Company, Ltd. Process for preparing nickel layer
US4397812A (en) * 1974-05-24 1983-08-09 Richardson Chemical Company Electroless nickel polyalloys
US4424241A (en) * 1982-09-27 1984-01-03 Bell Telephone Laboratories, Incorporated Electroless palladium process
US4795660A (en) * 1985-05-10 1989-01-03 Akzo N.V. Metallized polymer compositions, processes for their preparation and their uses
US4810520A (en) * 1987-09-23 1989-03-07 Magnetic Peripherals Inc. Method for controlling electroless magnetic plating
US5141626A (en) * 1989-11-30 1992-08-25 Daido Metal Company Ltd. Method of and apparatus for surface treatment for half bearings
US5200048A (en) * 1989-11-30 1993-04-06 Daido Metal Company Ltd. Electroplating apparatus for plating half bearings
US5203911A (en) * 1991-06-24 1993-04-20 Shipley Company Inc. Controlled electroless plating
US5212138A (en) * 1991-09-23 1993-05-18 Applied Electroless Concepts Inc. Low corrosivity catalyst for activation of copper for electroless nickel plating
US5235139A (en) * 1990-09-12 1993-08-10 Macdermid, Incorprated Method for fabricating printed circuits
US5234628A (en) * 1988-11-24 1993-08-10 Henkel Kommanditgesellschaft Auf Aktien Paste-form, low-foaming non-phosphate detergent
US5240497A (en) * 1991-10-08 1993-08-31 Cornell Research Foundation, Inc. Alkaline free electroless deposition
US5380560A (en) * 1992-07-28 1995-01-10 International Business Machines Corporation Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition
US5384284A (en) * 1993-10-01 1995-01-24 Micron Semiconductor, Inc. Method to form a low resistant bond pad interconnect
US5415890A (en) * 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
US5510216A (en) * 1993-08-25 1996-04-23 Shipley Company Inc. Selective metallization process
US5614003A (en) * 1996-02-26 1997-03-25 Mallory, Jr.; Glenn O. Method for producing electroless polyalloys
US5648125A (en) * 1995-11-16 1997-07-15 Cane; Frank N. Electroless plating process for the manufacture of printed circuit boards
US5733816A (en) * 1995-12-13 1998-03-31 Micron Technology, Inc. Method for depositing a tungsten layer on silicon
US5755859A (en) * 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
US5882433A (en) * 1995-05-23 1999-03-16 Tokyo Electron Limited Spin cleaning method
US5885749A (en) * 1997-06-20 1999-03-23 Clear Logic, Inc. Method of customizing integrated circuits by selective secondary deposition of layer interconnect material
US5891513A (en) * 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US5904827A (en) * 1996-10-15 1999-05-18 Reynolds Tech Fabricators, Inc. Plating cell with rotary wiper and megasonic transducer
US5907790A (en) * 1993-07-15 1999-05-25 Astarix Inc. Aluminum-palladium alloy for initiation of electroless plating
US5910340A (en) * 1995-10-23 1999-06-08 C. Uyemura & Co., Ltd. Electroless nickel plating solution and method
US5932077A (en) * 1998-02-09 1999-08-03 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism
US6010962A (en) * 1999-02-12 2000-01-04 Taiwan Semiconductor Manufacturing Company Copper chemical-mechanical-polishing (CMP) dishing
US6015724A (en) * 1995-11-02 2000-01-18 Semiconductor Energy Laboratory Co. Manufacturing method of a semiconductor device
US6065424A (en) * 1995-12-19 2000-05-23 Cornell Research Foundation, Inc. Electroless deposition of metal films with spray processor
US6077780A (en) * 1997-12-03 2000-06-20 Advanced Micro Devices, Inc. Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6107199A (en) * 1998-10-24 2000-08-22 International Business Machines Corporation Method for improving the morphology of refractory metal thin films
US6110530A (en) * 1999-06-25 2000-08-29 Applied Materials, Inc. CVD method of depositing copper films by using improved organocopper precursor blend
US6171661B1 (en) * 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
US6174812B1 (en) * 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6180523B1 (en) * 1998-10-13 2001-01-30 Industrial Technology Research Institute Copper metallization of USLI by electroless process
US6197688B1 (en) * 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6197181B1 (en) * 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6197364B1 (en) * 1995-08-22 2001-03-06 International Business Machines Corporation Production of electroless Co(P) with designed coercivity
US6228233B1 (en) * 1998-11-30 2001-05-08 Applied Materials, Inc. Inflatable compliant bladder assembly
US6242349B1 (en) * 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US6245670B1 (en) * 1999-02-19 2001-06-12 Advanced Micro Devices, Inc. Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure
US6251236B1 (en) * 1998-11-30 2001-06-26 Applied Materials, Inc. Cathode contact ring for electrochemical deposition
US6258223B1 (en) * 1999-07-09 2001-07-10 Applied Materials, Inc. In-situ electroless copper seed layer enhancement in an electroplating system
US6277263B1 (en) * 1998-03-20 2001-08-21 Semitool, Inc. Apparatus and method for electrolytically depositing copper on a semiconductor workpiece
US6342733B1 (en) * 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6344125B1 (en) * 2000-04-06 2002-02-05 International Business Machines Corporation Pattern-sensitive electrolytic metal plating
US6344410B1 (en) * 1999-03-30 2002-02-05 Advanced Micro Devices, Inc. Manufacturing method for semiconductor metalization barrier
US6350364B1 (en) * 2000-02-18 2002-02-26 Taiwan Semiconductor Manufacturing Company Method for improvement of planarity of electroplated copper
US6352467B1 (en) * 1997-11-10 2002-03-05 Applied Materials, Inc. Integrated electrodeposition and chemical mechanical polishing tool
US6416647B1 (en) * 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6420258B1 (en) * 1999-11-12 2002-07-16 Taiwan Semiconductor Manufacturing Company Selective growth of copper for advanced metallization
US20020098711A1 (en) * 2000-08-31 2002-07-25 Klein Rita J. Electroless deposition of doped noble metals and noble metal alloys
US6428673B1 (en) * 2000-07-08 2002-08-06 Semitool, Inc. Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processing based on metrology
US6432819B1 (en) * 1999-09-27 2002-08-13 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
US6431190B1 (en) * 1998-07-13 2002-08-13 Kokusai Electric Co., Ltd. Fluid processing apparatus
US6433821B1 (en) * 1991-12-16 2002-08-13 Fuji Photo Film Co., Ltd. Digital electronic still-video camera, and method of controlling same
US6436816B1 (en) * 1998-07-31 2002-08-20 Industrial Technology Research Institute Method of electroless plating copper on nitride barrier
US6436267B1 (en) * 2000-08-29 2002-08-20 Applied Materials, Inc. Method for achieving copper fill of high aspect ratio interconnect features
US6441492B1 (en) * 1999-09-10 2002-08-27 James A. Cunningham Diffusion barriers for copper interconnect systems
US6503834B1 (en) * 2000-10-03 2003-01-07 International Business Machines Corp. Process to increase reliability CuBEOL structures
US20030010645A1 (en) * 2001-06-14 2003-01-16 Mattson Technology, Inc. Barrier enhancement process for copper interconnects
US6517894B1 (en) * 1998-04-30 2003-02-11 Ebara Corporation Method for plating a first layer on a substrate and a second layer on the first layer
US6516815B1 (en) * 1999-07-09 2003-02-11 Applied Materials, Inc. Edge bead removal/spin rinse dry (EBR/SRD) module
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6544399B1 (en) * 1999-01-11 2003-04-08 Applied Materials, Inc. Electrodeposition chemistry for filling apertures with reflective metal
US6551483B1 (en) * 2000-02-29 2003-04-22 Novellus Systems, Inc. Method for potential controlled electroplating of fine patterns on semiconductor wafers
US20030075808A1 (en) * 2001-08-13 2003-04-24 Hiroaki Inoue Semiconductor device, method for manufacturing the same, and plating solution
US6565729B2 (en) * 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6573606B2 (en) * 2001-06-14 2003-06-03 International Business Machines Corporation Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
US20030113576A1 (en) * 2001-12-19 2003-06-19 Intel Corporation Electroless plating bath composition and method of using
US20030116439A1 (en) * 2001-12-21 2003-06-26 International Business Machines Corporation Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices
US6588437B1 (en) * 1999-11-15 2003-07-08 Agere Systems Inc. System and method for removal of material
US20030143837A1 (en) * 2002-01-28 2003-07-31 Applied Materials, Inc. Method of depositing a catalytic layer
US20030141018A1 (en) * 2002-01-28 2003-07-31 Applied Materials, Inc. Electroless deposition apparatus
US6680540B2 (en) * 2000-03-08 2004-01-20 Hitachi, Ltd. Semiconductor device having cobalt alloy film with boron
US20040065540A1 (en) * 2002-06-28 2004-04-08 Novellus Systems, Inc. Liquid treatment using thin liquid layer
US20040072419A1 (en) * 2002-01-10 2004-04-15 Rajesh Baskaran Method for applying metal features onto barrier layers using electrochemical deposition
US20040096592A1 (en) * 2002-11-19 2004-05-20 Chebiam Ramanan V. Electroless cobalt plating solution and plating techniques
US6743473B1 (en) * 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US20040105934A1 (en) * 2002-06-04 2004-06-03 Mei Chang Ruthenium layer formation for copper film deposition
US20040113277A1 (en) * 2002-12-11 2004-06-17 Chiras Stefanie Ruth Formation of aligned capped metal lines and interconnections in multilevel semiconductor structures
US6756682B2 (en) * 2002-05-29 2004-06-29 Micron Technology, Inc. High aspect ratio fill method and resulting structure
US20050006245A1 (en) * 2003-07-08 2005-01-13 Applied Materials, Inc. Multiple-step electrodeposition process for direct copper plating on barrier metals
US6852618B2 (en) * 2001-04-19 2005-02-08 Micron Technology, Inc. Combined barrier layer and seed layer
US20050090098A1 (en) * 2003-10-27 2005-04-28 Dubin Valery M. Method for making a semiconductor device having increased conductive material reliability
US20050118807A1 (en) * 2003-11-28 2005-06-02 Hyungiun Kim Ald deposition of ruthenium
US20050124154A1 (en) * 2001-12-28 2005-06-09 Hyung-Sang Park Method of forming copper interconnections for semiconductor integrated circuits on a substrate
US20050136185A1 (en) * 2002-10-30 2005-06-23 Sivakami Ramanathan Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6299741B1 (en) * 1999-11-29 2001-10-09 Applied Materials, Inc. Advanced electrolytic polish (AEP) assisted metal wafer planarization method and apparatus

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US643816A (en) * 1899-12-06 1900-02-20 Robert L Durham Loom picker attachment.
US2369620A (en) * 1941-03-07 1945-02-13 Battelle Development Corp Method of coating cupreous metal with tin
US3745039A (en) * 1971-10-28 1973-07-10 Rca Corp Electroless cobalt plating bath and process
US4397812A (en) * 1974-05-24 1983-08-09 Richardson Chemical Company Electroless nickel polyalloys
US3937857A (en) * 1974-07-22 1976-02-10 Amp Incorporated Catalyst for electroless deposition of metals
US4006047A (en) * 1974-07-22 1977-02-01 Amp Incorporated Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates
US4150177A (en) * 1976-03-31 1979-04-17 Massachusetts Institute Of Technology Method for selectively nickeling a layer of polymerized polyester resin
US4265943A (en) * 1978-11-27 1981-05-05 Macdermid Incorporated Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions
US4368223A (en) * 1981-06-01 1983-01-11 Asahi Glass Company, Ltd. Process for preparing nickel layer
US4424241A (en) * 1982-09-27 1984-01-03 Bell Telephone Laboratories, Incorporated Electroless palladium process
US4795660A (en) * 1985-05-10 1989-01-03 Akzo N.V. Metallized polymer compositions, processes for their preparation and their uses
US4810520A (en) * 1987-09-23 1989-03-07 Magnetic Peripherals Inc. Method for controlling electroless magnetic plating
US5234628A (en) * 1988-11-24 1993-08-10 Henkel Kommanditgesellschaft Auf Aktien Paste-form, low-foaming non-phosphate detergent
US5141626A (en) * 1989-11-30 1992-08-25 Daido Metal Company Ltd. Method of and apparatus for surface treatment for half bearings
US5200048A (en) * 1989-11-30 1993-04-06 Daido Metal Company Ltd. Electroplating apparatus for plating half bearings
US5235139A (en) * 1990-09-12 1993-08-10 Macdermid, Incorprated Method for fabricating printed circuits
US5203911A (en) * 1991-06-24 1993-04-20 Shipley Company Inc. Controlled electroless plating
US5212138A (en) * 1991-09-23 1993-05-18 Applied Electroless Concepts Inc. Low corrosivity catalyst for activation of copper for electroless nickel plating
US5240497A (en) * 1991-10-08 1993-08-31 Cornell Research Foundation, Inc. Alkaline free electroless deposition
US6433821B1 (en) * 1991-12-16 2002-08-13 Fuji Photo Film Co., Ltd. Digital electronic still-video camera, and method of controlling same
US5380560A (en) * 1992-07-28 1995-01-10 International Business Machines Corporation Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition
US5907790A (en) * 1993-07-15 1999-05-25 Astarix Inc. Aluminum-palladium alloy for initiation of electroless plating
US5510216A (en) * 1993-08-25 1996-04-23 Shipley Company Inc. Selective metallization process
US5384284A (en) * 1993-10-01 1995-01-24 Micron Semiconductor, Inc. Method to form a low resistant bond pad interconnect
US5415890A (en) * 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
US5882433A (en) * 1995-05-23 1999-03-16 Tokyo Electron Limited Spin cleaning method
US6197364B1 (en) * 1995-08-22 2001-03-06 International Business Machines Corporation Production of electroless Co(P) with designed coercivity
US5755859A (en) * 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
US5910340A (en) * 1995-10-23 1999-06-08 C. Uyemura & Co., Ltd. Electroless nickel plating solution and method
US6015724A (en) * 1995-11-02 2000-01-18 Semiconductor Energy Laboratory Co. Manufacturing method of a semiconductor device
US5648125A (en) * 1995-11-16 1997-07-15 Cane; Frank N. Electroless plating process for the manufacture of printed circuit boards
US5733816A (en) * 1995-12-13 1998-03-31 Micron Technology, Inc. Method for depositing a tungsten layer on silicon
US6065424A (en) * 1995-12-19 2000-05-23 Cornell Research Foundation, Inc. Electroless deposition of metal films with spray processor
US5891513A (en) * 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US5614003A (en) * 1996-02-26 1997-03-25 Mallory, Jr.; Glenn O. Method for producing electroless polyalloys
US5904827A (en) * 1996-10-15 1999-05-18 Reynolds Tech Fabricators, Inc. Plating cell with rotary wiper and megasonic transducer
US5885749A (en) * 1997-06-20 1999-03-23 Clear Logic, Inc. Method of customizing integrated circuits by selective secondary deposition of layer interconnect material
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6352467B1 (en) * 1997-11-10 2002-03-05 Applied Materials, Inc. Integrated electrodeposition and chemical mechanical polishing tool
US6077780A (en) * 1997-12-03 2000-06-20 Advanced Micro Devices, Inc. Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
US5932077A (en) * 1998-02-09 1999-08-03 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism
US6197688B1 (en) * 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6171661B1 (en) * 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
US6565729B2 (en) * 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6197181B1 (en) * 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6277263B1 (en) * 1998-03-20 2001-08-21 Semitool, Inc. Apparatus and method for electrolytically depositing copper on a semiconductor workpiece
US6416647B1 (en) * 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6517894B1 (en) * 1998-04-30 2003-02-11 Ebara Corporation Method for plating a first layer on a substrate and a second layer on the first layer
US6431190B1 (en) * 1998-07-13 2002-08-13 Kokusai Electric Co., Ltd. Fluid processing apparatus
US6436816B1 (en) * 1998-07-31 2002-08-20 Industrial Technology Research Institute Method of electroless plating copper on nitride barrier
US6180523B1 (en) * 1998-10-13 2001-01-30 Industrial Technology Research Institute Copper metallization of USLI by electroless process
US6107199A (en) * 1998-10-24 2000-08-22 International Business Machines Corporation Method for improving the morphology of refractory metal thin films
US6228233B1 (en) * 1998-11-30 2001-05-08 Applied Materials, Inc. Inflatable compliant bladder assembly
US6251236B1 (en) * 1998-11-30 2001-06-26 Applied Materials, Inc. Cathode contact ring for electrochemical deposition
US6242349B1 (en) * 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US6596151B2 (en) * 1999-01-11 2003-07-22 Applied Materials, Inc. Electrodeposition chemistry for filling of apertures with reflective metal
US6544399B1 (en) * 1999-01-11 2003-04-08 Applied Materials, Inc. Electrodeposition chemistry for filling apertures with reflective metal
US6010962A (en) * 1999-02-12 2000-01-04 Taiwan Semiconductor Manufacturing Company Copper chemical-mechanical-polishing (CMP) dishing
US6245670B1 (en) * 1999-02-19 2001-06-12 Advanced Micro Devices, Inc. Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure
US6344410B1 (en) * 1999-03-30 2002-02-05 Advanced Micro Devices, Inc. Manufacturing method for semiconductor metalization barrier
US6174812B1 (en) * 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6110530A (en) * 1999-06-25 2000-08-29 Applied Materials, Inc. CVD method of depositing copper films by using improved organocopper precursor blend
US6258223B1 (en) * 1999-07-09 2001-07-10 Applied Materials, Inc. In-situ electroless copper seed layer enhancement in an electroplating system
US6516815B1 (en) * 1999-07-09 2003-02-11 Applied Materials, Inc. Edge bead removal/spin rinse dry (EBR/SRD) module
US6342733B1 (en) * 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
US20020098681A1 (en) * 1999-07-27 2002-07-25 Chao-Kun Hu Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6441492B1 (en) * 1999-09-10 2002-08-27 James A. Cunningham Diffusion barriers for copper interconnect systems
US6432819B1 (en) * 1999-09-27 2002-08-13 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
US6420258B1 (en) * 1999-11-12 2002-07-16 Taiwan Semiconductor Manufacturing Company Selective growth of copper for advanced metallization
US6588437B1 (en) * 1999-11-15 2003-07-08 Agere Systems Inc. System and method for removal of material
US6743473B1 (en) * 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US6350364B1 (en) * 2000-02-18 2002-02-26 Taiwan Semiconductor Manufacturing Company Method for improvement of planarity of electroplated copper
US6551483B1 (en) * 2000-02-29 2003-04-22 Novellus Systems, Inc. Method for potential controlled electroplating of fine patterns on semiconductor wafers
US6680540B2 (en) * 2000-03-08 2004-01-20 Hitachi, Ltd. Semiconductor device having cobalt alloy film with boron
US6344125B1 (en) * 2000-04-06 2002-02-05 International Business Machines Corporation Pattern-sensitive electrolytic metal plating
US6428673B1 (en) * 2000-07-08 2002-08-06 Semitool, Inc. Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processing based on metrology
US6436267B1 (en) * 2000-08-29 2002-08-20 Applied Materials, Inc. Method for achieving copper fill of high aspect ratio interconnect features
US20020098711A1 (en) * 2000-08-31 2002-07-25 Klein Rita J. Electroless deposition of doped noble metals and noble metal alloys
US6503834B1 (en) * 2000-10-03 2003-01-07 International Business Machines Corp. Process to increase reliability CuBEOL structures
US6852618B2 (en) * 2001-04-19 2005-02-08 Micron Technology, Inc. Combined barrier layer and seed layer
US6573606B2 (en) * 2001-06-14 2003-06-03 International Business Machines Corporation Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
US20030010645A1 (en) * 2001-06-14 2003-01-16 Mattson Technology, Inc. Barrier enhancement process for copper interconnects
US20030075808A1 (en) * 2001-08-13 2003-04-24 Hiroaki Inoue Semiconductor device, method for manufacturing the same, and plating solution
US20030113576A1 (en) * 2001-12-19 2003-06-19 Intel Corporation Electroless plating bath composition and method of using
US20030116439A1 (en) * 2001-12-21 2003-06-26 International Business Machines Corporation Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices
US20050124154A1 (en) * 2001-12-28 2005-06-09 Hyung-Sang Park Method of forming copper interconnections for semiconductor integrated circuits on a substrate
US20040072419A1 (en) * 2002-01-10 2004-04-15 Rajesh Baskaran Method for applying metal features onto barrier layers using electrochemical deposition
US20030143837A1 (en) * 2002-01-28 2003-07-31 Applied Materials, Inc. Method of depositing a catalytic layer
US20030141018A1 (en) * 2002-01-28 2003-07-31 Applied Materials, Inc. Electroless deposition apparatus
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6756682B2 (en) * 2002-05-29 2004-06-29 Micron Technology, Inc. High aspect ratio fill method and resulting structure
US20040105934A1 (en) * 2002-06-04 2004-06-03 Mei Chang Ruthenium layer formation for copper film deposition
US20040065540A1 (en) * 2002-06-28 2004-04-08 Novellus Systems, Inc. Liquid treatment using thin liquid layer
US20050136185A1 (en) * 2002-10-30 2005-06-23 Sivakami Ramanathan Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
US20040096592A1 (en) * 2002-11-19 2004-05-20 Chebiam Ramanan V. Electroless cobalt plating solution and plating techniques
US20040113277A1 (en) * 2002-12-11 2004-06-17 Chiras Stefanie Ruth Formation of aligned capped metal lines and interconnections in multilevel semiconductor structures
US20050006245A1 (en) * 2003-07-08 2005-01-13 Applied Materials, Inc. Multiple-step electrodeposition process for direct copper plating on barrier metals
US20050090098A1 (en) * 2003-10-27 2005-04-28 Dubin Valery M. Method for making a semiconductor device having increased conductive material reliability
US20050118807A1 (en) * 2003-11-28 2005-06-02 Hyungiun Kim Ald deposition of ruthenium

Cited By (237)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8563424B2 (en) 2001-07-25 2013-10-22 Applied Materials, Inc. Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8187970B2 (en) 2001-07-25 2012-05-29 Applied Materials, Inc. Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20090111280A1 (en) * 2004-02-26 2009-04-30 Applied Materials, Inc. Method for removing oxides
US8846163B2 (en) 2004-02-26 2014-09-30 Applied Materials, Inc. Method for removing oxides
US7384458B2 (en) * 2006-03-20 2008-06-10 Shinko Electric Industries Co., Ltd. Non-cyanide electroless gold plating solution and process for electroless gold plating
US20070218212A1 (en) * 2006-03-20 2007-09-20 Shinko Electric Industries Co., Ltd. Non-cyanide electroless gold plating solution and process for electroless gold plating
US20090087983A1 (en) * 2007-09-28 2009-04-02 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US8388824B2 (en) 2008-11-26 2013-03-05 Enthone Inc. Method and composition for electrodeposition of copper in microelectronics with dipyridyl-based levelers
US10221496B2 (en) 2008-11-26 2019-03-05 Macdermid Enthone Inc. Copper filling of through silicon vias
US8771495B2 (en) 2008-11-26 2014-07-08 Enthone Inc. Method and composition for electrodeposition of copper in microelectronics with dipyridyl-based levelers
US9613858B2 (en) * 2008-11-26 2017-04-04 Enthone Inc. Method and composition for electrodeposition of copper in microelectronics with dipyridyl-based levelers
US20100126872A1 (en) * 2008-11-26 2010-05-27 Enthone, Inc. Electrodeposition of copper in microelectronics with dipyridyl-based levelers
US20140322912A1 (en) * 2008-11-26 2014-10-30 Enthone Inc. Method and composition for electrodeposition of copper in microelectronics with dipyridyl-based levelers
US20100155949A1 (en) * 2008-12-24 2010-06-24 Texas Instruments Incorporated Low cost process flow for fabrication of metal capping layer over copper interconnects
US9754800B2 (en) 2010-05-27 2017-09-05 Applied Materials, Inc. Selective etch for silicon films
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US9012302B2 (en) 2011-09-26 2015-04-21 Applied Materials, Inc. Intrench profile
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US9418858B2 (en) 2011-10-07 2016-08-16 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8975152B2 (en) 2011-11-08 2015-03-10 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9887096B2 (en) 2012-09-17 2018-02-06 Applied Materials, Inc. Differential silicon oxide etch
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9437451B2 (en) 2012-09-18 2016-09-06 Applied Materials, Inc. Radical-component oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US9384997B2 (en) 2012-11-20 2016-07-05 Applied Materials, Inc. Dry-etch selectivity
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9412608B2 (en) 2012-11-30 2016-08-09 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9355863B2 (en) 2012-12-18 2016-05-31 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9449845B2 (en) 2012-12-21 2016-09-20 Applied Materials, Inc. Selective titanium nitride etching
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9093390B2 (en) 2013-03-07 2015-07-28 Applied Materials, Inc. Conformal oxide dry etch
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9153442B2 (en) 2013-03-15 2015-10-06 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9023732B2 (en) 2013-03-15 2015-05-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9991134B2 (en) 2013-03-15 2018-06-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9449850B2 (en) 2013-03-15 2016-09-20 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9659792B2 (en) 2013-03-15 2017-05-23 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9184055B2 (en) 2013-03-15 2015-11-10 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9704723B2 (en) 2013-03-15 2017-07-11 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9093371B2 (en) 2013-03-15 2015-07-28 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US10879116B2 (en) 2013-06-17 2020-12-29 Applied Materials, Inc. Method for copper plating through silicon vias using wet wafer back contact
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9209012B2 (en) 2013-09-16 2015-12-08 Applied Materials, Inc. Selective etch of silicon nitride
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9472417B2 (en) 2013-11-12 2016-10-18 Applied Materials, Inc. Plasma-free metal etch
US9472412B2 (en) 2013-12-02 2016-10-18 Applied Materials, Inc. Procedure for etch rate consistency
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US20220193720A1 (en) * 2014-02-04 2022-06-23 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9564296B2 (en) 2014-03-20 2017-02-07 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9773695B2 (en) 2014-07-31 2017-09-26 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478432B2 (en) 2014-09-25 2016-10-25 Applied Materials, Inc. Silicon oxide selective removal
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9935004B2 (en) 2016-01-21 2018-04-03 Applied Materials, Inc. Process and chemistry of plating of through silicon vias
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20220186378A1 (en) * 2020-12-15 2022-06-16 Toyota Jidosha Kabushiki Kaisha Film formation device and film formation method for metal plating film
US11674228B2 (en) * 2020-12-15 2023-06-13 Toyota Jidosha Kabushiki Kaisha Film formation device and film formation method for metal plating film

Also Published As

Publication number Publication date
WO2007035880A2 (en) 2007-03-29
WO2007035880A3 (en) 2007-10-25

Similar Documents

Publication Publication Date Title
US20070071888A1 (en) Method and apparatus for forming device features in an integrated electroless deposition system
CN109844930B (en) Doped selective metal capping with ruthenium liner to improve copper electromigration
US8415261B1 (en) Capping before barrier-removal IC fabrication method
US6821909B2 (en) Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
US7008871B2 (en) Selective capping of copper wiring
US8691687B2 (en) Superfilled metal contact vias for semiconductor devices
US7964506B1 (en) Two step copper electroplating process with anneal for uniform across wafer deposition and void free filling on ruthenium coated wafers
US8241701B2 (en) Processes and systems for engineering a barrier surface for copper deposition
US6518184B1 (en) Enhancement of an interconnect
US6899816B2 (en) Electroless deposition method
US7405157B1 (en) Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
US20030190426A1 (en) Electroless deposition method
US20050085031A1 (en) Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers
US20160309596A1 (en) Methods for forming cobalt interconnects
US20070111519A1 (en) Integrated electroless deposition system
US7752996B2 (en) Apparatus for applying a plating solution for electroless deposition
US20030189026A1 (en) Electroless deposition method
JP2005539369A (en) Electroless deposition equipment
US7374584B2 (en) Interconnects forming method and interconnects forming apparatus
WO2005123988A1 (en) Method of barrier layer surface treatment to enable direct copper plating on barrier metal
US7064065B2 (en) Silver under-layers for electroless cobalt alloys
US20060003570A1 (en) Method and apparatus for electroless capping with vapor drying
JP2007180496A (en) Manufacturing method of metallic seed layer
WO2002092878A2 (en) Electroless plating method and device, and substrate processing method and apparatus
US7256120B2 (en) Method to eliminate plating copper defect

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SHANMUGASUNDRAM, ARULKUMAR;WEIDMAN, TIMOTHY W.;REEL/FRAME:019041/0906;SIGNING DATES FROM 20061102 TO 20061103

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION