US20070045752A1 - Self aligned metal gates on high-K dielectrics - Google Patents

Self aligned metal gates on high-K dielectrics Download PDF

Info

Publication number
US20070045752A1
US20070045752A1 US11/451,712 US45171206A US2007045752A1 US 20070045752 A1 US20070045752 A1 US 20070045752A1 US 45171206 A US45171206 A US 45171206A US 2007045752 A1 US2007045752 A1 US 2007045752A1
Authority
US
United States
Prior art keywords
dielectric
gate
layer
oxide
self
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/451,712
Inventor
Leonard Forbes
Kie Ahn
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/216,375 external-priority patent/US7211492B2/en
Application filed by Individual filed Critical Individual
Priority to US11/451,712 priority Critical patent/US20070045752A1/en
Publication of US20070045752A1 publication Critical patent/US20070045752A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28114Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor characterised by the sectional shape, e.g. T, inverted-T
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/926Dummy metallization

Definitions

  • This disclosure relates generally to integrated circuits, and more particularly, to transistor structures and methods of formation.
  • MOSFET metal-oxide-semiconductor field-effect transistor
  • An issue in MOSFET design involves the structure and composition of its gate.
  • Some early MOSFET designs included aluminum gates, and later MOSFET designs used polysilicon gates because of the desire for a self-aligned gate, the tendency of aluminum to diffuse through the underlying insulative layer, and because of problems that the relatively low melting temperature of aluminum caused with annealing processes.
  • Polysilicon can be doped to act as a conductor, but with significantly more electrical resistance than aluminum. This higher resistance can be ameliorated somewhat by silicidation.
  • the higher resistance of even the salicided polysilicon gates combines with inherent integrated-circuit capacitances to cause significant delays in conducting signals from one circuit point to another, ultimately limiting how fast integrated circuits operate.
  • the semiconductor industry relies on the ability to reduce or scale the dimensions of the basic components, including the gate dielectric, of its transistor devices to obtain lower power consumption and higher performance.
  • the thickness of the gate dielectric is reduced in proportion to the shrinkage of the gate length.
  • Increased scaling and other requirements in microelectronic devices have created the need to use other dielectric materials as gate dielectrics, in particular dielectrics with higher dielectric constants (k) to replace the conventional use of various combinations of SiO 2 , Si 3 N 4 and SiON.
  • Practical higher dielectric constant (k) materials have the properties of high permittivity, thermal stability, high film and surface quality and smoothness, low hysteresis characteristics, low leakage current density, and long term reliability.
  • polysilicon gates and high-k dielectric materials have interface instability issues.
  • Source/drain extensions are formed, and then sidewall spacers are used to define the source/drain regions.
  • the subsequent high-dose implant and high-temperature anneal of the source/drain regions can negatively impact the lightly doped source/drain extensions.
  • FIGS. 1A-1D illustrate a process for forming a self aligned metal gate for a transistor structure, according to various embodiments of the present subject matter.
  • FIG. 3 illustrates a wafer, upon which the transistors with self aligned metal gates can be fabricated according to embodiments of the present subject matter.
  • FIG. 4 illustrates a simplified block diagram of a high-level organization of an electronic system that includes the transistor with the self aligned metal gate, according to various embodiments.
  • FIG. 5 illustrates a simplified block diagram of a high-level organization of an electronic system that includes transistors with self aligned metal gates, according to various embodiments.
  • a transistor device structure with a self aligned metal gate in contact with a high-k dielectric is a transistor device structure with a self aligned metal gate in contact with a high-k dielectric.
  • a self aligned metal gate structure is formed on a high-k gate dielectric by the replacement of amorphous carbon gates with metals.
  • a planar transistor structure is formed with a carbon gate, the carbon is removed by plasma oxidation, and is replaced by a metal gate.
  • Disposable carbon sidewall spacers are also used to ensure the formation of shallow lightly doped source/drain extensions.
  • a transistor metal gate is able to provide a desired work function (within 0.2 eV of the E C of silicon) for NMOS devices and a desired work function (within 0.2 eV of the E V of silicon) for PMOS devices.
  • the self aligned metal gates replace a sacrificial carbon gate formed on a high-k gate dielectric.
  • Various embodiments replace the sacrificial carbon gate with aluminum (Al), tungsten (W), molybdenum (Mo), gold (Au), silver (Ag), gold alloy, silver alloy, copper (Cu), platinum (Pt), rhenium (Re), ruthenium (Ru), rhodium (Rh), nickel (Ni), osmium (Os), palladium (Pd), iridium (Ir), cobalt (Co), germanium (Ge) or metallic nitrides such as WN, TiN or TaN covered by metals.
  • Various embodiments provide the self aligned gate on high-k dielectrics such as AlO x , LaAlO 3 , HfAlO 3 , Pr 2 O 3 -based lanthanide oxide, HfSiON, Zr—Sn—Ti—O, ZrON, HfO 2 /Hf, ZrAl X O Y , ZrTiO 4 , Zr-doped Ta oxide, HfO 2 —Si 3 N 4 , lanthanide oxide, TiAlO X , LaAlO X , La 2 Hf 2 O 7 , HfTaO amorphous lanthanide doped TiO X , TiO 2 , HfO 2 , CrTiO 3 , ZrO 2 , Y 2 O 3 , Gd 2 O 3 , praseodymium oxide, amorphous ZrO X N Y , Y—Si—O, LaAlO 3 , a
  • FIGS. 1A-1D illustrate a process for forming a self aligned metal gate for a transistor structure, according to various embodiments of the present subject matter.
  • FIG. 1A illustrates a substrate 101 with a high-k gate dielectric 110 formed thereon.
  • the substrate 101 can be a semiconductor wafer as well as structures having one or more insulative, semi-insulative, conductive, or semiconductive layers and materials.
  • the substrate can include silicon-on-insulator, silicon-on-sapphire, and other structures upon which semiconductor devices are formed.
  • the high-k gate dielectric 110 layer includes a dielectric such as AlO X , LaAlO 3 , HfAlO 3 , Pr 2 O 3 -based lanthanide oxide, HfSiON, Zr—Sn—Ti—O, ZrON, HfO 2 /Hf, ZrAl X O Y , ZrTiO 4 , Zr-doped Ta oxide, HfO 2 —Si 3 N 4 , lanthanide oxide, TiAlO X , LaAlO X , La 2 Hf 2 O 7 , HfTaO amorphous lanthanide doped TiO x , TiO 2 , HfO 2 , CrTiO 3 , ZrO 2 , Y 2 O 3 , Gd 2 O 3 , praseodymium oxide, amorphous ZrO X N Y , Y—Si—O, LaAlO 3 , a dielectric such as
  • a sacrificial gate 103 is formed of amorphous carbon on the high-k gate dielectric 110 .
  • an etch barrier 108 is formed over the sacrificial gate and the dielectric.
  • the etch barrier 108 includes silicon nitride or aluminum oxide, and can be formed using a deposition process, according to various embodiments.
  • Sacrificial sidewall spacers 106 are added adjacent the sacrificial gate 103 .
  • the spacers 106 are formed of amorphous carbon by deposition and conventional direct etch techniques.
  • An ion implantation 130 and high temperature anneal are used to form source/drain regions 102 in areas defined by the sacrificial sidewall spacers 106 . These annealing temperatures can pose problems for aluminum gates and other metal gates that have melting temperatures less than the anneal temperature for the source/drain regions.
  • the sacrificial sidewall spacers ( 106 in FIG. 1A ) have been removed.
  • Various embodiments use a plasma oxidation process to remove the sacrificial sidewall spacers.
  • the etch barrier ( 108 in FIG. 1A ) has been removed.
  • a light dose ion implantation 140 is used to form source/drain extensions 142 in the substrate 101 .
  • the extensions 142 can be annealed at lower temperatures and in shorter times than the more heavily doped source/drain regions 102 .
  • forming source/drain extensions for the transistor includes doping the substrate to a depth of 30 nm or less.
  • conventional, or non-carbon, sidewall spacers 156 are formed and the whole structure is back filled with an oxide fill 158 , such as silicon dioxide, and planarized.
  • oxide fill 158 such as silicon dioxide
  • a planarization procedure such as chemical-mechanical polishing, can be used to provide an even surface.
  • the conventional sidewall spacers are formed with silicon nitride.
  • the sacrificial gate ( 103 in FIG. 1C ) is removed and replaced by the deposition of a metal layer 160 .
  • the sacrificial gate is removed using a plasma oxidation process.
  • Various deposition processes such as evaporation, sputtering or chemical vapor deposition, may be used to form the metal layer 160 .
  • the structure is planarized (not shown) using a planarization procedure, such as chemical-mechanical polishing, resulting in the self aligned metal gate over the high-k gate dielectric insulator 110 .
  • Drain and source contacts (not shown) can be formed, as well as interconnects to other transistors or components, using conventional techniques. Another heat treatment may occur after packaging the integrated circuit in a protective housing in an attempt to minimize the resistivity of the metal gate contacts and other metal interconnections.
  • the metal gate replacement technique can be applied to MOS devices, as generally illustrated in FIG. 1 , as well as to form metal floating gates and/or metal control gates in nonvolatile devices. Additionally, various high-k dielectrics can be used between the floating gate and the substrate, and between the control gate and the floating gate in these nonvolatile devices.
  • FIGS. 1A-1D illustrate two replacement processes for the formation of planar self aligned metal gate transistors, one for disposable sidewall spacers and the other for the gate material itself.
  • FIG. 2 illustrates an embodiment of a method 200 for forming a self aligned metal gate on high-k gate dielectrics.
  • a high-k gate dielectric is formed on a substrate at 202 and a sacrificial carbon gate is formed on the gate dielectric at 204 .
  • Sacrificial carbon sidewall spacers are formed adjacent to the sacrificial carbon gate at 206 , and source/drain regions for the transistor are formed at 208 , using the sacrificial carbon sidewall spacers to define the source/drain regions.
  • Various embodiments form source/drain extensions after removing the carbon sidewall spacers and before replacing with non-carbon sidewall spacers.
  • An etch barrier is used in various embodiments to separate the sacrificial carbon gate from the sacrificial carbon sidewall spacers.
  • Various embodiments replace the carbon sacrificial gate with aluminum (Al), tungsten (W), molybdenum (Mo), gold (Au), silver (Ag), gold alloy, silver alloy, copper (Cu), platinum (Pt), rhenium (Re), ruthenium (Ru), rhodium (Rh), nickel (Ni), osmium (Os), palladium (Pd), iridium (Ir), cobalt (Co), germanium (Ge), or metallic nitrides such as WN, TiN or TaN covered by metals.
  • the high-k gate dielectric formed at 202 may be one of a number of high-k gate dielectrics. Various high-k dielectric embodiments are identified below.
  • the sacrificial carbon gate 103 is formed on high-k gate dielectric 110 .
  • Various embodiments use the specific high-k dielectrics provided below. Some specific process examples are provided below for the identified high-k dielectric. These process examples are not intended to be limited to exclude the identified device structures if the structures are formed using other processes.
  • a high-k dielectric can be fabricated using atomic layer deposition (ALD) processes, evaporated deposition processes, and sputtered deposition processes. Additionally, metal can be oxidized to form a high-k dielectric, and the high-k dielectric can be formed as nanolaminates of dielectric material.
  • ALD atomic layer deposition
  • metal can be oxidized to form a high-k dielectric, and the high-k dielectric can be formed as nanolaminates of dielectric material.
  • the dielectric structure can include stoichiometric structures, non-stoichiometric structures, and combinations of stoichiometric and non-stoichiometric structures.
  • AlO X aluminum oxide
  • a pulse of an oxidant can be provided, followed by a purge or evacuation of the oxidant, followed by a pulse of a precursor containing aluminum, followed by a purge or evacuation of the aluminum-containing precursor.
  • the aluminum precursor can include a variety of precursors, such as trimethylaluminum (TMA), trisobutylaluminum (TIBA), dimethylaluminum hydride (DMAH), AlC 3 , and other halogenated precursors and organometallic precursors.
  • Oxidants can include a water-argon mixture formed by bubbling an argon carrier through a water reservoir, H 2 O 2 , O 2 , O 3 , and N 2 O.
  • the ALD aluminum oxides are not limited to specific aluminum precursors or oxidants. Additional information regarding aluminum oxides formed by ALD can be found in US Patent Application Publication 2003/0207032-A1, entitled “Methods, Systems, and Apparatus for Atomic-Layer Deposition of Aluminum Oxides in Integrated Circuits,” which is herein incorporated by reference.
  • a dielectric film containing LaAlO 3 , Al 2 O 3 , and La 2 O 3 will have a dielectric constant ranging from the dielectric constant of Al 2 O 3 , 9, to the dielectric constant of La 2 O 3 , 30.
  • the amount of lanthanum and aluminum deposited on the surface region of a substrate can be controlled.
  • a dielectric film formed by ALD using a lanthanum sequence and an aluminum sequence can be formed with a composition containing selected or predetermined percentages of LaAlO 3 , Al 2 O 3 , and La 2 O 3 , in which case the effective dielectric constant of the film will be selected or predetermined in the range from 9 to 30.
  • a dielectric film containing almost entirely LaAlO 3 will have a dielectric constant in the range of about 21 to about 25.
  • the resulting dielectric containing LaAlO 3 should be amorphous if an aluminum sequence is used subsequent to a lanthanum sequence.
  • a dielectric film containing LaAlO 3 can be engineered with selected characteristics by also controlling precursor materials for each sequence, processing temperatures and pressures for each sequence, individual precursor pulsing times, and heat treatment at the end of the process, at the end of each cycle, and at the end of each sequence.
  • the heat treatment may include in situ annealing in various atmospheres including argon, nitrogen, and oxygen.
  • a range of equivalent oxide thickness is associated with the capability to provide a composition having a dielectric constant in the range from about 9 to about 30, and the capability to attain physical film thickness in the range from about 0.5 to about 50 nm and above.
  • LaAlO 3 dielectric films can be found in US Patent Application Publication 2003/0207540-A1, entitled “Atomic Layer-Deposited LaAlO 3 Films For Gate Dielectrics,” which is herein incorporated by reference.
  • hafnium aluminum oxide (HfAlO 3 ) formed by ALD as a high-k dielectric.
  • an HfAlO 3 gate dielectric can be formed using atomic layer deposition by employing a hafnium sequence and an aluminum sequence, where the hafnium sequence uses HfCl 4 and water vapor, and the aluminum sequence uses either trimethylaluminum, Al(CH 3 ) 3 , or DMEAA, an adduct of alane (AlH 3 ) and dimethylethylamine [N(CH 3 ) 2 (C 2 H 5 )], with distilled water vapor.
  • a dielectric film containing HfAlO 3 , Al 2 O 3 , and HfO 2 has a dielectric constant ranging from the dielectric constant of Al 2 O 3 , 9, to the dielectric constant of HfO 2 , 25.
  • the amount of hafnium and aluminum deposited on the surface region of a substrate can be controlled.
  • a dielectric film formed by ALD using a hafnium sequence and an aluminum sequence can be formed with a composition containing selected or predetermined percentages of HfAlO 3 , Al 2 O 3 , and HfO 2 , in which case the effective dielectric constant of the film will be selected or predetermined in the range from 9 to 25.
  • the resulting dielectric containing HfAlO 3 should be amorphous.
  • a dielectric film containing HfAlO 3 can be engineered with selected characteristics by also controlling precursor materials for each sequence, processing temperatures and pressures for each sequence, individual precursor pulsing times, and heat treatment at the end of the process, at the end of each cycle, and at the end of each sequence.
  • the heat treatment may include in situ annealing in various atmospheres including argon and nitrogen.
  • HfAlO 3 dielectric films can be found in US Patent Application Publication 2003/0227033-A1, entitled “Atomic Layer-Deposited HfAlO 3 Films For Gate Dielectrics,” which is herein incorporated by reference.
  • a Pr 2 O 3 -based La-Oxide dielectric as a high-k dielectric.
  • a Pr 2 O 3 -based La-Oxide gate dielectric can be formed by electron beam evaporation as a nanolaminate of Pr 2 O 3 and a lanthanide oxide selected from the group consisting of Nd 2 O 3 , Sm 2 O 3 , Gd 2 O 3 , and Dy 2 O 3 .
  • an electron gun generates an electron beam that hits a target that contains a ceramic Pr 6 O 11 source, which is evaporated due to the impact of the electron beam.
  • the evaporated material is then distributed throughout a chamber, and a dielectric layer of Pr 2 O 3 is grown, forming a film on the surface of the structure that it contacts.
  • the resultant Pr 2 O 3 layer includes a thin amorphous interfacial layer of about 0.5 nm thickness separating a crystalline layer of Pr 2 O 3 from the substrate on which it is grown. This thin amorphous layer is beneficial in reducing the number of interface charges and eliminating any grain boundary paths for conductance from the substrate.
  • Other source materials can be used for forming the Pr 2 O 3 layer, as are known to those skilled in the art.
  • the other lanthanide oxide is selected from the group consisting of Nd 2 O 3 , Sm 2 O 3 , Gd 2 O 3 , and Dy 2 O 3 .
  • a corresponding source material is used in the target for electron beam evaporation.
  • the source material for the particular lanthanide oxide is chosen from commercial materials for forming the lanthanide oxide by electron beam evaporation, as is known by those skilled in the art.
  • nanolaminates of lanthanide oxides are formed by electron beam evaporation.
  • the lanthanide oxides used in these nanolaminates are chosen from the group consisting of Pr 2 O 3 , Nd 2 O 3 , Sm 2 O 3 , Gd 2 O 3 , and Dy 2 O 3 .
  • the structure of the nanolaminates can be varied with any one of the group used as the initial layer formed on a substrate.
  • the substrate is silicon based, since these lanthanide oxides are thermodynamically stable with respect to formation on a silicon surface.
  • lanthanide oxide nanolaminates are formed by atomic layer deposition.
  • a Pr 2 O 3 film formed on silicon has a dielectric constant of about 31 when formed with little or no interfacial layer between the Pr 2 O 3 film and the substrate.
  • the dielectric constants for the other lanthanide oxides are also in the range of 25-30.
  • a dielectric layer grown by forming a nanolaminate of lanthanide oxides has a dielectric constant in the range of about 25 to about 31.
  • the t eq of the dielectric layer is the t eq of the interfacial layer in parallel with the lanthanide oxide nanolaminate.
  • the dielectric layer formed having an interfacial layer between the substrate on which it is grown and a lanthanide oxide nanolaminate can have an effective dielectric constant considerably less than a dielectric constant associated with a nanolaminate of lanthanide oxides. This is dependent upon the dielectric constant of the interfacial material being considerably less than the dielectric constant of the lanthanide oxides used to form the nanolaminate.
  • a Pr 2 O 3 layer can be formed on a silicon based substrate having a dielectric constant of about 31 with an interfacial layer of about 0.5 nm (5 ⁇ ).
  • an effective dielectric constant for a thin layer of Pr 2 O 3 on silicon is about 15.
  • Similar effective dielectric constants are associated with thin layers of Nd 2 O 3 , Sm 2 O 3 , Gd 2 O 3 , and Dy 2 O 3 oxides on silicon.
  • a thin layer of Nd 2 O 3 has an effective dielectric constant of about 12.9 with an interfacial layer of about 8.2 ⁇
  • a thin layer of Sm 2 O 3 has an effective dielectric constant of about 11.4 with an interfacial layer of about 5.5 ⁇
  • a thin layer of Gd 2 O 3 has an effective dielectric constant of about 13.9 with an interfacial layer of about 10 ⁇
  • a thin layer of Dy 2 O 3 has an effective dielectric constant of about 14.3 with an interfacial layer of about 12 ⁇ .
  • Lanthanide oxides grown on silicon with these reduced effective dielectric constants and corresponding interfacial layers can be attained with a t eq equal to about 13 ⁇ for Pr 2 O 3 , about 12.4 ⁇ for Nd 2 O 3 , about 12.2 ⁇ for Sm 2 O 3 , about 13 ⁇ for Gd 2 O 3 , and about 13.3 ⁇ for Dy 2 O 3 . Consequently, nanolaminates of these lanthanide oxides can be formed with an effective dielectric constant in the range of 11 to 15 and a t eq in the range of about 12 ⁇ to about 14 ⁇ .
  • interfacial layer is one factor in determining how thin a layer can be grown.
  • An interfacial layer can be SiO 2 for many processes of forming a non-SiO 2 dielectric on a silicon substrate.
  • a thin amorphous interfacial layer is formed that is not a SiO 2 layer.
  • this interfacial layer is either an amorphous layer primarily of Pr 2 O 3 formed between the silicon substrate and a crystalline form of Pr 2 O 3 , or a layer of Pr—Si—O silicate.
  • the dielectric constant for Pr—Si—O silicate is significantly greater than SiO 2 , but not as high as Pr 2 O 3 .
  • Another factor setting a lower limit for the scaling of a dielectric layer is the number of monolayers of the dielectric structure necessary to develop a full band gap such that good insulation is maintained between an underlying silicon layer and an overlying conductive layer on the dielectric layer or film. This requirement is necessary to avoid possible short circuit effects between the underlying silicon layer and the overlying conductive layer used.
  • an expected lower limit for the physical thickness of a dielectric layer grown by forming a lanthanide oxide nanolaminate is anticipated to be in about the 2-4 nm range.
  • typical dielectric layers or films can be grown by forming lanthanide oxide nanolaminates having physical thicknesses in the range of 4 to 10 nm.
  • the number of layers used, the thickness of each layer, and the lanthanide oxide used for each layer can be engineered to provide the desired electrical characteristics.
  • the use of Pr 2 O 3 as the initial layer is expected to provide excellent overall results with respect to reliability, current leakage, and ultra-thin t eq .
  • Some embodiments include forming lanthanide oxide nanolaminates by electron beam evaporation with target material to form Pr 2 O 3 , forming lanthanide oxide nanolaminates by atomic layer deposition, and electron beam evaporation forming lanthanide oxide nanolaminates with initial layers of a lanthanide oxide other than Pr 2 O 3 .
  • the physical thicknesses can range from about 2 nm to about 10 nm, with typical thickness ranging from about 4 nm to about 10 nm.
  • Such layers have an effective dielectric constant ranging from 11 to 31, where a layer with a typical interfacial layer has an effective dielectric constant in the range of 111 to 16, and a layer with a significantly thin interfacial layer can attain an effective dielectric constant in the range of 25 to 31. Consequently, the equivalent oxide thickness of a dielectric layer formed as a lanthanide oxide nanolaminate can be engineered over a significant range.
  • Various embodiments provide a typical t eq of about 14 ⁇ . With careful preparation and engineering of the lanthanide oxide nanolaminate limiting the size of interfacial regions, a t eq down to 2.5 ⁇ or lower is anticipated.
  • Pr 2 O 3 -based La-Oxide dielectric films can be found in US Patent Application Publication 2003/0228747-A1, entitled “Pr 2 O 3 -based La-Oxide Gate Dielectrics,” which is herein incorporated by reference.
  • a lanthanide doped TiO x dielectric layer can be formed by depositing titanium and oxygen onto a substrate surface by atomic layer deposition and depositing a lanthanide dopant by atomic layer deposition onto the substrate surface containing the deposited titanium and oxygen.
  • the dopant can be selected from a group consisting of Nd, Tb, and Dy.
  • a method of forming a dielectric film includes depositing titanium and oxygen onto a substrate surface by atomic layer deposition and depositing a lanthanide dopant by atomic layer deposition onto the substrate surface containing the deposited titanium and oxygen.
  • the titanium sequence and the lanthanide dopant sequence include using precursors that form oxides of the titanium and the lanthanide dopant.
  • precursor TiI 4 with H 2 O 2 as its reactant precursor in an ALD process can form TiO x
  • Depositing the lanthanide dopant includes regulating the deposition of the lanthanide dopant relative to the titanium and oxygen deposited on the substrate surface to form a dielectric layer containing TiO x doped with a predetermined percentage of the lanthanide.
  • depositing a lanthanide dopant includes depositing a lanthanide selected from a group consisting of Nd, Tb, and Dy.
  • the lanthanide dopant can be included in the TiO x film using different embodiments for atomic layer deposition.
  • a lanthanide can be doped in the TiO x film by pulsing a lanthanide dopant sequence in place of a titanium sequence. The lanthanide dopant level is then controlled by regulating the number of cycles of the lanthanide dopant sequence with respect to the number of cycles of the titanium sequence.
  • a lanthanide can be doped in the TiO x film by pulsing a lanthanide dopant precursor substantially simultaneously with a titanium precursor.
  • the titanium/lanthanide dopant sequence includes a precursor for oxidizing the titanium/lanthanide dopant at the substrate surface. The lanthanide dopant level is then controlled by regulating the mixture of the titanium-containing precursor and the lanthanide-containing precursor.
  • Dielectric films of lanthanide doped TiO x formed by atomic layer deposition can provide not only ultra thin t eq films, but also films with relatively low leakage current.
  • attainment of relatively low leakage current is engineered by doping with lanthanides selected from a group consisting of Nd, Tb, and Dy.
  • lanthanides selected from a group consisting of Nd, Tb, and Dy.
  • a layer of undoped TiO x can be amorphous, which assists in the reduction of leakage current, doping with these lanthanides yields a doped amorphous TiO x with enhanced leakage current characteristics.
  • Leakage currents on the order of 10 ⁇ 7 A/cm 2 or smaller in TiO x layers doped with Nd, Tb, or Dy can be attained, which are orders of magnitude smaller than for undoped TiO x .
  • the breakdown electric fields are several factors larger for layers of TiO x doped with Nd, Tb, or Dy than for layers of undoped TiO x .
  • the doping of the TiO x layer with a lanthanide occurs as a substitution of a lanthanide atom for a Ti atom.
  • the resultant doped TiO x layer is a layer of amorphous Ti 1-y L y O x , where L is a lanthanide. Controlling the ALD cycles of the titanium sequence and the lanthanide dopant sequence allows a Ti 1-y L y O x , or lanthanide doped TiO x , dielectric layer to be formed where the lanthanide, L, can range from about 5% to about 40% of the dielectric layer formed.
  • Such TiO x layers doped with Nd, Tb, or Dy formed by ALD can provide the reduced leakage current and increased breakdown mentioned above.
  • a HfSiON dielectric can be formed by atomic layer deposition.
  • a HfSiON layer thickness is controlled by repeating for a number of cycles a sequence including pulsing a hafnium-containing precursor into a reaction chamber, pulsing an oxygen-containing precursor into the reaction chamber, pulsing a silicon-containing precursor into the reaction chamber, and pulsing a nitrogen-containing precursor until a desired thickness is formed.
  • the hafnium-containing precursor includes a HfCl 4 precursor in some embodiments, and a HfI 4 precursor in other embodiments.
  • the oxygen-containing precursor includes water vapor or a vapor solution of H 2 O—H 2 O 2 in the reaction chamber.
  • the silicon-containing precursor includes a SiCl 4 precursor.
  • a nitrogen-containing precursor includes a NH 3 precursor in some embodiments. NH 3 annealing at about 550° C. can also be performed.
  • HfSiON dielectric films can be found in US Patent Application Publication 2004/0043569-A1, entitled “Atomic Layer Deposited HfSiON Dielectric Films,” which is herein incorporated by reference.
  • a Zr—Sn—Ti—O dielectric layer can be formed by depositing titanium and oxygen onto a substrate surface by atomic layer deposition, depositing zirconium and oxygen onto a substrate surface by atomic layer deposition, and depositing tin and oxygen onto a substrate surface by atomic layer deposition.
  • Metal chloride precursors can be pulsed for each metal in the Zr—Sn—Ti—O.
  • the dielectric film is formed by depositing TiO 2 onto a surface by atomic layer deposition, depositing zirconium and oxygen onto the surface by atomic layer deposition, and depositing tin and oxygen onto the surface by atomic layer deposition.
  • the TiO 2 deposition can include pulsing a TiCl 4 precursor.
  • the zirconium and oxygen deposition can include pulsing a ZrCl 4 precursor.
  • the tin and oxygen deposition can include pulsing a SnCl 4 precursor.
  • the formation of the dielectric film is controlled such that the dielectric film has a composition substantially of Zr y Sn x Ti 1-x-y O 4 with 0.3 ⁇ y ⁇ 0.7 and 0 ⁇ x ⁇ 0.2.
  • a plurality of gaseous precursors can be separately introduced to a surface of the semiconductor substrate.
  • the gaseous precursors comprise a metal gaseous precursor and at least two nonmetallic gaseous precursors.
  • a first gaseous precursor of the plurality of gaseous precursors is purged or evacuated from the surface of the semiconductor substrate before a second gaseous precursor of the plurality of gaseous precursors is introduced to the surface of the semiconductor substrate.
  • the metal gaseous precursor can include zirconium tetrachloride, zirconium tetraiodide, hafnium tetrachloride, hafnium tetraiodide, or a halogenated tantalum.
  • a hafnium nitrate precursor such as an anhydrous hafnium nitrate precursor, can be used to form the layer of hafnium.
  • a layer of hafnium oxide can be formed using an anhydrous hafnium nitrate precursor and a water vapor precursor.
  • the substrate may be maintained at about 180° C. during the formation of the layer of hafnium and the formation of the layer of hafnium oxide.
  • the high-k dielectric film can be ZrAl x O y , which can be formed by ALD by pulsing a zirconium-containing precursor onto a substrate, pulsing a first oxygen-containing precursor, pulsing an aluminum-containing precursor, and pulsing a second oxygen-containing precursor to form ZrAl x O y .
  • a precursor can be used that includes both zirconium and oxygen to provide the zirconium and oxygen in one pulsing process, and a precursor can be used that contains both aluminum and oxygen to provide the aluminum and oxygen in one pulse.
  • the dielectric layer contains Zr 4 AlO 9 .
  • An interfacial layer of silicon oxide or silicon between the substrate and the ZrAl x O y dielectric can be less than about 1 nm.
  • the zirconium-containing precursor can be selected from ZrCl 4 and ZrI 4 precursors.
  • the aluminum-containing precursor can be selected from trimethylaluminum and DMEAA.
  • Oxygen-containing precursors can be selected from H 2 O, H 2 O 2 , and a H 2 O—H 2 O 2 mixture.
  • the high-k dielectric film can be ZrTiO 4 , which can be formed by ALD by pulsing a titanium-containing precursor onto a substrate, and pulsing a zirconium-containing precursor to form an oxide containing Zr and Ti.
  • the pulsing of the titanium-containing precursor and the pulsing of the zirconium-containing precursor is controlled to provide a dielectric layer with a predetermined zirconium to titanium ratio.
  • the ZrTiO 4 film is formed with a Zr/Ti ratio of about 0.4/0.6.
  • a zirconium-containing precursor used to form the oxide containing Zr and Ti can include zirconium tertiary-butoxide.
  • the titanium-containing precursor can be selected from TiCl 4 , TiI 4 , Ti(OCH(CH 3 ) 2 ) 4 , and Ti(OC 2 H 5 ) 4 .
  • the first pulsing of the titanium-containing precursor can be performed before pulsing the zirconium tertiary-butoxide precursor.
  • the high-k dielectric film can be a zirconium-doped tantalum oxide dielectric layer, such as can be formed by depositing tantalum by atomic layer deposition onto a substrate surface and depositing a zirconium dopant by atomic layer deposition onto the substrate surface.
  • the formation of the zirconium-doped tantalum oxide can include pulsing a tantalum-containing precursor to deposit tantalum onto a substrate surface, pulsing an oxygen-containing precursor to deposit oxygen onto the substrate surface, repeating for a number of cycles the pulsing of the tantalum-containing precursor and the pulsing of the oxygen-containing precursor, and substituting a zirconium cycle for one or more cycles of the pulsing of the tantalum-containing precursor.
  • the zirconium cycle includes pulsing a zirconium-containing precursor to deposit zirconium onto the substrate surface.
  • a reactant precursor is selected to produce an oxidizing reaction for the zirconium at the substrate surface.
  • a tantalum-containing precursor includes Ta(OC 2 H 5 ) 5
  • a zirconium-containing precursor includes ZrI 4 .
  • the high-k dielectric layer can be formed by depositing HfO 2 -Silicon-Nitride by atomic layer deposition.
  • the HfO 2 -Silicon-Nitride is formed on SiO 2 .
  • the silicon nitride can be formed using SiCl 4 and NH 3 gases, and HfO 2 can be formed by ALD using hafnium tetraiodide and oxygen as precursors.
  • Anhydrous Hf(NO 3 ) 4 and H 2 O vapor may also be used.
  • lanthanide oxide high-k dielectric with a ruthenium or ruthenium oxide gate.
  • the lanthanide oxide dielectric layer is formed by depositing lanthanum by atomic layer deposition onto a substrate surface using a trisethylcyclopentadionatolanthanum precursor or a trisdipyvaloylmethanatolanthanum precursor.
  • a ruthenium gate on a lanthanide oxide dielectric layer provides a gate structure that effectively prevents a reaction between the gate and the lanthanide oxide dielectric layer.
  • Forming the dielectric includes forming an insulating metal oxide, which includes forming a first layer of at least one of a first metal and a second metal by atomic layer deposition, annealing the first layer using oxygen, and forming, after annealing the first layer, a second layer of an insulating metal oxide of the first metal and the second metal onto the first layer by atomic layer deposition to form a contiguous layer.
  • the first layer can include a layer of the first metal and the second metal.
  • the first layer can have a thickness of about one monolayer or at most substantially two monolayers.
  • a first layer of titanium aluminum oxide is formed by atomic layer deposition, and the first layer is annealed using atomic oxygen.
  • a second layer of titanium aluminum oxide is formed onto the first layer by atomic layer deposition, after annealing the first layer, to form a contiguous layer.
  • the first layer of titanium aluminum oxide can be formed using TiI 4 or trimethylaluminum as a precursor, and the second layer of titanium aluminum oxide can be formed using TiCl 4 as a precursor.
  • the titanium oxide and the titanium aluminum oxide film can be formed as a nanolaminate.
  • the high-k dielectric film can be provided by a lanthanum aluminum oxide dielectric layer, which can be formed by depositing aluminum and lanthanum by atomic layer deposition onto a substrate surface in which precursors to deposit the lanthanum include a trisethylcyclopentadionatolanthanum precursor and/or a trisdipyvaloylmethanatolanthanum precursor, and a metal (e.g. Al) containing precursor is also used.
  • the lanthanum aluminum oxide can be formed as a compound of lanthanum oxide and aluminum oxide.
  • the dielectric layer can include LaAlO 3 .
  • the high-k dielectric can be provided as a lanthanum hafnium oxide layer, which can be formed by depositing hafnium and lanthanum by atomic layer deposition onto a substrate surface.
  • the process includes introducing a lanthanum-containing precursor to a substrate, and introducing a hafnium-containing precursor to the substrate.
  • Embodiments include methods and apparatus in which precursors to deposit the lanthanum include a trisethylcyclopentadionatolanthanum (La(EtCp) 3 ) precursor, a tris(2,2,6,6-tetramethyl-3,5-heptanedionato) lanthanum (III) precursor, a trisdipyvaloylmethanatolanthanum precursor, or a tris(2,2,6,6-tetramethyl-3,5-heptanedionato)lanthanum (III) tetraglyme adduct precursor.
  • La(EtCp) 3 trisethylcyclopentadionatolanthanum
  • III tris(2,2,6,6-tetramethyl-3,5-heptanedionato) lanthanum
  • III trisdipyvaloylmethanatolanthanum precursor
  • the high-k dielectric can be provided as a hafnium tantalum oxide film, which can be formed by depositing hafnium and tantalum by atomic layer deposition onto a substrate surface.
  • a tantalum-containing precursor can include a tantalum ethoxide precursor, and a hafnium-containing precursor can include a hafnium nitrate precursor.
  • the high-k dielectric can be provided as an ALD-formed amorphous dielectric layer of titanium oxide (TiO X ) doped with lanthanide elements, such as samarium, europium, gadolinium, holmium, erbium and thulium.
  • TiO X titanium oxide
  • the dielectric structure is formed by depositing titanium oxide by atomic layer deposition onto a substrate surface using precursor chemicals, followed by depositing a layer of a lanthanide dopant, and repeating to form a sequentially deposited interleaved structure. The leakage current of the dielectric layer is reduced when the percentage of the lanthanide element doping is optimized.
  • the amorphous dielectric layer is formed on a substrate by atomic layer deposition at a predetermined temperature, such as within a range of approximately 100° C. to 250° C.
  • the amorphous dielectric layer can be comprised of a plurality of individual titanium oxide layers, with at least one lanthanide layer interleaved between each individual one of the titanium oxide layers.
  • the dielectric layer can have a titanium to lanthanide ratio selected to obtain a dielectric constant value of from 50 to 100, and can be selected with a titanium to lanthanide ratio selected to obtain a leakage current of less than 10 ⁇ 8 A/cm 2 and a breakdown voltage of greater than 2.0 MV/cm.
  • the high-k dielectric can be provided as a Ti gate dielectric, which may be formed by providing a substrate assembly in a vacuum chamber, and forming a gate dielectric on the surface, including forming a metal oxide on at least a portion of the surface of the substrate assembly by electron beam evaporation, and generating an ion beam using an inert gas to provide inert gas ions for contacting the metal oxide during formation thereof.
  • An environment including oxygen e.g. ozone
  • the metal oxide can be selected from the group consisting of TiO 2 , Y 2 O 3 , Al 2 O 3 , ZrO 2 , HfO 2 , Y 2 O 3 —ZrO 2 , ZrSiO 4 , LaAlO 3 , and MgAl 2 O 4 .
  • the high-k dielectric can be provided as a TiO 2 dielectric, which can be physically vapor formed as a high purity metal layer over the semiconductor substrate. After forming such a layer, the high purity metal layer can be oxidized employing atomic oxygen generated in a high density plasma environment to form the dielectric material.
  • the physically vapor formed high purity metal layer can have at least about 99.9% purity over the semiconductor substrate.
  • the physical vapor formation can include electron beam evaporation. Prior to the electron beam evaporation, the vacuum chamber can be evacuated to a base pressure of about 1 ⁇ 10 ⁇ 7 Torr or lower, and a low-energy ion-bombardment source is directed towards the semiconductor substrate during the electron beam evaporation.
  • a low-energy argon ion-bombardment can be directed towards the semiconductor substrate during the electron beam evaporation.
  • the high purity metal layer can include two or more high purity metals, such as a metal-silicon alloy.
  • the high purity metal can be selected from titanium, yttrium, zirconium, hafnium and various mixtures thereof.
  • the high-k dielectric can be provided by CoTiO 3 , which can be formed from alloys such as cobalt-titanium. These alloys are thermodynamically stable such that the gate dielectrics formed will have minimal reactions with a silicon substrate or other structures during any later high temperature processing stages.
  • the underlying substrate surface smoothness is preserved by using a thermal evaporation technique to deposit the layer to be oxidized.
  • a metal alloy layer is evaporation deposited on the body region, and the metal alloy layer is oxidized to form a metal oxide layer on the body region.
  • Cobalt and titanium can be evaporation deposited, such as by electron beam evaporation.
  • the evaporation deposition of the metal alloy layer can be performed at a substrate temperature range of 100-150° C., and the oxidation of the metal alloy layer can be performed at a temperature of approximately 400° C.
  • a krypton (Kr)/oxygen (O 2 ) mixed plasma can be used in the oxidization process.
  • HfO 2 and other amorphous high-k gate oxide films can be found in US Patent Publication No. 2003/0119246A1, entitled “Low-Temperature Grown High Quality Ultra-Thin CoTiO 3 Gate Dielectrics,” which is herein incorporated by reference.
  • Oxides of Group IVB Elements e.g. ZrO 2
  • the high-k gate dielectric can be formed from elements like zirconium, such as ZrO 2 , which are thermodynamically stable such that the gate dielectric will have little reaction with a silicon substrate or other structures during any later high temperature processing stages.
  • the gate dielectric can be formed by evaporation depositing a metal layer on the body region, the metal being chosen from the group IVB elements of the periodic table, and oxidizing the metal layer to form a metal oxide layer on the body region.
  • the metal layer can include a zirconium layer, which can be deposited by electron beam evaporation.
  • the substrate temperature range for the deposition can be within a range of 150-400° C.
  • the oxidation can be performed using atomic oxygen or with a krypton (Kr)/oxygen (O 2 ) mixed plasma, for example.
  • Group IIIB/Rare Earth Series (Crystalline or Amorphous Y 2 O 3 and Gd 2 O 3 )
  • the high-k dielectric can be provided using elements such as yttrium and gadolinium, which are thermodynamically stable such that the resulting gate dielectrics have minimal reaction with a silicon substrate or other structures during any later high temperature processing stages.
  • the underlying substrate surface smoothness is preserved using a thermal evaporation technique to deposit the layer to be oxidized.
  • the gate dielectric can be formed by evaporation depositing a metal layer on the body region, where the metal is chosen from a group consisting of the group IIIB elements and the rare earth series of the periodic table, and by oxidizing the metal layer to form a metal oxide layer on the body region.
  • the metal layer can be yttrium and can be gadolinium. Electron beam evaporation can be used.
  • the substrate temperature for the deposition can be approximately 150-400° C. Atomic oxygen and a krypton (Kr)/oxygen (O 2 ) mixed plasma can be used to oxidize the metal layer, for example.
  • gate oxides formed from elements such as yttrium and gadolinium can be found in U.S. Pat. No. 6,844,203 entitled “Gate Oxides, and Methods of Forming,” which is herein incorporated by reference.
  • the high-k dielectric can be provided by a metal oxynitride such as ZrO X N Y .
  • the addition of nitrogen to the microstructure of the gate dielectric promotes an amorphous phase that provides the gate dielectric with improved electrical properties.
  • the underlying substrate surface smoothness is preserved by using a thermal evaporation technique to first deposit a metal layer.
  • the gate dielectric can be formed by evaporation depositing a metal layer such as a zirconium layer on the body region, oxidizing the metal layer, and nitriding the metal layer. Electron beam evaporation can be used.
  • the substrate temperature for the deposition can be in an approximate temperature range of 150-400° C.
  • Atomic oxygen and a krypton (Kr)/oxygen (O 2 ) mixed plasma for example, can be used to oxidize the metal layer.
  • the metal layer can be annealed in NH 3 at a temperature of approximately 700° C.
  • a layer of silicon dioxide can be formed to overlie at least one portion of the surface; and the first metal-containing dielectric layer can be formed by forming a metal layer over the layer of silicon dioxide, and combining metal of the metal layer with oxygen of the silicon dioxide layer to form a metal oxide dielectric material.
  • the second metal-containing dielectric layer can be an element selected from Group IIIB of the periodic table.
  • the high-k dielectric can be provided by an La 2 O 3 /Hf 2 O 3 nanolaminate. Alternate layers of hafnium oxide and lanthanum oxide over a substrate can be deposited to form a composite.
  • the dielectric can be provided by forming one hafnium oxide monolayer, forming one lanthanum oxide monolayer, and repeating to form a plurality of single hafnium oxide monolayers interspersed among a plurality of single lanthanum oxide monolayers. Multiple hafnium oxide monolayers can be formed to create a hafnium oxide multilayer, and multiple lanthanum oxide monolayers can be formed to create a lanthanum oxide multilayer.
  • a plurality of hafnium oxide multilayers can be interspersed among a plurality of lanthanum oxide multilayers.
  • the hafnium oxide can comprise thermally stable, crystalline hafnium oxide, and the lanthanum oxide can comprise thermally stable, crystalline lanthanum oxide.
  • At least one monolayer of a first material is chemisorbed over a substrate, where the first material comprises a first metal. At least some of the chemisorbed first material is treated and an oxide of the first metal is formed. At least one monolayer of a second material (second metal) is chemisorbed on the first metal oxide. An oxide of the second metal is formed.
  • One of the first and second metals comprises hafnium and the other comprises lanthanum.
  • the first material can comprise HfCl 4 , and the chemisorbed first material can be treated by exposure to H 2 O to form HfO 2 .
  • the first material can comprise La(thd) 3 , and the chemisorbed first material can be treated by exposure to H 2 O to form La 2 O 3 .
  • the high-k dielectric can be provided by a HfO 2 /ZrO 2 nanolaminate, which can be formed by atomic layer deposition of HfO 2 using a HfI 4 precursor followed by the formation of ZrO 2 on the HfO 2 layer.
  • the HfO 2 layer thickness is controlled by repeating for a number of cycles a sequence including pulsing the HfI 4 precursor into a reaction chamber, pulsing a purging gas into the reaction chamber, pulsing a first oxygen-containing precursor into the reaction chamber, and pulsing the purging gas until the desired thickness is formed.
  • These gate dielectrics containing HfO 2 /ZrO 2 nanolaminates are thermodynamically stable such that the HfO 2 /ZrO 2 nanolaminates will have minimal reaction with a silicon substrate or other structures during processing.
  • the layer of zirconium oxide can be formed by rapid thermal CVD at about 500° C. A nitrogen anneal between about 700° C. and about 900° C. can be performed after the layer of zirconium oxide is formed.
  • the layer of hafnium oxide can be formed by pulsing a first oxygen-containing precursor, such as water vapor, into the reaction chamber after pulsing the HfI 4 precursor into the reaction chamber.
  • nanolaminates such a HfO 2 /ZrO 2 as high-k dielectrics can be found in US Patent Application Publication 2004/0023461-A1 entitled “Atomic Layer Deposited Nanolaminates of HfO 2 /ZrO 2 films as Gate Dielectrics,” which is herein incorporated by reference.
  • the high-k dielectric can be provided by a lanthanide oxide/zirconium oxide nanolaminate.
  • the ZrO 2 is deposited by multiple cycles of reaction sequence atomic layer deposition (RS-ALD) that includes depositing a ZrI 4 precursor onto the surface of the substrate in a first pulse followed by exposure to H 2 O/H 2 O 2 in a second pulse, thereby forming a thin ZrO 2 layer on the surface.
  • RS-ALD reaction sequence atomic layer deposition
  • the lanthanide oxide layer is deposited by electron beam evaporation.
  • the composite laminate zirconium oxide/lanthanide oxide dielectric layer has a relatively high dielectric constant and can be formed in layers of nanometer dimensions.
  • the high-k dielectric can be provided by a lanthanide oxide/hafnium oxide nanolaminate, such as can be formed by forming a layer of hafnium oxide by atomic layer deposition and forming a layer of a lanthanide oxide by electron beam evaporation.
  • the combined thickness of lanthanide oxide layers is limited to between about 2 nm and about 10 nm.
  • Multilayers of hafnium oxide can be formed, where each layer of lanthanide oxide is limited to a thickness between about 2 nm and 10 nm.
  • Some embodiments limit the combined thickness of hafnium oxide layers to a thickness between about 2 nm and about 10 nm.
  • the high-k dielectric can be provided by a PrO X /ZrO 2 nanolaminate.
  • the nanolaminate layered dielectric structure is formed by depositing praseodymium by atomic layer deposition onto a substrate surface using precursor chemicals, followed by depositing zirconium onto the substrate using precursor chemicals, and repeating to form the thin laminate structure.
  • the dielectric layer can be formed using either a reaction sequence atomic layer deposition, a metallo-organic chemical vapor deposition, or a combination thereof.
  • the praseodymium oxide layer includes forming an amorphous oxide including Pr 6 O 11 , Pr 2 O 3 , PrO 3 , and PrO 2 , and combinations thereof.
  • the zirconium oxide layer can include an amorphous oxide including ZrO, ZrO 2 , and combinations thereof.
  • the high-k dielectric can be provided by a hafnium nitride (Hf 3 N 4 )/hafnium oxide (HfO 2 ) nanolaminate. At least one hafnium oxide layer and at least one hafnium nitride layer form the nanolaminate. Both the hafnium oxide and the hafnium nitride can be formed using atomic layer deposition.
  • the dielectric layer can include an amorphous dielectric that includes HfO 2 , Hf 3 N 4 , and combinations thereof.
  • the hafnium oxide layer can be comprised of a plurality of individually deposited hafnium oxide layers, where each individual one of the hafnium oxide layers is less than or equal to two monolayers in thickness. Each individual one of the hafnium oxide layers can be a continuous monolayer. Each individual one of the hafnium oxide layers can have a thickness within a range from 1.3 to 1.5 ⁇ .
  • the resulting dielectric layer can have a root mean square surface roughness that is less than one tenth of the layer thickness.
  • a ratio of a thickness of hafnium oxide to a thickness of hafnium nitride can be selected to result in a dielectric constant of the dielectric film of greater than 20. Some embodiments separate the dielectric film from the substrate by a diffusion barrier.
  • the dielectric film can be formed at a temperature less than 300° C.
  • Hf[(CH 3 ) 2 ] 4 can be used as a precursor and water vapor can be used as a reactant to form the hafnium oxide in a deposition process with a temperature between 250° C. to 300° C.
  • HfCl 4 can be used as a precursor and water vapor can be used as a reactant to form the hafnium oxide in a deposition process with a temperature of approximately 300° C.
  • Hf[(CH 3 ) 2 ] 4 can be used as a precursor and ammonia (NH 3 ) can be used as a reactant to form the hafnium oxide in a deposition process with a temperature of approximately 250° C.
  • hafnium nitride and hafnium oxide film as a continuous layer with a root mean square surface roughness of less than 10 ⁇ and a current leakage rate of less than 5 ⁇ 10 ⁇ 7 amps per cm 2 at an electric field strength of 1 megavolt per cm.
  • the high-k dielectric can be provided by a Zr 3 N 4/ ZrO 2 nanolaminate.
  • Atomic layer deposition can be used to form at least one zirconium oxide layer and at least one zirconium nitride layer.
  • the dielectric layer can include an amorphous dielectric that includes ZrO 2 , Zr 3 N 4 , and combinations thereof.
  • the zirconium oxide layer can be comprised of a plurality of individually deposited zirconium oxide layers, where each individual one of the zirconium oxide layers is less than or equal to two monolayers in thickness. Each individual one of the zirconium oxide layers can be a continuous monolayer with a step coverage of greater than 90% over 90 degree angle steps.
  • each individual one of the zirconium oxide layers has a thickness within a range from 1.3 to 1.5 ⁇ .
  • Some embodiments provide the dielectric layer with a root mean square surface roughness that is less than one tenth of the layer thickness.
  • a ratio of a thickness of zirconium oxide to a thickness of zirconium nitride can be selected to result in a dielectric constant of the dielectric film of greater than 20.
  • a diffusion barrier can separate the dielectric film from the substrate.
  • the dielectric film can be formed at a temperature of between 275° C. to 325° C.
  • the zirconium nitride and zirconium oxide film can each be a continuous layer having a root mean square surface roughness of less than 5 ⁇ and a current leakage rate of less than 1.1 ⁇ 10 ⁇ 7 amps per cm 2 at an electric field strength of 1 megavolt per cm.
  • the high-k dielectric can be provided by a TiO 2 /CeO 2 nanolaminate using ALD processes.
  • FIG. 5 illustrates a simplified block diagram of a high-level organization of an electronic system that includes transistors with self aligned metal gates, according to various embodiments.
  • the system 560 includes a memory device 561 which has an array of memory cells 562 , address decoder 563 , row access circuitry 564 , column access circuitry 565 , read/write control circuitry 566 for controlling operations, and input/output circuitry 567 .
  • the memory device 561 further includes power circuitry 568 , and sensors 569 for determining the state of the memory cells.
  • the illustrated power circuitry 568 includes power supply circuitry, circuitry for providing a reference voltage, circuitry for providing the word line with pulses, and circuitry for providing the bit line with pulses. Also, as shown in FIG.
  • the system 560 includes a processor 570 , or memory controller for memory accessing.
  • the memory device receives control signals from the processor over wiring or metallization lines.
  • the memory device is used to store data which is accessed via I/O lines. It will be appreciated by those skilled in the art that additional circuitry and control signals can be provided, and that the memory device has been simplified.
  • At least one of the processor or memory device includes the transistor with the self aligned metal gate according to the present subject matter.
  • system 560 is intended to provide a general understanding of one application for the structure and circuitry of the present subject matter, and is not intended to serve as a complete description of all the elements and features of an electronic system.
  • an electronic system can be fabricated in single-package processing units, or even on a single semiconductor chip, in order to reduce the communication time between the processor and the memory device.
  • Applications containing transistors with self aligned metal gates on high-k dielectrics include electronic systems for use in memory modules, device drivers, power modules, communication modems, processor modules, and application-specific modules, and may include multilayer, multichip modules.
  • Such circuitry can further be a subcomponent of a variety of electronic systems, such as a clock, a television, a cell phone, a personal computer, an automobile, an industrial control system, an aircraft, and others.

Abstract

A method for forming a transistor including a self aligned metal gate is provided. According to various method embodiments, a high-k gate dielectric is formed on a substrate and a sacrificial carbon gate is formed on the gate dielectric. Sacrificial carbon sidewall spacers are formed adjacent to the sacrificial carbon gate, and source/drain regions for the transistor are formed using the sacrificial carbon sidewall spacers to define the source/drain regions. The sacrificial carbon sidewall spacers are replaced with non-carbon sidewall spacers, and the sacrificial carbon gate is replaced with a desired metal gate material to provide the desired metal gate material on the gate dielectric. Various embodiments form source/drain extensions after removing the carbon sidewall spacers and before replacing with non-carbon sidewall spacers. An etch barrier is used in various embodiments to separate the sacrificial carbon gate from the sacrificial carbon sidewall spacers. Other aspects and embodiments are provided herein.

Description

  • This application is a divisional of U.S. application Ser. No. 11/216,375, filed Aug. 31, 2005, which is incorporated herein by reference.
  • TECHNICAL FIELD
  • This disclosure relates generally to integrated circuits, and more particularly, to transistor structures and methods of formation.
  • BACKGROUND
  • Many integrated circuits include a metal-oxide-semiconductor field-effect transistor, or “MOSFET” for short, which includes a gate, a source, a drain, and a body. An issue in MOSFET design involves the structure and composition of its gate. Some early MOSFET designs included aluminum gates, and later MOSFET designs used polysilicon gates because of the desire for a self-aligned gate, the tendency of aluminum to diffuse through the underlying insulative layer, and because of problems that the relatively low melting temperature of aluminum caused with annealing processes. Polysilicon can be doped to act as a conductor, but with significantly more electrical resistance than aluminum. This higher resistance can be ameliorated somewhat by silicidation. However, the higher resistance of even the salicided polysilicon gates combines with inherent integrated-circuit capacitances to cause significant delays in conducting signals from one circuit point to another, ultimately limiting how fast integrated circuits operate.
  • Currently, the semiconductor industry relies on the ability to reduce or scale the dimensions of the basic components, including the gate dielectric, of its transistor devices to obtain lower power consumption and higher performance. To reduce transistor size, the thickness of the gate dielectric is reduced in proportion to the shrinkage of the gate length. Increased scaling and other requirements in microelectronic devices have created the need to use other dielectric materials as gate dielectrics, in particular dielectrics with higher dielectric constants (k) to replace the conventional use of various combinations of SiO2, Si3N4 and SiON. Practical higher dielectric constant (k) materials have the properties of high permittivity, thermal stability, high film and surface quality and smoothness, low hysteresis characteristics, low leakage current density, and long term reliability. However, polysilicon gates and high-k dielectric materials have interface instability issues.
  • Scaling of transistors also requires shallow, difficult-to-form, source/drain extensions. In some conventional processes, source/drain extensions are formed, and then sidewall spacers are used to define the source/drain regions. The subsequent high-dose implant and high-temperature anneal of the source/drain regions can negatively impact the lightly doped source/drain extensions.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1A-1D illustrate a process for forming a self aligned metal gate for a transistor structure, according to various embodiments of the present subject matter.
  • FIG. 2 illustrates an embodiment of a method for forming a self aligned metal gate on high-k gate dielectrics.
  • FIG. 3 illustrates a wafer, upon which the transistors with self aligned metal gates can be fabricated according to embodiments of the present subject matter.
  • FIG. 4 illustrates a simplified block diagram of a high-level organization of an electronic system that includes the transistor with the self aligned metal gate, according to various embodiments.
  • FIG. 5 illustrates a simplified block diagram of a high-level organization of an electronic system that includes transistors with self aligned metal gates, according to various embodiments.
  • DETAILED DESCRIPTION
  • The following detailed description refers to the accompanying drawings which show, by way of illustration, specific aspects and embodiments in which the present invention may be practiced. The various embodiments are not necessarily mutually exclusive, as aspects of one embodiment can be combined with aspects of another embodiment. Other embodiments may be utilized and structural, logical, and electrical changes may be made without departing from the scope of the present invention. In the following description, the terms “wafer” and “substrate” are used interchangeably to refer generally to any structure on which integrated circuits are formed, and also to such structures during various stages of integrated circuit fabrication. Both terms include doped and undoped semiconductors, epitaxial layers of a semiconductor on a supporting semiconductor or insulating material, combinations of such layers, as well as other such structures that are known in the art. The terms “horizontal” and “vertical”, as well as prepositions such as “on”, “over” and “under” are used in relation to the conventional plane or surface of a wafer or substrate, regardless of the orientation of the wafer or substrate. References to “an”, “one”, or “various” embodiments in this disclosure are not necessarily to the same embodiment, and such references contemplate more than one embodiment. The following detailed description is, therefore, not to be taken in a limiting sense, and the scope of the present invention is defined only by the appended claims, along with the full scope of equivalents to which such claims are entitled.
  • Disclosed herein, among other things, is a transistor device structure with a self aligned metal gate in contact with a high-k dielectric. A self aligned metal gate structure is formed on a high-k gate dielectric by the replacement of amorphous carbon gates with metals. A planar transistor structure is formed with a carbon gate, the carbon is removed by plasma oxidation, and is replaced by a metal gate. Disposable carbon sidewall spacers are also used to ensure the formation of shallow lightly doped source/drain extensions.
  • Those of skill in the art will understand that the term high-k dielectric refers to a dielectric material having a dielectric constant greater than that of silicon dioxide. That is, a high-k dielectric has a dielectric constant greater than 4. A transistor device structure with a high-k gate dielectric and a self-aligned metal gate increases the capacitance and reduces the resistance of integrated circuits, which is useful for nanoscale integrated circuits. Additionally, the transistor device disclosed herein is capable of being manufactured with gates engineered to have differing work functions. Thus, in CMOS designs, a transistor metal gate is able to provide a desired work function (within 0.2 eV of the EC of silicon) for NMOS devices and a desired work function (within 0.2 eV of the EV of silicon) for PMOS devices.
  • The self aligned metal gates replace a sacrificial carbon gate formed on a high-k gate dielectric. Various embodiments replace the sacrificial carbon gate with aluminum (Al), tungsten (W), molybdenum (Mo), gold (Au), silver (Ag), gold alloy, silver alloy, copper (Cu), platinum (Pt), rhenium (Re), ruthenium (Ru), rhodium (Rh), nickel (Ni), osmium (Os), palladium (Pd), iridium (Ir), cobalt (Co), germanium (Ge) or metallic nitrides such as WN, TiN or TaN covered by metals.
  • Various embodiments provide the self aligned gate on high-k dielectrics such as AlOx, LaAlO3, HfAlO3, Pr2O3-based lanthanide oxide, HfSiON, Zr—Sn—Ti—O, ZrON, HfO2/Hf, ZrAlXOY, ZrTiO4, Zr-doped Ta oxide, HfO2—Si3N4, lanthanide oxide, TiAlOX, LaAlOX, La2Hf2O7, HfTaO amorphous lanthanide doped TiOX, TiO2, HfO2, CrTiO3, ZrO2, Y2O3, Gd2O3, praseodymium oxide, amorphous ZrOXNY, Y—Si—O, LaAlO3, amorphous lanthanide-doped TiOX, HfO2/La2O3 nanolaminates, La2O3/Hf2O3 nanolaminates, HfO2/ZrO2 nanolaminates, lanthanide oxide/zirconium oxide nanolaminates, lanthanide oxide/hafnium oxide nanolaminates, TiO2/CeO2 nanolaminates, PrOX/ZrO2 nanolaminates, Hf3N4/HfO2 nanolaminates, and Zr3N4/ZrO2 nanolaminates.
  • Device Structure
  • FIGS. 1A-1D illustrate a process for forming a self aligned metal gate for a transistor structure, according to various embodiments of the present subject matter. FIG. 1A illustrates a substrate 101 with a high-k gate dielectric 110 formed thereon. The substrate 101 can be a semiconductor wafer as well as structures having one or more insulative, semi-insulative, conductive, or semiconductive layers and materials. Thus, for example, the substrate can include silicon-on-insulator, silicon-on-sapphire, and other structures upon which semiconductor devices are formed.
  • In various embodiments, the high-k gate dielectric 110 layer includes a dielectric such as AlOX, LaAlO3, HfAlO3, Pr2O3-based lanthanide oxide, HfSiON, Zr—Sn—Ti—O, ZrON, HfO2/Hf, ZrAlXOY, ZrTiO4, Zr-doped Ta oxide, HfO2—Si3N4, lanthanide oxide, TiAlOX, LaAlOX, La2Hf2O7, HfTaO amorphous lanthanide doped TiOx, TiO2, HfO2, CrTiO3, ZrO2, Y2O3, Gd2O3, praseodymium oxide, amorphous ZrOXNY, Y—Si—O, LaAlO3, amorphous lanthanide-doped TiOX, HfO2/La2O3 nanolaminates, La2O3/Hf2O3 nanolaminates, HfO2/ZrO2 nanolaminates, lanthanide oxide/zirconium oxide nanolaminates, lanthanide oxide/hafnium oxide nanolaminates, TiO2/CeO2 nanolaminates, PrOX/ZrO2 nanolaminates, Hf3N4/HfO2 nanolaminates, Zr3N4/ZrO2 nanolaminates, and the like. The use of the high-k dielectric increases the capacitance, which is useful for nanoscale integrated circuits.
  • In FIG. 1A, a sacrificial gate 103 is formed of amorphous carbon on the high-k gate dielectric 110. In various embodiments, an etch barrier 108 is formed over the sacrificial gate and the dielectric. The etch barrier 108 includes silicon nitride or aluminum oxide, and can be formed using a deposition process, according to various embodiments. Sacrificial sidewall spacers 106 are added adjacent the sacrificial gate 103. In various embodiments, the spacers 106 are formed of amorphous carbon by deposition and conventional direct etch techniques. An ion implantation 130 and high temperature anneal are used to form source/drain regions 102 in areas defined by the sacrificial sidewall spacers 106. These annealing temperatures can pose problems for aluminum gates and other metal gates that have melting temperatures less than the anneal temperature for the source/drain regions.
  • In FIG. 1B, the sacrificial sidewall spacers (106 in FIG. 1A) have been removed. Various embodiments use a plasma oxidation process to remove the sacrificial sidewall spacers. In addition, the etch barrier (108 in FIG. 1A) has been removed. In various embodiments, a light dose ion implantation 140 is used to form source/drain extensions 142 in the substrate 101. The extensions 142 can be annealed at lower temperatures and in shorter times than the more heavily doped source/drain regions 102. According to various embodiments, forming source/drain extensions for the transistor includes doping the substrate to a depth of 30 nm or less.
  • In FIG. 1C, conventional, or non-carbon, sidewall spacers 156 are formed and the whole structure is back filled with an oxide fill 158, such as silicon dioxide, and planarized. A planarization procedure, such as chemical-mechanical polishing, can be used to provide an even surface. In various embodiments, the conventional sidewall spacers are formed with silicon nitride.
  • In FIG. 1D, the sacrificial gate (103 in FIG. 1C) is removed and replaced by the deposition of a metal layer 160. In various embodiments, the sacrificial gate is removed using a plasma oxidation process. Various deposition processes, such as evaporation, sputtering or chemical vapor deposition, may be used to form the metal layer 160. The structure is planarized (not shown) using a planarization procedure, such as chemical-mechanical polishing, resulting in the self aligned metal gate over the high-k gate dielectric insulator 110. Drain and source contacts (not shown) can be formed, as well as interconnects to other transistors or components, using conventional techniques. Another heat treatment may occur after packaging the integrated circuit in a protective housing in an attempt to minimize the resistivity of the metal gate contacts and other metal interconnections.
  • The metal gate replacement technique, as disclosed herein, can be applied to MOS devices, as generally illustrated in FIG. 1, as well as to form metal floating gates and/or metal control gates in nonvolatile devices. Additionally, various high-k dielectrics can be used between the floating gate and the substrate, and between the control gate and the floating gate in these nonvolatile devices.
  • Thus, FIGS. 1A-1D illustrate two replacement processes for the formation of planar self aligned metal gate transistors, one for disposable sidewall spacers and the other for the gate material itself.
  • Self Aligned Metal Gate Method
  • FIG. 2 illustrates an embodiment of a method 200 for forming a self aligned metal gate on high-k gate dielectrics. According to various method embodiments, a high-k gate dielectric is formed on a substrate at 202 and a sacrificial carbon gate is formed on the gate dielectric at 204. Sacrificial carbon sidewall spacers are formed adjacent to the sacrificial carbon gate at 206, and source/drain regions for the transistor are formed at 208, using the sacrificial carbon sidewall spacers to define the source/drain regions. The sacrificial carbon sidewall spacers are replaced with non-carbon sidewall spacers at 210, and the sacrificial carbon gate is replaced with a desired metal gate material at 212, to provide the desired metal gate material on the gate dielectric.
  • Various embodiments form source/drain extensions after removing the carbon sidewall spacers and before replacing with non-carbon sidewall spacers. An etch barrier is used in various embodiments to separate the sacrificial carbon gate from the sacrificial carbon sidewall spacers. Various embodiments replace the carbon sacrificial gate with aluminum (Al), tungsten (W), molybdenum (Mo), gold (Au), silver (Ag), gold alloy, silver alloy, copper (Cu), platinum (Pt), rhenium (Re), ruthenium (Ru), rhodium (Rh), nickel (Ni), osmium (Os), palladium (Pd), iridium (Ir), cobalt (Co), germanium (Ge), or metallic nitrides such as WN, TiN or TaN covered by metals. The high-k gate dielectric formed at 202 may be one of a number of high-k gate dielectrics. Various high-k dielectric embodiments are identified below.
  • High-k Dielectric Gate Insulator
  • As provided in the above embodiments, the sacrificial carbon gate 103 is formed on high-k gate dielectric 110. Various embodiments use the specific high-k dielectrics provided below. Some specific process examples are provided below for the identified high-k dielectric. These process examples are not intended to be limited to exclude the identified device structures if the structures are formed using other processes. According to various embodiments, a high-k dielectric can be fabricated using atomic layer deposition (ALD) processes, evaporated deposition processes, and sputtered deposition processes. Additionally, metal can be oxidized to form a high-k dielectric, and the high-k dielectric can be formed as nanolaminates of dielectric material.
  • Specific chemical formulas are referenced below with respect to various high-k dielectric structures. However, the dielectric structure can include stoichiometric structures, non-stoichiometric structures, and combinations of stoichiometric and non-stoichiometric structures.
  • AlOx
  • Various embodiments use an aluminum oxide (AlOX) formed by ALD as a high-k dielectric. For example, a pulse of an oxidant can be provided, followed by a purge or evacuation of the oxidant, followed by a pulse of a precursor containing aluminum, followed by a purge or evacuation of the aluminum-containing precursor. The aluminum precursor can include a variety of precursors, such as trimethylaluminum (TMA), trisobutylaluminum (TIBA), dimethylaluminum hydride (DMAH), AlC3, and other halogenated precursors and organometallic precursors. Oxidants can include a water-argon mixture formed by bubbling an argon carrier through a water reservoir, H2O2, O2, O3, and N2O. The ALD aluminum oxides are not limited to specific aluminum precursors or oxidants. Additional information regarding aluminum oxides formed by ALD can be found in US Patent Application Publication 2003/0207032-A1, entitled “Methods, Systems, and Apparatus for Atomic-Layer Deposition of Aluminum Oxides in Integrated Circuits,” which is herein incorporated by reference.
  • LaAlO3
  • Various embodiments use a lanthanum aluminum oxide (LaAlO3) formed by ALD as a high-k dielectric. For example, a LaAlO3 gate dielectric can be formed using atomic layer deposition by employing a lanthanum sequence and an aluminum sequence, where the lanthanum sequence uses La(thd)3 (thd=2,2,6,6-tetramethyl-3,5-heptanedione) and ozone, and the aluminum sequence uses either trimethylaluminum, Al(CH3)3, or DMEAA, an adduct of alane (AlH3) and dimethylethylamine [N(CH3)2(C2H5)], with distilled water vapor.
  • A dielectric film containing LaAlO3, Al2O3, and La2O3 will have a dielectric constant ranging from the dielectric constant of Al2O3, 9, to the dielectric constant of La2O3, 30. By controlling the number of cycles of the lanthanum sequence and the number of cycles of the aluminum sequence, the amount of lanthanum and aluminum deposited on the surface region of a substrate can be controlled. Thus, a dielectric film formed by ALD using a lanthanum sequence and an aluminum sequence can be formed with a composition containing selected or predetermined percentages of LaAlO3, Al2O3, and La2O3, in which case the effective dielectric constant of the film will be selected or predetermined in the range from 9 to 30. A dielectric film containing almost entirely LaAlO3 will have a dielectric constant in the range of about 21 to about 25. The resulting dielectric containing LaAlO3 should be amorphous if an aluminum sequence is used subsequent to a lanthanum sequence.
  • In addition to separately controlling the number of cycles of the lanthanum sequence and the aluminum sequence in the ALD process, a dielectric film containing LaAlO3 can be engineered with selected characteristics by also controlling precursor materials for each sequence, processing temperatures and pressures for each sequence, individual precursor pulsing times, and heat treatment at the end of the process, at the end of each cycle, and at the end of each sequence. The heat treatment may include in situ annealing in various atmospheres including argon, nitrogen, and oxygen. A range of equivalent oxide thickness is associated with the capability to provide a composition having a dielectric constant in the range from about 9 to about 30, and the capability to attain physical film thickness in the range from about 0.5 to about 50 nm and above.
  • Additional information regarding LaAlO3 dielectric films can be found in US Patent Application Publication 2003/0207540-A1, entitled “Atomic Layer-Deposited LaAlO3 Films For Gate Dielectrics,” which is herein incorporated by reference.
  • HfAlO
  • Various embodiments use a hafnium aluminum oxide (HfAlO3) formed by ALD as a high-k dielectric. For example, an HfAlO3 gate dielectric can be formed using atomic layer deposition by employing a hafnium sequence and an aluminum sequence, where the hafnium sequence uses HfCl4 and water vapor, and the aluminum sequence uses either trimethylaluminum, Al(CH3)3, or DMEAA, an adduct of alane (AlH3) and dimethylethylamine [N(CH3)2(C2H5)], with distilled water vapor.
  • A dielectric film containing HfAlO3, Al2O3, and HfO2 has a dielectric constant ranging from the dielectric constant of Al2O3, 9, to the dielectric constant of HfO2, 25. By controlling the number of cycles of the hafnium sequence and the number of cycles of the aluminum sequence, the amount of hafnium and aluminum deposited on the surface region of a substrate can be controlled. Thus, a dielectric film formed by ALD using a hafnium sequence and an aluminum sequence can be formed with a composition containing selected or predetermined percentages of HfAlO3, Al2O3, and HfO2, in which case the effective dielectric constant of the film will be selected or predetermined in the range from 9 to 25. Furthermore, by using an aluminum sequence subsequent to a hafnium sequence, the resulting dielectric containing HfAlO3 should be amorphous.
  • In addition to separately controlling the number of cycles of the hafnium sequence and the aluminum sequence in the ALD process, a dielectric film containing HfAlO3 can be engineered with selected characteristics by also controlling precursor materials for each sequence, processing temperatures and pressures for each sequence, individual precursor pulsing times, and heat treatment at the end of the process, at the end of each cycle, and at the end of each sequence. The heat treatment may include in situ annealing in various atmospheres including argon and nitrogen.
  • A range of equivalent oxide thickness, teq is associated with the capability to provide a composition having a dielectric constant in the range from about 9 to about 25, and the capability to attain physical film thickness in the range of from about 2 to about 3 nm and above.
  • Additional information regarding HfAlO3 dielectric films can be found in US Patent Application Publication 2003/0227033-A1, entitled “Atomic Layer-Deposited HfAlO3 Films For Gate Dielectrics,” which is herein incorporated by reference.
  • Pr2O3-based La-Oxide
  • Various embodiments use a Pr2O3-based La-Oxide dielectric as a high-k dielectric. For example, a Pr2O3-based La-Oxide gate dielectric can be formed by electron beam evaporation as a nanolaminate of Pr2O3 and a lanthanide oxide selected from the group consisting of Nd2O3, Sm2O3, Gd2O3, and Dy2O3.
  • According to one embodiment, an electron gun generates an electron beam that hits a target that contains a ceramic Pr6O11 source, which is evaporated due to the impact of the electron beam. The evaporated material is then distributed throughout a chamber, and a dielectric layer of Pr2O3 is grown, forming a film on the surface of the structure that it contacts. The resultant Pr2O3 layer includes a thin amorphous interfacial layer of about 0.5 nm thickness separating a crystalline layer of Pr2O3 from the substrate on which it is grown. This thin amorphous layer is beneficial in reducing the number of interface charges and eliminating any grain boundary paths for conductance from the substrate. Other source materials can be used for forming the Pr2O3 layer, as are known to those skilled in the art.
  • Subsequent to the formation of the Pr2O3 layer, another lanthanide oxide is deposited on the film, converting the film from a Pr2O3 layer to a nanolaminate of Pr2O3 and the other lanthanide oxide. In various embodiments, the other lanthanide oxide is selected from the group consisting of Nd2O3, Sm2O3, Gd2O3, and Dy2O3. Depending on the lanthanide oxide selected to form the nanolaminate, a corresponding source material is used in the target for electron beam evaporation. The source material for the particular lanthanide oxide is chosen from commercial materials for forming the lanthanide oxide by electron beam evaporation, as is known by those skilled in the art.
  • In one embodiment, alternating layers of Pr2O3 and another selected lanthanide oxide are formed by controlled electron beam evaporation providing layers of material of predetermined thickness. This control allows the engineering of a dielectric with a predetermined thickness and composition. Through evaluation of different lanthanide oxides at various thicknesses and number of layers, a dielectric layer with a predetermined teq in a narrow range of values can be grown. Alternatively, after forming a Pr2O3 layer and a layer of another lanthanide oxide, additional layers of additional lanthanide oxides can be formed. Each layer of an additional lanthanide oxide selected from a group consisting of Pr2O3, Nd2O3, Sm2O3, Gd2O3, and Dy2O3. Consequently, a dielectric layer can be engineered with electrical characteristics suited for a given application. These electrical characteristics include teq and leakage current. A teq of less than 20 Å can be obtained, typically with sizes of about 14 Å to 8.5 Å.
  • In an embodiment, nanolaminates of lanthanide oxides are formed by electron beam evaporation. The lanthanide oxides used in these nanolaminates are chosen from the group consisting of Pr2O3, Nd2O3, Sm2O3, Gd2O3, and Dy2O3. The structure of the nanolaminates can be varied with any one of the group used as the initial layer formed on a substrate. Typically, the substrate is silicon based, since these lanthanide oxides are thermodynamically stable with respect to formation on a silicon surface. In an alternate embodiment, lanthanide oxide nanolaminates are formed by atomic layer deposition.
  • A Pr2O3 film formed on silicon has a dielectric constant of about 31 when formed with little or no interfacial layer between the Pr2O3 film and the substrate. The dielectric constants for the other lanthanide oxides are also in the range of 25-30. As a result, a dielectric layer grown by forming a nanolaminate of lanthanide oxides has a dielectric constant in the range of about 25 to about 31. However, with an interfacial layer formed between the surface of the substrate and the first lanthanide oxide, the teq of the dielectric layer is the teq of the interfacial layer in parallel with the lanthanide oxide nanolaminate. Thus, the dielectric layer formed having an interfacial layer between the substrate on which it is grown and a lanthanide oxide nanolaminate can have an effective dielectric constant considerably less than a dielectric constant associated with a nanolaminate of lanthanide oxides. This is dependent upon the dielectric constant of the interfacial material being considerably less than the dielectric constant of the lanthanide oxides used to form the nanolaminate.
  • A Pr2O3 layer can be formed on a silicon based substrate having a dielectric constant of about 31 with an interfacial layer of about 0.5 nm (5 Å). In another embodiment, for an interfacial layer of about 10.7 Å, an effective dielectric constant for a thin layer of Pr2O3 on silicon is about 15. Similar effective dielectric constants are associated with thin layers of Nd2O3, Sm2O3, Gd2O3, and Dy2O3 oxides on silicon. For example, a thin layer of Nd2O3 has an effective dielectric constant of about 12.9 with an interfacial layer of about 8.2 Å, a thin layer of Sm2O3 has an effective dielectric constant of about 11.4 with an interfacial layer of about 5.5 Å, a thin layer of Gd2O3 has an effective dielectric constant of about 13.9 with an interfacial layer of about 10 Å, and a thin layer of Dy2O3 has an effective dielectric constant of about 14.3 with an interfacial layer of about 12 Å. Lanthanide oxides grown on silicon with these reduced effective dielectric constants and corresponding interfacial layers can be attained with a teq equal to about 13 Å for Pr2O3, about 12.4 Å for Nd2O3, about 12.2 Å for Sm2O3, about 13 Å for Gd2O3, and about 13.3 Å for Dy2O3. Consequently, nanolaminates of these lanthanide oxides can be formed with an effective dielectric constant in the range of 11 to 15 and a teq in the range of about 12 Å to about 14 Å.
  • The formation of the interfacial layer is one factor in determining how thin a layer can be grown. An interfacial layer can be SiO2 for many processes of forming a non-SiO2 dielectric on a silicon substrate. However, advantageously, in an embodiment forming a lanthanide oxide nanolaminate with an initial layer of Pr2O3, a thin amorphous interfacial layer is formed that is not a SiO2 layer. Typically, this interfacial layer is either an amorphous layer primarily of Pr2O3 formed between the silicon substrate and a crystalline form of Pr2O3, or a layer of Pr—Si—O silicate. The dielectric constant for Pr—Si—O silicate is significantly greater than SiO2, but not as high as Pr2O3.
  • Another factor setting a lower limit for the scaling of a dielectric layer is the number of monolayers of the dielectric structure necessary to develop a full band gap such that good insulation is maintained between an underlying silicon layer and an overlying conductive layer on the dielectric layer or film. This requirement is necessary to avoid possible short circuit effects between the underlying silicon layer and the overlying conductive layer used. In one embodiment, for a 0.5 nm interfacial layer and several monolayers of lanthanide grown, an expected lower limit for the physical thickness of a dielectric layer grown by forming a lanthanide oxide nanolaminate is anticipated to be in about the 2-4 nm range. Consequently, typical dielectric layers or films can be grown by forming lanthanide oxide nanolaminates having physical thicknesses in the range of 4 to 10 nm. The number of layers used, the thickness of each layer, and the lanthanide oxide used for each layer can be engineered to provide the desired electrical characteristics. The use of Pr2O3 as the initial layer is expected to provide excellent overall results with respect to reliability, current leakage, and ultra-thin teq.
  • Some embodiments include forming lanthanide oxide nanolaminates by electron beam evaporation with target material to form Pr2O3, forming lanthanide oxide nanolaminates by atomic layer deposition, and electron beam evaporation forming lanthanide oxide nanolaminates with initial layers of a lanthanide oxide other than Pr2O3. The physical thicknesses can range from about 2 nm to about 10 nm, with typical thickness ranging from about 4 nm to about 10 nm. Such layers have an effective dielectric constant ranging from 11 to 31, where a layer with a typical interfacial layer has an effective dielectric constant in the range of 111 to 16, and a layer with a significantly thin interfacial layer can attain an effective dielectric constant in the range of 25 to 31. Consequently, the equivalent oxide thickness of a dielectric layer formed as a lanthanide oxide nanolaminate can be engineered over a significant range. Various embodiments provide a typical teq of about 14 Å. With careful preparation and engineering of the lanthanide oxide nanolaminate limiting the size of interfacial regions, a teq down to 2.5 Å or lower is anticipated.
  • Additional information regarding Pr2O3-based La-Oxide dielectric films can be found in US Patent Application Publication 2003/0228747-A1, entitled “Pr2O3-based La-Oxide Gate Dielectrics,” which is herein incorporated by reference.
  • Lanthanide Doped TiOX
  • A lanthanide doped TiOx dielectric layer can be formed by depositing titanium and oxygen onto a substrate surface by atomic layer deposition and depositing a lanthanide dopant by atomic layer deposition onto the substrate surface containing the deposited titanium and oxygen. The dopant can be selected from a group consisting of Nd, Tb, and Dy.
  • In one embodiment, a method of forming a dielectric film includes depositing titanium and oxygen onto a substrate surface by atomic layer deposition and depositing a lanthanide dopant by atomic layer deposition onto the substrate surface containing the deposited titanium and oxygen. In one embodiment, the titanium sequence and the lanthanide dopant sequence include using precursors that form oxides of the titanium and the lanthanide dopant. For example, precursor TiI4 with H2O2 as its reactant precursor in an ALD process can form TiOx, and precursor La(thd)3 (thd=2,2,6,6-tetramethyl-3,5-heptanedione) with ozone as its reactant precursor in an ALD process can form La2O3.
  • Depositing the lanthanide dopant includes regulating the deposition of the lanthanide dopant relative to the titanium and oxygen deposited on the substrate surface to form a dielectric layer containing TiOx doped with a predetermined percentage of the lanthanide. In a further embodiment, depositing a lanthanide dopant includes depositing a lanthanide selected from a group consisting of Nd, Tb, and Dy.
  • The lanthanide dopant can be included in the TiOx film using different embodiments for atomic layer deposition. In one embodiment, a lanthanide can be doped in the TiOx film by pulsing a lanthanide dopant sequence in place of a titanium sequence. The lanthanide dopant level is then controlled by regulating the number of cycles of the lanthanide dopant sequence with respect to the number of cycles of the titanium sequence. In another embodiment, a lanthanide can be doped in the TiOx film by pulsing a lanthanide dopant precursor substantially simultaneously with a titanium precursor. The titanium/lanthanide dopant sequence includes a precursor for oxidizing the titanium/lanthanide dopant at the substrate surface. The lanthanide dopant level is then controlled by regulating the mixture of the titanium-containing precursor and the lanthanide-containing precursor.
  • Dielectric films of lanthanide doped TiOx formed by atomic layer deposition can provide not only ultra thin teq films, but also films with relatively low leakage current. In addition to using ALD to provide precisely engineered film thicknesses, attainment of relatively low leakage current is engineered by doping with lanthanides selected from a group consisting of Nd, Tb, and Dy. Though a layer of undoped TiOx can be amorphous, which assists in the reduction of leakage current, doping with these lanthanides yields a doped amorphous TiOx with enhanced leakage current characteristics. Leakage currents on the order of 10−7 A/cm2 or smaller in TiOx layers doped with Nd, Tb, or Dy can be attained, which are orders of magnitude smaller than for undoped TiOx. Further, the breakdown electric fields are several factors larger for layers of TiOx doped with Nd, Tb, or Dy than for layers of undoped TiOx.
  • The doping of the TiOx layer with a lanthanide occurs as a substitution of a lanthanide atom for a Ti atom. The resultant doped TiOx layer is a layer of amorphous Ti1-yLyOx, where L is a lanthanide. Controlling the ALD cycles of the titanium sequence and the lanthanide dopant sequence allows a Ti1-yLyOx, or lanthanide doped TiOx, dielectric layer to be formed where the lanthanide, L, can range from about 5% to about 40% of the dielectric layer formed. Such TiOx layers doped with Nd, Tb, or Dy formed by ALD can provide the reduced leakage current and increased breakdown mentioned above.
  • Additional information regarding lanthanide doped TiOx dielectric films can be found in US Patent Application Publication 2004/0043541-A1, entitled “Atomic Layer Deposited Lanthanide Doped TiOx Dielectric Films,” which is herein incorporated by reference.
  • HfSiON
  • A HfSiON dielectric can be formed by atomic layer deposition. A HfSiON layer thickness is controlled by repeating for a number of cycles a sequence including pulsing a hafnium-containing precursor into a reaction chamber, pulsing an oxygen-containing precursor into the reaction chamber, pulsing a silicon-containing precursor into the reaction chamber, and pulsing a nitrogen-containing precursor until a desired thickness is formed.
  • The hafnium-containing precursor includes a HfCl4 precursor in some embodiments, and a HfI4 precursor in other embodiments. According to some embodiments, the oxygen-containing precursor includes water vapor or a vapor solution of H2O—H2O2 in the reaction chamber. According to some embodiments, the silicon-containing precursor includes a SiCl4 precursor. A nitrogen-containing precursor includes a NH3 precursor in some embodiments. NH3 annealing at about 550° C. can also be performed.
  • Additional information regarding HfSiON dielectric films can be found in US Patent Application Publication 2004/0043569-A1, entitled “Atomic Layer Deposited HfSiON Dielectric Films,” which is herein incorporated by reference.
  • Zr—Sn—Ti—O
  • A Zr—Sn—Ti—O dielectric layer can be formed by depositing titanium and oxygen onto a substrate surface by atomic layer deposition, depositing zirconium and oxygen onto a substrate surface by atomic layer deposition, and depositing tin and oxygen onto a substrate surface by atomic layer deposition. Metal chloride precursors can be pulsed for each metal in the Zr—Sn—Ti—O. In some embodiments, the dielectric film is formed by depositing TiO2 onto a surface by atomic layer deposition, depositing zirconium and oxygen onto the surface by atomic layer deposition, and depositing tin and oxygen onto the surface by atomic layer deposition. The TiO2 deposition can include pulsing a TiCl4 precursor. The zirconium and oxygen deposition can include pulsing a ZrCl4 precursor. The tin and oxygen deposition can include pulsing a SnCl4 precursor. In various embodiments, the formation of the dielectric film is controlled such that the dielectric film has a composition substantially of ZrySnxTi1-x-yO4 with 0.3<y<0.7 and 0<x<0.2.
  • In some embodiments, the dielectric film is formed by depositing TiO2 onto a surface by atomic layer deposition using a TiI4 precursor; depositing zirconium and oxygen by atomic layer deposition using a zirconium halide precursor following forming TiO2; and depositing tin and oxygen by atomic layer deposition using a tin halide precursor following depositing zirconium and oxygen. The zirconium and oxygen deposition can include pulsing a ZrI4 precursor. The tin and oxygen deposition can include pulsing a SnI4 precursor. In various embodiments, the formation of the dielectric film is controlled such that the dielectric film has a composition substantially of ZrySnxTi1-x-yO4 with 0.3<y<0.7 and 0<x<0.2. In various embodiments, the formation of the dielectric film is controlled such that the dielectric film has a composition substantially of Zr0.2Sn0.2Ti0.6O2.
  • Additional information regarding Zr—Sn—Ti—O dielectric films can be found in US Patent Application Publication 2004/0110391-A1, entitled “Atomic Layer Deposited Zr—Sn—Ti—O Films,” and US Patent Application Publication 2004/0110348-A1, entitled “Atomic Layer Deposited Zr—Sn—Ti—O Films using TiI4,” which are herein incorporated by reference.
  • Metal Oxynitride
  • The high-k dielectric film can be formed as a metal oxynitride, formed by atomic layer deposition of a plurality of reacted monolayers. The monolayers comprise at least one each of a metal, an oxide and a nitride. According to various embodiments, the metal oxynitride layer is formed from zirconium oxynitride, hafnium oxynitride, tantalum oxynitride, or mixtures thereof.
  • According to various process embodiments, a plurality of gaseous precursors can be separately introduced to a surface of the semiconductor substrate. The gaseous precursors comprise a metal gaseous precursor and at least two nonmetallic gaseous precursors. A first gaseous precursor of the plurality of gaseous precursors is purged or evacuated from the surface of the semiconductor substrate before a second gaseous precursor of the plurality of gaseous precursors is introduced to the surface of the semiconductor substrate. The metal gaseous precursor can include zirconium tetrachloride, zirconium tetraiodide, hafnium tetrachloride, hafnium tetraiodide, or a halogenated tantalum. An oxygen-containing gaseous precursor and a nitrogen-containing gaseous precursor are separately introduced to the surface of the semiconductor substrate. For example, water or hydrogen peroxide can be used as the oxygen-containing gaseous precursor and at least one of ammonia, tert-butylamine, allylamine, and 1,1-dimethylhydrazine can be used as the nitrogen-containing gaseous precursor. Thus, monolayers of metal, oxide, and nitride are formed, and the metal, oxide, and nitride monolayers are reacted to form the metal oxynitride layer.
  • Additional information regarding metal oxynitride dielectric layers can be found in US Patent Application Publication 2004/0144980-A1, entitled “Atomic Layer Deposition of Metal Oxynitride Layers as Gate Dielectrics and Semiconductor Device Structures Utilizing Metal Oxynitride Layers,” which is herein incorporated by reference.
  • HfO2/Hf
  • The high-k dielectric film can be HfO2/Hf, which can be formed by depositing a hafnium metal layer on a substrate surface by atomic layer deposition and depositing a hafnium oxide layer on the hafnium metal layer by atomic layer deposition to form a hafnium oxide dielectric layer substantially free of silicon oxide. In general, a layer of a metal is formed on a substrate by atomic layer deposition, and an oxide of the metal is formed on the metal by atomic layer deposition.
  • A hafnium nitrate precursor, such as an anhydrous hafnium nitrate precursor, can be used to form the layer of hafnium. A layer of hafnium oxide can be formed using an anhydrous hafnium nitrate precursor and a water vapor precursor. The substrate may be maintained at about 180° C. during the formation of the layer of hafnium and the formation of the layer of hafnium oxide.
  • Additional information regarding metal oxide/metal dielectric films, such as HfO2/Hf, can be found in US Patent Application Publication 2004/0175882-A1, entitled “Atomic Layer Deposited Dielectric Layers,” which is herein incorporated by reference.
  • ZrAlxOy
  • The high-k dielectric film can be ZrAlxOy, which can be formed by ALD by pulsing a zirconium-containing precursor onto a substrate, pulsing a first oxygen-containing precursor, pulsing an aluminum-containing precursor, and pulsing a second oxygen-containing precursor to form ZrAlxOy. A precursor can be used that includes both zirconium and oxygen to provide the zirconium and oxygen in one pulsing process, and a precursor can be used that contains both aluminum and oxygen to provide the aluminum and oxygen in one pulse. In various embodiments, the dielectric layer contains Zr4AlO9. An interfacial layer of silicon oxide or silicon between the substrate and the ZrAlxOy dielectric can be less than about 1 nm. The zirconium-containing precursor can be selected from ZrCl4 and ZrI4 precursors. The aluminum-containing precursor can be selected from trimethylaluminum and DMEAA. Oxygen-containing precursors can be selected from H2O, H2O2, and a H2O—H2O2 mixture.
  • Additional information regarding ZrAlxOy dielectric layers can be found in US Patent Application Publication 2005/0054165-A1, entitled “Atomic Layer Deposited ZrAlxOy Dielectric Layers,” which is herein incorporated by reference.
  • ZrTiO4
  • The high-k dielectric film can be ZrTiO4, which can be formed by ALD by pulsing a titanium-containing precursor onto a substrate, and pulsing a zirconium-containing precursor to form an oxide containing Zr and Ti. The pulsing of the titanium-containing precursor and the pulsing of the zirconium-containing precursor is controlled to provide a dielectric layer with a predetermined zirconium to titanium ratio. In various embodiments, the ZrTiO4 film is formed with a Zr/Ti ratio of about 0.4/0.6. A zirconium-containing precursor used to form the oxide containing Zr and Ti can include zirconium tertiary-butoxide. The titanium-containing precursor can be selected from TiCl4, TiI4, Ti(OCH(CH3)2)4, and Ti(OC2H5)4. The first pulsing of the titanium-containing precursor can be performed before pulsing the zirconium tertiary-butoxide precursor.
  • Reactant precursors that can be used after pulsing the titanium-containing precursor and pulsing the zirconium tertiary-butoxide precursor can be selected from H2O, H2O2, alcohol (ROH), N2O, O3, and O2. The substrate can be kept at a temperature ranging from about 200° C. to about 400° C. A silicon nitride layer can be formed between the substrate and the film containing ZrTiO4. The ALD-formed film can be a nanolaminate of ZrO2 and TiO2.
  • Additional information regarding ZrTiO4 dielectric layers can be found in US Patent Application Publication 2004/0214399-A1, entitled “Atomic Layer Deposited ZrTiO4 Films,” which is herein incorporated by reference.
  • Zr-doped Ta Oxide
  • The high-k dielectric film can be a zirconium-doped tantalum oxide dielectric layer, such as can be formed by depositing tantalum by atomic layer deposition onto a substrate surface and depositing a zirconium dopant by atomic layer deposition onto the substrate surface. The formation of the zirconium-doped tantalum oxide can include pulsing a tantalum-containing precursor to deposit tantalum onto a substrate surface, pulsing an oxygen-containing precursor to deposit oxygen onto the substrate surface, repeating for a number of cycles the pulsing of the tantalum-containing precursor and the pulsing of the oxygen-containing precursor, and substituting a zirconium cycle for one or more cycles of the pulsing of the tantalum-containing precursor. The zirconium cycle includes pulsing a zirconium-containing precursor to deposit zirconium onto the substrate surface. A reactant precursor is selected to produce an oxidizing reaction for the zirconium at the substrate surface. According to various embodiments, a tantalum-containing precursor includes Ta(OC2H5)5, and a zirconium-containing precursor includes ZrI4.
  • Additional information regarding zirconium-doped tantalum oxide dielectric layers can be found in U.S. patent application Ser. No. 10/909,959, filed Aug. 2, 2004, entitled “Atomic Layer Deposition of Zirconium-Doped Tantalum Oxide Films,” which is herein incorporated by reference.
  • HfO2—Si3N4 on SiO2
  • The high-k dielectric layer can be formed by depositing HfO2-Silicon-Nitride by atomic layer deposition. The HfO2-Silicon-Nitride is formed on SiO2. The silicon nitride can be formed using SiCl4 and NH3 gases, and HfO2 can be formed by ALD using hafnium tetraiodide and oxygen as precursors. Anhydrous Hf(NO3)4 and H2O vapor may also be used.
  • Ru Gate and La-oxide
  • Various embodiments use a lanthanide oxide high-k dielectric with a ruthenium or ruthenium oxide gate. In various embodiments, the lanthanide oxide dielectric layer is formed by depositing lanthanum by atomic layer deposition onto a substrate surface using a trisethylcyclopentadionatolanthanum precursor or a trisdipyvaloylmethanatolanthanum precursor. A ruthenium gate on a lanthanide oxide dielectric layer provides a gate structure that effectively prevents a reaction between the gate and the lanthanide oxide dielectric layer.
  • Additional information regarding ruthenium on lanthanide oxide can be found in U.S. patent application Ser. No. 10/926,812, filed Aug. 26, 2004, entitled “Ruthenium Gate For a Lanthanide Oxide Dielectric Layer,” which is herein incorporated by reference.
  • TiAlOX
  • The high-k dielectric film can be provided by a titanium aluminum oxide film, which can be formed by depositing titanium and/or aluminum by atomic layer deposition onto a substrate surface. The deposited titanium and/or aluminum is annealed using atomic oxygen. After annealing, a layer of titanium aluminum oxide is formed on the annealed layer to form a contiguous layer of titanium aluminum oxide.
  • Forming the dielectric includes forming an insulating metal oxide, which includes forming a first layer of at least one of a first metal and a second metal by atomic layer deposition, annealing the first layer using oxygen, and forming, after annealing the first layer, a second layer of an insulating metal oxide of the first metal and the second metal onto the first layer by atomic layer deposition to form a contiguous layer. The first layer can include a layer of the first metal and the second metal. The first layer can have a thickness of about one monolayer or at most substantially two monolayers.
  • According to various embodiments, a first layer of titanium aluminum oxide is formed by atomic layer deposition, and the first layer is annealed using atomic oxygen. A second layer of titanium aluminum oxide is formed onto the first layer by atomic layer deposition, after annealing the first layer, to form a contiguous layer. The first layer of titanium aluminum oxide can be formed using TiI4 or trimethylaluminum as a precursor, and the second layer of titanium aluminum oxide can be formed using TiCl4 as a precursor. The titanium oxide and the titanium aluminum oxide film can be formed as a nanolaminate.
  • Additional information regarding titanium aluminum oxide films can be found in U.S. patent application Ser. No. 10/931,533, filed Aug. 31, 2004, entitled “Atomic Layer Deposited Titanium Aluminum Oxide Films,” which is herein incorporated by reference.
  • LaAlOX
  • The high-k dielectric film can be provided by a lanthanum aluminum oxide dielectric layer, which can be formed by depositing aluminum and lanthanum by atomic layer deposition onto a substrate surface in which precursors to deposit the lanthanum include a trisethylcyclopentadionatolanthanum precursor and/or a trisdipyvaloylmethanatolanthanum precursor, and a metal (e.g. Al) containing precursor is also used. The lanthanum aluminum oxide can be formed as a compound of lanthanum oxide and aluminum oxide. The dielectric layer can include LaAlO3.
  • Additional information regarding titanium aluminum oxide films can be found in U.S. patent application Ser. No. 10/930,167, filed Aug. 31, 2004, entitled “Atomic Layer Deposited Lanthanum Aluminum Oxide Dielectric Layer,” which is herein incorporated by reference.
  • La2Hf2O7
  • The high-k dielectric can be provided as a lanthanum hafnium oxide layer, which can be formed by depositing hafnium and lanthanum by atomic layer deposition onto a substrate surface. The process includes introducing a lanthanum-containing precursor to a substrate, and introducing a hafnium-containing precursor to the substrate. Embodiments include methods and apparatus in which precursors to deposit the lanthanum include a trisethylcyclopentadionatolanthanum (La(EtCp)3) precursor, a tris(2,2,6,6-tetramethyl-3,5-heptanedionato) lanthanum (III) precursor, a trisdipyvaloylmethanatolanthanum precursor, or a tris(2,2,6,6-tetramethyl-3,5-heptanedionato)lanthanum (III) tetraglyme adduct precursor.
  • Additional information regarding titanium aluminum oxide films can be found in U.S. patent application Ser. No. 11/010,529, filed Dec. 13, 2004, entitled “Atomic Layer Deposited Lanthanum Hafnium Oxide Dielectrics,” which is herein incorporated by reference.
  • HfTaO
  • The high-k dielectric can be provided as a hafnium tantalum oxide film, which can be formed by depositing hafnium and tantalum by atomic layer deposition onto a substrate surface. A tantalum-containing precursor can include a tantalum ethoxide precursor, and a hafnium-containing precursor can include a hafnium nitrate precursor.
  • Additional information regarding titanium aluminum oxide films can be found in U.S. patent application Ser. No. 11/029,757, filed Jan. 5, 2005, entitled “Atomic Layer Deposited Hafnium Tantalum Oxide Dielectrics,” which is herein incorporated by reference.
  • Hafnium Titanium Oxide
  • The high-k dielectric can be provided as hafnium titanium oxide, such as HfTiO4, formed by ALD using precursors substantially free of chlorine and carbon. Precursors capable of being used include a titanium halide precursor such as a titanium iodine precursor, a titanium nitride precursor, a titanium isopropoxide precursor, and a hafnium halide precursor such as a hafnium chloride precursor.
  • Amorphous Lanthanide Doped TiOX
  • The high-k dielectric can be provided as an ALD-formed amorphous dielectric layer of titanium oxide (TiOX) doped with lanthanide elements, such as samarium, europium, gadolinium, holmium, erbium and thulium. The dielectric structure is formed by depositing titanium oxide by atomic layer deposition onto a substrate surface using precursor chemicals, followed by depositing a layer of a lanthanide dopant, and repeating to form a sequentially deposited interleaved structure. The leakage current of the dielectric layer is reduced when the percentage of the lanthanide element doping is optimized. The amorphous dielectric layer is formed on a substrate by atomic layer deposition at a predetermined temperature, such as within a range of approximately 100° C. to 250° C. The amorphous dielectric layer can be comprised of a plurality of individual titanium oxide layers, with at least one lanthanide layer interleaved between each individual one of the titanium oxide layers. The dielectric layer can have a titanium to lanthanide ratio selected to obtain a dielectric constant value of from 50 to 100, and can be selected with a titanium to lanthanide ratio selected to obtain a leakage current of less than 10−8 A/cm2 and a breakdown voltage of greater than 2.0 MV/cm.
  • Additional information regarding titanium aluminum oxide films can be found in U.S. patent application Ser. No. 11/092,072, filed Mar. 29, 2005, entitled “ALD of Amorphous Lanthanide Doped TiOX Films,” which is herein incorporated by reference.
  • Ti Gate Dielectric
  • The high-k dielectric can be provided as a Ti gate dielectric, which may be formed by providing a substrate assembly in a vacuum chamber, and forming a gate dielectric on the surface, including forming a metal oxide on at least a portion of the surface of the substrate assembly by electron beam evaporation, and generating an ion beam using an inert gas to provide inert gas ions for contacting the metal oxide during formation thereof. An environment including oxygen (e.g. ozone) can be provided in the vacuum chamber to form the metal oxide in the oxygen environment. The metal oxide can be selected from the group consisting of TiO2, Y2O3, Al2O3, ZrO2, HfO2, Y2O3—ZrO2, ZrSiO4, LaAlO3, and MgAl2O4.
  • Additional information regarding titanium aluminum oxide films can be found in U.S. Pat. No. 6,495,436, entitled “Formation Of Metal Oxide Gate Dielectric,” which is herein incorporated by reference.
  • TiO2
  • The high-k dielectric can be provided as a TiO2 dielectric, which can be physically vapor formed as a high purity metal layer over the semiconductor substrate. After forming such a layer, the high purity metal layer can be oxidized employing atomic oxygen generated in a high density plasma environment to form the dielectric material. The physically vapor formed high purity metal layer can have at least about 99.9% purity over the semiconductor substrate. The physical vapor formation can include electron beam evaporation. Prior to the electron beam evaporation, the vacuum chamber can be evacuated to a base pressure of about 1×10−7 Torr or lower, and a low-energy ion-bombardment source is directed towards the semiconductor substrate during the electron beam evaporation. A low-energy argon ion-bombardment can be directed towards the semiconductor substrate during the electron beam evaporation. The high purity metal layer can include two or more high purity metals, such as a metal-silicon alloy. The high purity metal can be selected from titanium, yttrium, zirconium, hafnium and various mixtures thereof.
  • Additional information regarding TiO2 films can be found in U.S. Pat. No. 6,534,420, entitled “Methods For Forming Dielectric Materials and Methods for Forming Semiconductor Devices,” which is herein incorporated by reference.
  • Amorphous HfO2
  • The high-k dielectric can include hafnium oxide, which can be formed by forming a thin hafnium (Hf) film by thermal evaporation at a low substrate temperature, and radically oxidizing the thin hafnium film using a krypton/oxygen (Kr/O2) high-density plasma to form the gate dielectric layer of hafnium oxide (HfO2). The resulting gate dielectric layer is thermally stable in contact with silicon and is resistive to impurity diffusion at the HfO2/silicon interface. The formation of the HfO2 eliminates the need for a diffusion barrier layer, allows thickness uniformity of the field oxide on the isolation regions, and preserves the atomically smooth surface of the silicon substrate. The hafnium layer can be formed by electron beam evaporation.
  • Additional information regarding HfO2 and other amorphous high-k gate oxide films can be found in U.S. Pat. No. 6,514,828, entitled “Method of Fabricating a Highly Reliable Gate Oxide,” which is herein incorporated by reference.
  • CoTiO3
  • The high-k dielectric can be provided by CoTiO3, which can be formed from alloys such as cobalt-titanium. These alloys are thermodynamically stable such that the gate dielectrics formed will have minimal reactions with a silicon substrate or other structures during any later high temperature processing stages. The underlying substrate surface smoothness is preserved by using a thermal evaporation technique to deposit the layer to be oxidized. A metal alloy layer is evaporation deposited on the body region, and the metal alloy layer is oxidized to form a metal oxide layer on the body region. Cobalt and titanium can be evaporation deposited, such as by electron beam evaporation. The evaporation deposition of the metal alloy layer can be performed at a substrate temperature range of 100-150° C., and the oxidation of the metal alloy layer can be performed at a temperature of approximately 400° C. A krypton (Kr)/oxygen (O2) mixed plasma can be used in the oxidization process.
  • Additional information regarding HfO2 and other amorphous high-k gate oxide films can be found in US Patent Publication No. 2003/0119246A1, entitled “Low-Temperature Grown High Quality Ultra-Thin CoTiO3 Gate Dielectrics,” which is herein incorporated by reference.
  • Oxides of Group IVB Elements (e.g. ZrO2)
  • The high-k gate dielectric can be formed from elements like zirconium, such as ZrO2, which are thermodynamically stable such that the gate dielectric will have little reaction with a silicon substrate or other structures during any later high temperature processing stages. The gate dielectric can be formed by evaporation depositing a metal layer on the body region, the metal being chosen from the group IVB elements of the periodic table, and oxidizing the metal layer to form a metal oxide layer on the body region. The metal layer can include a zirconium layer, which can be deposited by electron beam evaporation. The substrate temperature range for the deposition can be within a range of 150-400° C. The oxidation can be performed using atomic oxygen or with a krypton (Kr)/oxygen (O2) mixed plasma, for example.
  • Additional information regarding ZrO2 and other amorphous high-k gate oxide films can be found in US Patent Publication No. 2003/0045078-A1, entitled “Highly Reliable Amorphous High-K Gate Oxide ZrO2,” which is herein incorporated by reference.
  • Group IIIB/Rare Earth Series (Crystalline or Amorphous Y2O3 and Gd2O3)
  • The high-k dielectric can be provided using elements such as yttrium and gadolinium, which are thermodynamically stable such that the resulting gate dielectrics have minimal reaction with a silicon substrate or other structures during any later high temperature processing stages. The underlying substrate surface smoothness is preserved using a thermal evaporation technique to deposit the layer to be oxidized. The gate dielectric can be formed by evaporation depositing a metal layer on the body region, where the metal is chosen from a group consisting of the group IIIB elements and the rare earth series of the periodic table, and by oxidizing the metal layer to form a metal oxide layer on the body region. The metal layer can be yttrium and can be gadolinium. Electron beam evaporation can be used. The substrate temperature for the deposition can be approximately 150-400° C. Atomic oxygen and a krypton (Kr)/oxygen (O2) mixed plasma can be used to oxidize the metal layer, for example.
  • Additional information regarding gate oxides formed from elements such as yttrium and gadolinium can be found in U.S. Pat. No. 6,844,203 entitled “Gate Oxides, and Methods of Forming,” which is herein incorporated by reference.
  • Praseodymium Oxide
  • The gate dielectric can be provided by a praseodymium oxide. The Pr gate oxide is thermodynamically stable so that the oxide reacts minimally with a silicon substrate or other structures during any later high temperature processing stages. The underlying substrate surface smoothness is preserved using a thermal evaporation technique to deposit a Pr layer to be oxidized. The gate dielectric can be formed by evaporation depositing a praseodymium (Pr) layer on the body region, and oxidizing the Pr layer to form a Pr2O3 layer on the body region. Electron beam evaporation can be used. The substrate temperature for the deposition can be in an approximate range of 150-400° C. Atomic oxygen and a krypton (Kr)/oxygen (O2) mixed plasma can be used to oxidize the Pr layer, for example. The Pr2O3 layer can be formed to have an equivalent oxide thickness of less than 2 nm.
  • Additional information regarding praseodymium gate oxides can be found in U.S. Pat. No. 6,900,122, entitled “Low-Temperature Grown High-Quality Ultra-Thin Praseodymium Gate Dielectrics,” which is herein incorporated by reference.
  • ZrOXNY
  • The high-k dielectric can be provided by a metal oxynitride such as ZrOXNY. The addition of nitrogen to the microstructure of the gate dielectric promotes an amorphous phase that provides the gate dielectric with improved electrical properties. The underlying substrate surface smoothness is preserved by using a thermal evaporation technique to first deposit a metal layer. The gate dielectric can be formed by evaporation depositing a metal layer such as a zirconium layer on the body region, oxidizing the metal layer, and nitriding the metal layer. Electron beam evaporation can be used. The substrate temperature for the deposition can be in an approximate temperature range of 150-400° C. Atomic oxygen and a krypton (Kr)/oxygen (O2) mixed plasma, for example, can be used to oxidize the metal layer. The metal layer can be annealed in NH3 at a temperature of approximately 700° C.
  • Additional information regarding ZrOXNY gate oxides can be found in U.S. Pat. No. 6,767,795 entitled “Highly Reliable Amorphous High-K Gate Dielectric ZrOXNY,” which is herein incorporated by reference.
  • LaAlO3
  • The high-k dielectric can be provided by LaAlO3. A LaAlO3 gate dielectric can be formed by evaporating Al2O3 at a given rate, evaporating La2O3 at another rate, and controlling the two rates to provide an amorphous film containing LaAlO3 on a transistor body region. The evaporation deposition of the LaAlO3 film is performed using two electron guns to evaporate dry pellets of Al2O3 and La2O3. The two rates for evaporating the materials are selectively chosen to provide a dielectric film composition having a predetermined dielectric constant ranging from the dielectric constant of an Al2O3 film to the dielectric constant of a La2O3 film. Electron beam evaporation can be used. A predetermined dielectric constant can be achieved by controlling the evaporation rates.
  • Additional information regarding evaporated LaAlO3 gate dielectrics can be found in U.S. Pat. No. 6,893,984, entitled “Evaporated LaAlO3 Films for Gate Dielectrics,” which is herein incorporated by reference.
  • TiOX
  • The high-k dielectric can be provided by TiOX. The dielectric film can be formed by ion assisted electron beam evaporation of TiO2 and electron beam evaporation of a lanthanide selected from a group consisting of Nd, Tb, and Dy. The growth rate is controlled to provide a dielectric film having a lanthanide content ranging from about ten to about thirty percent of the dielectric film. These dielectric films containing lanthanide doped TiOx are amorphous and thermodynamically stable such that the lanthanide doped TiOx will have minimal reaction with a silicon substrate or other structures during processing.
  • The film can be formed by evaporating TiO2 at a first rate, evaporating a lanthanide at a second rate, and controlling the first rate and the second rate to grow a dielectric film on a substrate, the dielectric film containing TiOx doped with the lanthanide. The lanthanide can be selected from a group consisting of Nd, Tb, and Dy. Electron beam evaporation can be used. The rates can be controlled to selectively grow the dielectric film doped in the range from about 10% to about 30% lanthanide. The rates can be controlled so that the dielectric film has a dielectric constant ranging from about 50 to about 110.
  • Additional information regarding evaporated lanthanide doped TiOX dielectric films can be found in U.S. Pat. No. 6,790,791 entitled “Lanthanide Doped TiOX Dielectric Films,” which is herein incorporated by reference.
  • TiOX by Kr Plasma Oxidation
  • The high-k dielectric can be provided by TiOX, which can be formed by ion assisted electron beam evaporation of Ti, electron beam evaporation of a lanthanide selected from a group consisting of Nd, Tb, and Dy, and oxidation of the evaporated Ti/lanthanide film in a Kr/oxygen plasma. The growth rate is controlled to provide a dielectric film having a lanthanide content ranging from about five to about forty percent of the dielectric film. These dielectric films containing lanthanide doped TiOx are amorphous and thermodynamically stable such that the lanthanide doped TiOx will have minimal reaction with a silicon substrate or other structures during processing. Electron beam evaporation can be used. The rates can be controlled to provide a lanthanide doped Ti film on the substrate for growing a dielectric film doped in the range from about 5% to about 40% lanthanide. The rates can be controlled to provide the film with a dielectric constant ranging from about 50 to about 110.
  • Additional information regarding evaporated lanthanide doped TiOX dielectric films can be found in U.S. Pat. No. 6,884,739 entitled “Lanthanide Doped TiOX Dielectric Films By Plasma Oxidation,” which is herein incorporated by reference.
  • Y—Si—O
  • The dielectric can be provided by Y—Si—O dielectrics formed by evaporation deposition techniques.
  • Oxidation of Metals
  • The high-k dielectric can be provided by oxidizing metal. Examples of metal oxides include PbO, Al2O3, Ta2O5, TiO2, ZrO2, and Nb2O5.
  • Additional information regarding oxidation of metals for high-k dielectrics can be found in US Patent Application Publication 2003/0043637-A1 entitled “Flash Memory With Low Tunnel Barrier Interpoly Insulators,” which is herein incorporated by reference.
  • HfO2/La2O3
  • The high-k dielectric can be provided by an HfO2/La2O3 nanolaminate structure. The dielectric can be formed by forming a first metal-containing dielectric layer over the surface of the substrate, the metal comprising an element selected from Group IVB of the periodic table, and forming a second metal-containing dielectric layer over the first metal-containing dielectric layer. For example, the first metal-containing dielectric layer can include hafnium, and the second metal-containing dielectric layer comprises lanthanum.
  • A layer of silicon dioxide can be formed to overlie at least one portion of the surface; and the first metal-containing dielectric layer can be formed by forming a metal layer over the layer of silicon dioxide, and combining metal of the metal layer with oxygen of the silicon dioxide layer to form a metal oxide dielectric material. The second metal-containing dielectric layer can be an element selected from Group IIIB of the periodic table.
  • According to various embodiments, the dielectric is formed by forming a hafnium-containing layer, forming a lanthanum-containing layer over the hafnium-containing layer, and exposing the hafnium-containing layer and the lanthanum-containing layer to an oxygen-comprising atmosphere and heating the hafnium-containing layer and the lanthanum-containing layer to a temperature effective to form a hafnium-containing dielectric layer and a lanthanum-containing dielectric layer. Physical vapor deposition can be used. The thickness of each of the hafnium-containing dielectric layer and the lanthanum-containing dielectric layer can be less than about 5 nm. The ratio of the hafnium thickness to the lanthanum thickness can be from about 1 to 3 to about 1 to 4.
  • Additional information regarding nanolaminates such as HfO2/La2O3 as high-k dielectrics can be found in US Patent Application Publication 2002/0192974-A1 entitled “Dielectric Layer Forming Method and Devices Formed Therewith,” which is herein incorporated by reference.
  • La2O3/Hf2O3
  • The high-k dielectric can be provided by an La2O3/Hf2O3 nanolaminate. Alternate layers of hafnium oxide and lanthanum oxide over a substrate can be deposited to form a composite. The dielectric can be provided by forming one hafnium oxide monolayer, forming one lanthanum oxide monolayer, and repeating to form a plurality of single hafnium oxide monolayers interspersed among a plurality of single lanthanum oxide monolayers. Multiple hafnium oxide monolayers can be formed to create a hafnium oxide multilayer, and multiple lanthanum oxide monolayers can be formed to create a lanthanum oxide multilayer. A plurality of hafnium oxide multilayers can be interspersed among a plurality of lanthanum oxide multilayers. The hafnium oxide can comprise thermally stable, crystalline hafnium oxide, and the lanthanum oxide can comprise thermally stable, crystalline lanthanum oxide.
  • According to various methods, at least one monolayer of a first material is chemisorbed over a substrate, where the first material comprises a first metal. At least some of the chemisorbed first material is treated and an oxide of the first metal is formed. At least one monolayer of a second material (second metal) is chemisorbed on the first metal oxide. An oxide of the second metal is formed. One of the first and second metals comprises hafnium and the other comprises lanthanum. The first material can comprise HfCl4, and the chemisorbed first material can be treated by exposure to H2O to form HfO2. The first material can comprise La(thd)3, and the chemisorbed first material can be treated by exposure to H2O to form La2O3.
  • Additional information regarding nanolaminates such a La2O3/Hf2O3 as high-k dielectrics can be found in US Patent Application Publication 2004/0038554-A1 entitled “Composite Dielectric Forming Methods and Composite Dielectrics,” which is herein incorporated by reference.
  • HfO2/ZrO2
  • The high-k dielectric can be provided by a HfO2/ZrO2 nanolaminate, which can be formed by atomic layer deposition of HfO2 using a HfI4 precursor followed by the formation of ZrO2 on the HfO2 layer. The HfO2 layer thickness is controlled by repeating for a number of cycles a sequence including pulsing the HfI4 precursor into a reaction chamber, pulsing a purging gas into the reaction chamber, pulsing a first oxygen-containing precursor into the reaction chamber, and pulsing the purging gas until the desired thickness is formed. These gate dielectrics containing HfO2/ZrO2 nanolaminates are thermodynamically stable such that the HfO2/ZrO2 nanolaminates will have minimal reaction with a silicon substrate or other structures during processing.
  • The layer of zirconium oxide can be formed by rapid thermal CVD at about 500° C. A nitrogen anneal between about 700° C. and about 900° C. can be performed after the layer of zirconium oxide is formed. The layer of hafnium oxide can be formed by pulsing a first oxygen-containing precursor, such as water vapor, into the reaction chamber after pulsing the HfI4 precursor into the reaction chamber.
  • Additional information regarding nanolaminates such a HfO2/ZrO2 as high-k dielectrics can be found in US Patent Application Publication 2004/0023461-A1 entitled “Atomic Layer Deposited Nanolaminates of HfO2/ZrO2 films as Gate Dielectrics,” which is herein incorporated by reference.
  • Lanthanide Oxide/Zirconium Oxide
  • The high-k dielectric can be provided by a lanthanide oxide/zirconium oxide nanolaminate. According to various embodiments, the ZrO2 is deposited by multiple cycles of reaction sequence atomic layer deposition (RS-ALD) that includes depositing a ZrI4 precursor onto the surface of the substrate in a first pulse followed by exposure to H2O/H2O2 in a second pulse, thereby forming a thin ZrO2 layer on the surface. After depositing the ZrO2 layer, the lanthanide oxide layer is deposited by electron beam evaporation. The composite laminate zirconium oxide/lanthanide oxide dielectric layer has a relatively high dielectric constant and can be formed in layers of nanometer dimensions.
  • Various embodiments provide a layer of ZrO2, and a layer of a lanthanide oxide having a thickness of about 2-12 nm on the ZrO2 layer. The ZrO2 layer has a thickness of about 1-6 nm, and the composite laminate dielectric layer has a thickness of about 3-18 nm. The lanthanide oxide can include Pr2O3, Nd2O3, Sm2O3, Gd2O3, Dy2O3 and PrTiXOY. According to various embodiments, the composite laminate dielectric layer has a dielectric constant between about 12 and about 23. The ZrO2 layer can be formed by atomic layer deposition from a ZrI4 precursor followed by oxidation with H2O/H2O2, and the lanthanide oxide layer can be formed by electron beam evaporation of a lanthanide oxide.
  • Additional information regarding nanolaminates such a lanthanide oxide/zirconium oxide as high-k dielectrics can be found in US Patent Application Publication 2005/0077519-A1 entitled “Lanthanide Oxide/Zirconium Oxide Atomic Layer Deposited Nanolaminate Gate Dielectrics,” which is herein incorporated by reference.
  • Lanthanide Oxide/Hafnium Oxide
  • The high-k dielectric can be provided by a lanthanide oxide/hafnium oxide nanolaminate, such as can be formed by forming a layer of hafnium oxide by atomic layer deposition and forming a layer of a lanthanide oxide by electron beam evaporation. According to various embodiments, the combined thickness of lanthanide oxide layers is limited to between about 2 nm and about 10 nm. Multilayers of hafnium oxide can be formed, where each layer of lanthanide oxide is limited to a thickness between about 2 nm and 10 nm. Some embodiments limit the combined thickness of hafnium oxide layers to a thickness between about 2 nm and about 10 nm. The lanthanide oxide can include Pr2O3, Nd2O3, Sm2O3, Gd2O3, and Dy2O3. The substrate temperature for the deposition can range between about 100° C. to about 150° C. The hafnium oxide can be formed using a HfI4 precursor, and the lanthanide oxide can be formed on the layer of hafnium oxide by electron beam evaporation.
  • Additional information regarding nanolaminates such a lanthanide oxide/hafnium oxide as high-k dielectrics can be found in US Patent Application Publication 2005/0020017-A1 entitled “Lanthanide Oxide/Hafnium Oxide Dielectric Layers,” which is herein incorporated by reference.
  • Lanthanide Oxide/Hafnium Oxide
  • The high-k dielectric can be provided by a lanthanide oxide/hafnium oxide nanolaminate. The hafnium oxide can be formed by chemical vapor deposition and the lanthanide oxide can be formed by electron beam evaporation. Forming the layer of hafnium oxide by chemical vapor deposition using precursors that do not contain carbon permits the formation of the dielectric layer without carbon contamination. Various embodiments limit a combined thickness of lanthanide oxide layers to a thickness ranging from about 2 nm to about 10 nm. Various embodiments provide a multilayer of lanthanide oxide, with each layer of lanthanide oxide having a thickness ranging from about 2 nm to about 10 nm. Various embodiments limit a combined thickness of hafnium oxide layers to a thickness ranging from about 2 nanometers to about 10 nanometers. The lanthanide oxide can be selected from Pr2O3, Nd2O3, Sm2O3, Gd2O3, and Dy2O3. The substrate can be maintained at a temperature ranging from about 100° C. to about 150° C. during electron beam deposition and the substrate can be maintained at a temperature ranging from about 200° C. to about 400° C. during chemical vapor deposition. The dielectric layer can be formed by forming a layer of hafnium oxide on a substrate by chemical vapor deposition using a Hf(NO3)4 precursor, and forming a layer of a lanthanide oxide on the layer of hafnium oxide by electron beam evaporation.
  • Additional information regarding nanolaminates such a lanthanide oxide/hafnium oxide as high-k dielectrics can be found in US Patent Application Publication 2004/0262700-A1 entitled “Lanthanide Oxide/Hafnium Oxide Dielectrics,” which is herein incorporated by reference.
  • PrOX/ZrO2
  • The high-k dielectric can be provided by a PrOX/ZrO2 nanolaminate. The nanolaminate layered dielectric structure is formed by depositing praseodymium by atomic layer deposition onto a substrate surface using precursor chemicals, followed by depositing zirconium onto the substrate using precursor chemicals, and repeating to form the thin laminate structure. The dielectric layer can be formed using either a reaction sequence atomic layer deposition, a metallo-organic chemical vapor deposition, or a combination thereof. The praseodymium oxide layer includes forming an amorphous oxide including Pr6O11, Pr2O3, PrO3, and PrO2, and combinations thereof. The zirconium oxide layer can include an amorphous oxide including ZrO, ZrO2, and combinations thereof.
  • Each individual one of the praseodymium oxide layers can be less than or equal to two monolayers in thickness, or can be a continuous monolayer. The resulting monolayer has a root mean square surface roughness that is less than one tenth of the layer thickness. The thickness of the praseodymium oxide layer and the zirconium oxide layer can be selected to provide the dielectric structure with a dielectric constant greater than 30. The dielectric film can be formed at a temperature of less than 350° C. The dielectric film can be formed using a precursor material comprising a formula Pr(OCMe2CH2Me)3.
  • Additional information regarding nanolaminates such a lanthanide oxide/hafnium oxide as high-k dielectrics can be found in U.S. patent application Ser. No. 11/010,766, filed Dec. 13, 2004 entitled “Hybrid ALD-CVD of PrXOY/ZrO2 Films as Gate Dielectrics,” which is herein incorporated by reference.
  • Hf3N4/HfO2
  • The high-k dielectric can be provided by a hafnium nitride (Hf3N4)/hafnium oxide (HfO2) nanolaminate. At least one hafnium oxide layer and at least one hafnium nitride layer form the nanolaminate. Both the hafnium oxide and the hafnium nitride can be formed using atomic layer deposition. The dielectric layer can include an amorphous dielectric that includes HfO2, Hf3N4, and combinations thereof.
  • The hafnium oxide layer can be comprised of a plurality of individually deposited hafnium oxide layers, where each individual one of the hafnium oxide layers is less than or equal to two monolayers in thickness. Each individual one of the hafnium oxide layers can be a continuous monolayer. Each individual one of the hafnium oxide layers can have a thickness within a range from 1.3 to 1.5 Å. The resulting dielectric layer can have a root mean square surface roughness that is less than one tenth of the layer thickness. A ratio of a thickness of hafnium oxide to a thickness of hafnium nitride can be selected to result in a dielectric constant of the dielectric film of greater than 20. Some embodiments separate the dielectric film from the substrate by a diffusion barrier. The dielectric film can be formed at a temperature less than 300° C.
  • Hf[(CH3)2]4 can be used as a precursor and water vapor can be used as a reactant to form the hafnium oxide in a deposition process with a temperature between 250° C. to 300° C. HfCl4 can be used as a precursor and water vapor can be used as a reactant to form the hafnium oxide in a deposition process with a temperature of approximately 300° C. Hf[(CH3)2]4 can be used as a precursor and ammonia (NH3) can be used as a reactant to form the hafnium oxide in a deposition process with a temperature of approximately 250° C. Various embodiments provide the hafnium nitride and hafnium oxide film as a continuous layer with a root mean square surface roughness of less than 10 Å and a current leakage rate of less than 5×10−7 amps per cm2 at an electric field strength of 1 megavolt per cm.
  • Additional information regarding nanolaminates such a lanthanide oxide/hafnium oxide as high-k dielectrics can be found in U.S. patent application Ser. No. 11/063,717 filed Feb. 23, 2005 entitled “Atomic Layer Deposition of Hf3N4/HfO2 Films as Gate Dielectrics,” which is herein incorporated by reference.
  • Zr3N4/ZrO2
  • The high-k dielectric can be provided by a Zr3N4/ZrO2 nanolaminate. Atomic layer deposition can be used to form at least one zirconium oxide layer and at least one zirconium nitride layer. The dielectric layer can include an amorphous dielectric that includes ZrO2, Zr3N4, and combinations thereof. The zirconium oxide layer can be comprised of a plurality of individually deposited zirconium oxide layers, where each individual one of the zirconium oxide layers is less than or equal to two monolayers in thickness. Each individual one of the zirconium oxide layers can be a continuous monolayer with a step coverage of greater than 90% over 90 degree angle steps. In various embodiments, each individual one of the zirconium oxide layers has a thickness within a range from 1.3 to 1.5 Å. Some embodiments provide the dielectric layer with a root mean square surface roughness that is less than one tenth of the layer thickness. A ratio of a thickness of zirconium oxide to a thickness of zirconium nitride can be selected to result in a dielectric constant of the dielectric film of greater than 20. A diffusion barrier can separate the dielectric film from the substrate. The dielectric film can be formed at a temperature of between 275° C. to 325° C. ZrI4 can be used as a precursor, and water vapor and hydrogen peroxide can be used as reactants to form zirconium oxide in a deposition process where the temperature is between 325° C. to 500° C. ZrCl4 can be used as a precursor, and water vapor can be used as a reactant to form zirconium oxide in a deposition process where the temperature is approximately 300° C. Zr[(CH3)2]4, can be used as a precursor and ammonia (NH3) can be used as a reactant to form zirconium oxide in a deposition process where the temperature is approximately 250° C. The zirconium nitride and zirconium oxide film can each be a continuous layer having a root mean square surface roughness of less than 5 Å and a current leakage rate of less than 1.1×10−7 amps per cm2 at an electric field strength of 1 megavolt per cm.
  • Additional information regarding nanolaminates such a Zr3N4/ZrO2 oxide as high-k dielectrics can be found in U.S. patent application Ser. No. 11/058,563, filed Feb. 15, 2005 entitled “Atomic Layer Deposition of Zr3N4/ZrO2 Films as Gate Dielectrics,” which is herein incorporated by reference.
  • TiO2/CeO2
  • The high-k dielectric can be provided by a TiO2/CeO2 nanolaminate using ALD processes.
  • Wafer Level
  • FIG. 3 illustrates a wafer 340, upon which the transistors with self aligned metal gates can be fabricated according to embodiments of the present subject matter. A common wafer size is 8 inches in diameter. However, wafers are capable of being fabricated in other sizes, and the present subject matter is not limited to wafers of a particular size. A number of dies can be formed on a wafer. A die 341 is an individual pattern, typically rectangular, on a substrate that contains circuitry to perform a specific function. A semiconductor wafer typically contains a repeated pattern of such dies containing the same functionality. A die is typically packaged in a protective casing (not shown) with leads extending therefrom (not shown) providing access to the circuitry of the die for communication and control.
  • System Level
  • FIG. 4 illustrates a simplified block diagram of a high-level organization of an electronic system that includes the transistor with the self aligned metal gate, according to various embodiments. In various embodiments, the system 450 is a computer system, a process control system or other system that employs a processor and associated memory. The electronic system 450 has functional elements, including a processor or arithmetic/logic unit (ALU) 451, a control unit 452, a memory device unit 453 and an input/output (I/O) device 454. Generally such an electronic system 450 will have a native set of instructions that specify operations to be performed on data by the processor 451 and other interactions between the processor 451, the memory device unit 453 and the I/O devices 454. The control unit 452 coordinates all operations of the processor 451, the memory device 453 and the I/O devices 454 by continuously cycling through a set of operations that cause instructions to be fetched from the memory device 453 and executed. According to various embodiments, the memory device 453 includes, but is not limited to, random access memory (RAM) devices, read-only memory (ROM) devices, and peripheral devices such as a floppy disk drive and a compact disk CD-ROM drive. As one of ordinary skill in the art will understand upon reading and comprehending this disclosure, any of the illustrated electrical components are capable of being fabricated to include a transistor with a self aligned metal gate in accordance with the present subject matter.
  • FIG. 5 illustrates a simplified block diagram of a high-level organization of an electronic system that includes transistors with self aligned metal gates, according to various embodiments. The system 560 includes a memory device 561 which has an array of memory cells 562, address decoder 563, row access circuitry 564, column access circuitry 565, read/write control circuitry 566 for controlling operations, and input/output circuitry 567. The memory device 561 further includes power circuitry 568, and sensors 569 for determining the state of the memory cells. The illustrated power circuitry 568 includes power supply circuitry, circuitry for providing a reference voltage, circuitry for providing the word line with pulses, and circuitry for providing the bit line with pulses. Also, as shown in FIG. 5, the system 560 includes a processor 570, or memory controller for memory accessing. The memory device receives control signals from the processor over wiring or metallization lines. The memory device is used to store data which is accessed via I/O lines. It will be appreciated by those skilled in the art that additional circuitry and control signals can be provided, and that the memory device has been simplified. At least one of the processor or memory device includes the transistor with the self aligned metal gate according to the present subject matter.
  • The illustration of system 560, as shown in FIG. 5, is intended to provide a general understanding of one application for the structure and circuitry of the present subject matter, and is not intended to serve as a complete description of all the elements and features of an electronic system. As one of ordinary skill in the art will understand, such an electronic system can be fabricated in single-package processing units, or even on a single semiconductor chip, in order to reduce the communication time between the processor and the memory device.
  • Applications containing transistors with self aligned metal gates on high-k dielectrics, as described in this disclosure, include electronic systems for use in memory modules, device drivers, power modules, communication modems, processor modules, and application-specific modules, and may include multilayer, multichip modules. Such circuitry can further be a subcomponent of a variety of electronic systems, such as a clock, a television, a cell phone, a personal computer, an automobile, an industrial control system, an aircraft, and others.
  • This disclosure includes several processes, circuit diagrams, and structures. The present invention is not limited to a particular process order or logical arrangement. Although specific embodiments have been illustrated and described herein, it will be appreciated by those of ordinary skill in the art that any arrangement which is calculated to achieve the same purpose may be substituted for the specific embodiments shown. This application is intended to cover adaptations or variations. It is to be understood that the above description is intended to be illustrative, and not restrictive. Combinations of the above embodiments, and other embodiments, will be apparent to those of skill in the art upon reviewing the above description. The scope of the present invention should be determined with reference to the appended claims, along with the full scope of equivalents to which such claims are entitled.

Claims (30)

1. An integrated circuit structure, comprising:
a substrate;
a high-k gate dielectric over the substrate, the high-k gate dielectric having a dielectric constant greater than the dielectric constant of silicon dioxide;
a self-aligned metal gate in contact with and over the gate dielectric; and
source and drain regions within the substrate, the source and drain regions formed by:
forming sacrificial carbon sidewall spacers adjacent to a sacrificial carbon gate to be replaced by the self-aligned metal gate;
implanting and annealing the source/drain regions;
removing the sacrificial carbon sidewall spacers; and
forming source/drain extensions.
2. The structure of claim 1, wherein the self-aligned metal gate includes aluminum.
3. The structure of claim 1, wherein the self-aligned metal gate includes tungsten.
4. The structure of claim 1, wherein the self-aligned metal gate includes molybdenum.
5. The structure of claim 1, wherein the self-aligned metal gate includes gold.
6. The structure of claim 1, wherein the self-aligned metal gate includes silver.
7. The structure of claim 1, wherein the self-aligned metal gate includes gold alloy.
8. The structure of claim 1, wherein the self-aligned metal gate includes silver alloy.
9. The structure of claim 1, wherein the self-aligned metal gate includes copper.
10. The structure of claim 1, wherein the self-aligned metal gate includes platinum.
11. The structure of claim 1, wherein the self-aligned metal gate includes rhenium.
12. The structure of claim 1, wherein the self-aligned metal gate includes ruthenium.
13. The structure of claim 1, wherein the self-aligned metal gate includes rhodium.
14. The structure of claim 1, wherein the self-aligned metal gate includes nickel.
15. The structure of claim 1, wherein the self-aligned metal gate includes osmium.
16. The structure of claim 1, wherein the self-aligned metal gate includes palladium.
17. The structure of claim 1, wherein the self-aligned metal gate includes iridium.
18. The structure of claim 1, wherein the self-aligned metal gate includes cobalt.
19. The structure of claim 1, wherein the self-aligned metal gate includes germanium.
20. The structure of claim 1, wherein the high-k dielectric includes one or more monolayers of a high-k dielectric material.
21. The structure of claim 1, wherein the high-k dielectric includes a nanolaminate of two or more high-k dielectrics.
22. An integrated circuit structure, comprising:
a substrate;
a high-k gate dielectric on the substrate;
a self-aligned metal gate over the gate dielectric, wherein the self-aligned metal gate is formed by replacing a sacrificial carbon gate; and
source and drain regions within the substrate, wherein the source and drain regions are formed by using sacrificial carbon sidewall spacers adjacent to the sacrificial carbon gate during implanting and annealing the source and drain regions.
23. The structure of claim 22, further comprising:
source/drain extensions, wherein the source/drain extensions are implanted after removing the sacrificial carbon sidewall spacers.
24. The structure of claim 22, wherein the high-k gate dielectric includes aluminum oxide.
25. The structure of claim 22, wherein the high-k gate dielectric includes titanium oxide.
26. The structure of claim 22, wherein the high-k gate dielectric includes yttrium oxide.
27. An integrated circuit structure, comprising:
a substrate;
a gate dielectric over the substrate;
a metal gate over the gate dielectric; and
source and drain regions within the substrate, the source and drain regions including source and drain extensions, wherein the source and drain extensions are formed after removing sacrificial carbon sidewall spacers adjacent to a sacrificial carbon gate, the sacrificial carbon gate subsequently replaced by the metal gate.
28. The structure of claim 27, wherein the gate dielectric includes a high-k gate dielectric.
29. The structure of claim 28, the gate dielectric includes zirconium silicon oxide.
30. The structure of claim 27, wherein the metal gate includes a self-aligned metal gate.
US11/451,712 2005-08-31 2006-06-13 Self aligned metal gates on high-K dielectrics Abandoned US20070045752A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/451,712 US20070045752A1 (en) 2005-08-31 2006-06-13 Self aligned metal gates on high-K dielectrics

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/216,375 US7211492B2 (en) 2005-07-07 2005-08-31 Self aligned metal gates on high-k dielectrics
US11/451,712 US20070045752A1 (en) 2005-08-31 2006-06-13 Self aligned metal gates on high-K dielectrics

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/216,375 Division US7211492B2 (en) 2005-07-07 2005-08-31 Self aligned metal gates on high-k dielectrics

Publications (1)

Publication Number Publication Date
US20070045752A1 true US20070045752A1 (en) 2007-03-01

Family

ID=37802831

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/451,712 Abandoned US20070045752A1 (en) 2005-08-31 2006-06-13 Self aligned metal gates on high-K dielectrics
US11/451,703 Active US7214994B2 (en) 2005-08-31 2006-06-13 Self aligned metal gates on high-k dielectrics

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/451,703 Active US7214994B2 (en) 2005-08-31 2006-06-13 Self aligned metal gates on high-k dielectrics

Country Status (1)

Country Link
US (2) US20070045752A1 (en)

Cited By (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050124174A1 (en) * 2002-08-15 2005-06-09 Micron Technology, Inc. Lanthanide doped TiOx dielectric films by plasma oxidation
US20050145957A1 (en) * 2002-02-20 2005-07-07 Micron Technology, Inc. Evaporated LaAlO3 films for gate dielectrics
US20060024975A1 (en) * 2004-08-02 2006-02-02 Micron Technology, Inc. Atomic layer deposition of zirconium-doped tantalum oxide films
US20060189154A1 (en) * 2005-02-23 2006-08-24 Micron Technology, Inc. Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
US20060263972A1 (en) * 2005-02-15 2006-11-23 Micron Technology, Inc. ATOMIC LAYER DEPOSITION OF Zr3N4/ZrO2 FILMS AS GATE DIELECTRICS
US20070099366A1 (en) * 2004-08-31 2007-05-03 Micron Technology, Inc. Lanthanum aluminum oxide dielectric layer
US20070111544A1 (en) * 2002-06-05 2007-05-17 Micron Technology, Inc. Systems with a gate dielectric having multiple lanthanide oxide layers
US20070138607A1 (en) * 2002-08-06 2007-06-21 Tessera, Inc. Lead assemblies with offset portions and microelectronic assemblies with leads having offset portions
US20080032465A1 (en) * 2006-08-03 2008-02-07 Micron Technology, Inc. Deposition of ZrAION films
US20080217676A1 (en) * 2005-04-28 2008-09-11 Micron Technology, Inc. Zirconium silicon oxide films
US7494885B1 (en) * 2004-04-05 2009-02-24 Advanced Micro Devices, Inc. Disposable spacer process for field effect transistor fabrication
US20090184397A1 (en) * 2008-01-22 2009-07-23 Nadine Gergel-Hackett Nonvolatile memory device and processing method
US20090194791A1 (en) * 2006-09-29 2009-08-06 Fujitsu Limited Compound semiconductor device and manufacturing method thereof
US7605030B2 (en) * 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US20100038723A1 (en) * 2008-08-18 2010-02-18 International Business Machines Corporation Self-aligned borderless contacts for high density electronic and memory device integration
US7670646B2 (en) 2002-05-02 2010-03-02 Micron Technology, Inc. Methods for atomic-layer deposition
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7700989B2 (en) * 2005-05-27 2010-04-20 Micron Technology, Inc. Hafnium titanium oxide films
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
WO2011005653A1 (en) * 2009-07-06 2011-01-13 Llinde Aktiengesellschaft Solution based precursors
US20110048769A1 (en) * 2009-09-01 2011-03-03 Elpida Memory, Inc. Insulating film, method of manufacturing the same, and semiconductor device
US7923381B2 (en) 2002-12-04 2011-04-12 Micron Technology, Inc. Methods of forming electronic devices containing Zr-Sn-Ti-O films
US8110469B2 (en) 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
US20120074533A1 (en) * 2010-09-24 2012-03-29 Tokyo Electron (TEL)Limited Structures And Techniques For Atomic Layer Deposition
US8154066B2 (en) 2004-08-31 2012-04-10 Micron Technology, Inc. Titanium aluminum oxide films
US8278225B2 (en) 2005-01-05 2012-10-02 Micron Technology, Inc. Hafnium tantalum oxide dielectrics
US20120255612A1 (en) * 2011-04-08 2012-10-11 Dieter Pierreux Ald of metal oxide film using precursor pairs with different oxidants
US8445952B2 (en) 2002-12-04 2013-05-21 Micron Technology, Inc. Zr-Sn-Ti-O films
US8501563B2 (en) 2005-07-20 2013-08-06 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US8558325B2 (en) 2004-08-26 2013-10-15 Micron Technology, Inc. Ruthenium for a dielectric containing a lanthanide
JP2014022631A (en) * 2012-07-20 2014-02-03 Nippon Telegr & Teleph Corp <Ntt> Semiconductor device and manufacturing method of the same
US8652957B2 (en) 2001-08-30 2014-02-18 Micron Technology, Inc. High-K gate dielectric oxide
US8741712B2 (en) * 2012-09-18 2014-06-03 Intermolecular, Inc. Leakage reduction in DRAM MIM capacitors
US8778750B2 (en) 2012-05-05 2014-07-15 International Business Machines Corporation Techniques for the fabrication of thick gate dielectric
US20150214331A1 (en) * 2014-01-30 2015-07-30 Globalfoundries Inc. Replacement metal gate including dielectric gate material
US20160013313A1 (en) * 2014-07-14 2016-01-14 International Business Machines Corporation Heterogeneous source drain region and extension region
US10043669B2 (en) * 2017-01-05 2018-08-07 United Microelectronics Corp. Method for fabricating metal gate structure

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6852167B2 (en) * 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
EP1634323A4 (en) * 2003-06-13 2008-06-04 Univ North Carolina State Complex oxides for use in semiconductor devices and related methods
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7374964B2 (en) 2005-02-10 2008-05-20 Micron Technology, Inc. Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics
US7195999B2 (en) * 2005-07-07 2007-03-27 Micron Technology, Inc. Metal-substituted transistor gates
US20070045752A1 (en) * 2005-08-31 2007-03-01 Leonard Forbes Self aligned metal gates on high-K dielectrics
US7776765B2 (en) 2006-08-31 2010-08-17 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US7759747B2 (en) 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
JP2008124441A (en) * 2006-10-19 2008-05-29 Tokyo Electron Ltd Manufacturing method of semiconductor device
KR100875034B1 (en) * 2007-01-02 2008-12-19 주식회사 하이닉스반도체 Dielectric Film Formation Method of Flash Memory Device
US20100163952A1 (en) * 2008-12-31 2010-07-01 Chia-Hong Jan Flash Cell with Integrated High-K Dielectric and Metal-Based Control Gate
US9293551B2 (en) 2013-11-25 2016-03-22 Globalfoundries Inc. Integrated multiple gate length semiconductor device including self-aligned contacts

Citations (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4745082A (en) * 1986-06-12 1988-05-17 Ford Microelectronics, Inc. Method of making a self-aligned MESFET using a substitutional gate with side walls
US4994404A (en) * 1989-08-28 1991-02-19 Motorola, Inc. Method for forming a lightly-doped drain (LDD) structure in a semiconductor device
US5391510A (en) * 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US5920121A (en) * 1998-02-25 1999-07-06 Micron Technology, Inc. Methods and structures for gold interconnections in integrated circuits
US5960270A (en) * 1997-08-11 1999-09-28 Motorola, Inc. Method for forming an MOS transistor having a metallic gate electrode that is formed after the formation of self-aligned source and drain regions
US6051486A (en) * 1997-12-18 2000-04-18 Advanced Miero Devices Method and structure for replaceable gate electrode in insulated gate field effect transistors
US6080646A (en) * 1998-04-18 2000-06-27 United Microelectronics Corp. Method of fabricating a metal-oxide-semiconductor transistor with a metal gate
US6083836A (en) * 1997-12-23 2000-07-04 Texas Instruments Incorporated Transistors with substitutionally formed gate structures and method
US6121126A (en) * 1998-02-25 2000-09-19 Micron Technologies, Inc. Methods and structures for metal interconnections in integrated circuits
US6143655A (en) * 1998-02-25 2000-11-07 Micron Technology, Inc. Methods and structures for silver interconnections in integrated circuits
US20010003667A1 (en) * 1998-04-29 2001-06-14 Kie Y. Ahn Bipolar transistors with low-resistance emitter contacts
US6333255B1 (en) * 1997-08-21 2001-12-25 Matsushita Electronics Corporation Method for making semiconductor device containing low carbon film for interconnect structures
US20020001891A1 (en) * 2000-06-21 2002-01-03 Kim Tae Kyun Method for fabricating MOSFET device
US6392280B1 (en) * 2000-10-19 2002-05-21 Advanced Micro Devices, Inc. Metal gate with PVD amorphous silicon layer for CMOS devices and method of making with a replacement gate process
US6492694B2 (en) * 1998-02-27 2002-12-10 Micron Technology, Inc. Highly conductive composite polysilicon gate for CMOS integrated circuits
US6495436B2 (en) * 2001-02-09 2002-12-17 Micron Technology, Inc. Formation of metal oxide gate dielectric
US20020192974A1 (en) * 2001-06-13 2002-12-19 Ahn Kie Y. Dielectric layer forming method and devices formed therewith
US6500756B1 (en) * 2002-06-28 2002-12-31 Advanced Micro Devices, Inc. Method of forming sub-lithographic spaces between polysilicon lines
US6514828B2 (en) * 2001-04-20 2003-02-04 Micron Technology, Inc. Method of fabricating a highly reliable gate oxide
US20030045078A1 (en) * 2001-08-30 2003-03-06 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US20030045060A1 (en) * 2001-08-30 2003-03-06 Micron Technology, Inc. Crystalline or amorphous medium-k gate oxides, Y2O3 and Gd2O3
US20030043637A1 (en) * 2001-08-30 2003-03-06 Micron Technology, Inc Flash memory with low tunnel barrier interpoly insulators
US6534420B2 (en) * 2001-07-18 2003-03-18 Micron Technology, Inc. Methods for forming dielectric materials and methods for forming semiconductor devices
US6559017B1 (en) * 2002-06-13 2003-05-06 Advanced Micro Devices, Inc. Method of using amorphous carbon as spacer material in a disposable spacer process
US20030119291A1 (en) * 2001-12-20 2003-06-26 Micron Technology, Inc. Low-temperature grown high-quality ultra-thin praseodymium gate dielectrics
US20030119246A1 (en) * 2001-12-20 2003-06-26 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US20030132491A1 (en) * 2002-01-17 2003-07-17 Micron Technology, Inc. Highly reliable amorphous high-K gate dielectric ZrOxNy
US6605514B1 (en) * 2002-07-31 2003-08-12 Advanced Micro Devices, Inc. Planar finFET patterning using amorphous carbon
US20030157764A1 (en) * 2002-02-20 2003-08-21 Micron Technology, Inc. Evaporated LaA1O3 films for gate dielectrics
US20030207540A1 (en) * 2002-05-02 2003-11-06 Micron Technology, Inc. Atomic layer-deposited laaio3 films for gate dielectrics
US20030207032A1 (en) * 2002-05-02 2003-11-06 Micron Technology, Inc. Methods, systems, and apparatus for atomic-layer deposition of aluminum oxides in integrated circuits
US20030227033A1 (en) * 2002-06-05 2003-12-11 Micron Technology, Inc. Atomic layer-deposited HfA1O3 films for gate dielectrics
US20030228747A1 (en) * 2002-06-05 2003-12-11 Micron Technology, Inc. Pr2O3-based la-oxide gate dielectrics
US6664154B1 (en) * 2002-06-28 2003-12-16 Advanced Micro Devices, Inc. Method of using amorphous carbon film as a sacrificial layer in replacement gate integration processes
US20040023461A1 (en) * 2002-07-30 2004-02-05 Micron Technology, Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US20040033701A1 (en) * 2002-08-15 2004-02-19 Micron Technology, Inc. Lanthanide doped tiox dielectric films
US20040038554A1 (en) * 2002-08-21 2004-02-26 Ahn Kie Y. Composite dielectric forming methods and composite dielectrics
US20040043541A1 (en) * 2002-08-29 2004-03-04 Ahn Kie Y. Atomic layer deposited lanthanide doped TiOx dielectric films
US20040043569A1 (en) * 2002-08-28 2004-03-04 Ahn Kie Y. Atomic layer deposited HfSiON dielectric films
US6727560B1 (en) * 2003-02-10 2004-04-27 Advanced Micro Devices, Inc. Engineered metal gate electrode
US20040110391A1 (en) * 2002-12-04 2004-06-10 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films
US20040110348A1 (en) * 2002-12-04 2004-06-10 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US20040142546A1 (en) * 2003-01-14 2004-07-22 Fujitsu Limited Semiconductor device and method for fabricating the same
US20040144980A1 (en) * 2003-01-27 2004-07-29 Ahn Kie Y. Atomic layer deposition of metal oxynitride layers as gate dielectrics and semiconductor device structures utilizing metal oxynitride layers
US20040175882A1 (en) * 2003-03-04 2004-09-09 Micron Technology, Inc. Atomic layer deposited dielectric layers
US20040214399A1 (en) * 2003-04-22 2004-10-28 Micron Technology, Inc. Atomic layer deposited ZrTiO4 films
US6818519B2 (en) * 2002-09-23 2004-11-16 Infineon Technologies Ag Method of forming organic spacers and using organic spacers to form semiconductor device features
US20040262700A1 (en) * 2003-06-24 2004-12-30 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectrics
US20050020017A1 (en) * 2003-06-24 2005-01-27 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
US6849546B1 (en) * 2003-11-04 2005-02-01 Taiwan Semiconductor Manufacturing Co. Method for improving interlevel dielectric gap filling over semiconductor structures having high aspect ratios
US20050023603A1 (en) * 2001-08-30 2005-02-03 Micron Technology, Inc. Atomic layer deposition of metal oxide and/or low asymmetrical tunnel barrier interpoly insulators
US6864164B1 (en) * 2002-12-17 2005-03-08 Advanced Micro Devices, Inc. Finfet gate formation using reverse trim of dummy gate
US20050051854A1 (en) * 2003-09-09 2005-03-10 International Business Machines Corporation Structure and method for metal replacement gate of high performance
US20050054165A1 (en) * 2003-03-31 2005-03-10 Micron Technology, Inc. Atomic layer deposited ZrAlxOy dielectric layers
US20050077519A1 (en) * 2003-10-10 2005-04-14 Kie Ahn Lanthanide oxide/zirconium oxide atomic layer deposited nanolaminate gate dielectrics
US6884739B2 (en) * 2002-08-15 2005-04-26 Micron Technology Inc. Lanthanide doped TiOx dielectric films by plasma oxidation
US20050145894A1 (en) * 2003-12-30 2005-07-07 Chau Robert S. Replacement gate flow facilitating high yield and incorporation of etch stop layers and/or stressed films
US20050272191A1 (en) * 2004-06-03 2005-12-08 Uday Shah Replacement gate process for making a semiconductor device that includes a metal gate electrode
US20050280104A1 (en) * 2004-06-17 2005-12-22 Hong-Jyh Li CMOS transistor with dual high-k gate dielectric and method of manufacture thereof
US7033869B1 (en) * 2004-01-13 2006-04-25 Advanced Micro Devices Strained silicon semiconductor on insulator MOSFET
US20070007635A1 (en) * 2005-07-07 2007-01-11 Micron Technology, Inc. Self aligned metal gates on high-k dielectrics
US7214994B2 (en) * 2005-08-31 2007-05-08 Micron Technology, Inc. Self aligned metal gates on high-k dielectrics

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7063537B2 (en) 2002-08-15 2006-06-20 Smar Research Corporation Rotatable assemblies and methods of securing such assemblies

Patent Citations (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4745082A (en) * 1986-06-12 1988-05-17 Ford Microelectronics, Inc. Method of making a self-aligned MESFET using a substitutional gate with side walls
US4994404A (en) * 1989-08-28 1991-02-19 Motorola, Inc. Method for forming a lightly-doped drain (LDD) structure in a semiconductor device
US5391510A (en) * 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US5960270A (en) * 1997-08-11 1999-09-28 Motorola, Inc. Method for forming an MOS transistor having a metallic gate electrode that is formed after the formation of self-aligned source and drain regions
US6534868B2 (en) * 1997-08-21 2003-03-18 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
US6333255B1 (en) * 1997-08-21 2001-12-25 Matsushita Electronics Corporation Method for making semiconductor device containing low carbon film for interconnect structures
US6051486A (en) * 1997-12-18 2000-04-18 Advanced Miero Devices Method and structure for replaceable gate electrode in insulated gate field effect transistors
US6083836A (en) * 1997-12-23 2000-07-04 Texas Instruments Incorporated Transistors with substitutionally formed gate structures and method
US6143655A (en) * 1998-02-25 2000-11-07 Micron Technology, Inc. Methods and structures for silver interconnections in integrated circuits
US6121126A (en) * 1998-02-25 2000-09-19 Micron Technologies, Inc. Methods and structures for metal interconnections in integrated circuits
US6100176A (en) * 1998-02-25 2000-08-08 Micron Technology, Inc. Methods and structures for gold interconnections in integrated circuits
US6541859B1 (en) * 1998-02-25 2003-04-01 Micron Technology, Inc. Methods and structures for silver interconnections in integrated circuits
US6504224B1 (en) * 1998-02-25 2003-01-07 Micron Technology, Inc. Methods and structures for metal interconnections in integrated circuits
US5920121A (en) * 1998-02-25 1999-07-06 Micron Technology, Inc. Methods and structures for gold interconnections in integrated circuits
US6879017B2 (en) * 1998-02-25 2005-04-12 Micron Technology, Inc. Methods and structures for metal interconnections in integrated circuits
US6492694B2 (en) * 1998-02-27 2002-12-10 Micron Technology, Inc. Highly conductive composite polysilicon gate for CMOS integrated circuits
US6573169B2 (en) * 1998-02-27 2003-06-03 Micron Technology, Inc. Highly conductive composite polysilicon gate for CMOS integrated circuits
US6080646A (en) * 1998-04-18 2000-06-27 United Microelectronics Corp. Method of fabricating a metal-oxide-semiconductor transistor with a metal gate
US20010003667A1 (en) * 1998-04-29 2001-06-14 Kie Y. Ahn Bipolar transistors with low-resistance emitter contacts
US20020001891A1 (en) * 2000-06-21 2002-01-03 Kim Tae Kyun Method for fabricating MOSFET device
US6392280B1 (en) * 2000-10-19 2002-05-21 Advanced Micro Devices, Inc. Metal gate with PVD amorphous silicon layer for CMOS devices and method of making with a replacement gate process
US6495436B2 (en) * 2001-02-09 2002-12-17 Micron Technology, Inc. Formation of metal oxide gate dielectric
US6514828B2 (en) * 2001-04-20 2003-02-04 Micron Technology, Inc. Method of fabricating a highly reliable gate oxide
US20020192974A1 (en) * 2001-06-13 2002-12-19 Ahn Kie Y. Dielectric layer forming method and devices formed therewith
US6534420B2 (en) * 2001-07-18 2003-03-18 Micron Technology, Inc. Methods for forming dielectric materials and methods for forming semiconductor devices
US20050023603A1 (en) * 2001-08-30 2005-02-03 Micron Technology, Inc. Atomic layer deposition of metal oxide and/or low asymmetrical tunnel barrier interpoly insulators
US20030045060A1 (en) * 2001-08-30 2003-03-06 Micron Technology, Inc. Crystalline or amorphous medium-k gate oxides, Y2O3 and Gd2O3
US20030045078A1 (en) * 2001-08-30 2003-03-06 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US6844203B2 (en) * 2001-08-30 2005-01-18 Micron Technology, Inc. Gate oxides, and methods of forming
US20030043637A1 (en) * 2001-08-30 2003-03-06 Micron Technology, Inc Flash memory with low tunnel barrier interpoly insulators
US20030119291A1 (en) * 2001-12-20 2003-06-26 Micron Technology, Inc. Low-temperature grown high-quality ultra-thin praseodymium gate dielectrics
US20030119246A1 (en) * 2001-12-20 2003-06-26 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US6900122B2 (en) * 2001-12-20 2005-05-31 Micron Technology, Inc. Low-temperature grown high-quality ultra-thin praseodymium gate dielectrics
US20030132491A1 (en) * 2002-01-17 2003-07-17 Micron Technology, Inc. Highly reliable amorphous high-K gate dielectric ZrOxNy
US6767795B2 (en) * 2002-01-17 2004-07-27 Micron Technology, Inc. Highly reliable amorphous high-k gate dielectric ZrOXNY
US6893984B2 (en) * 2002-02-20 2005-05-17 Micron Technology Inc. Evaporated LaA1O3 films for gate dielectrics
US20030157764A1 (en) * 2002-02-20 2003-08-21 Micron Technology, Inc. Evaporated LaA1O3 films for gate dielectrics
US20030207032A1 (en) * 2002-05-02 2003-11-06 Micron Technology, Inc. Methods, systems, and apparatus for atomic-layer deposition of aluminum oxides in integrated circuits
US20030207540A1 (en) * 2002-05-02 2003-11-06 Micron Technology, Inc. Atomic layer-deposited laaio3 films for gate dielectrics
US20030228747A1 (en) * 2002-06-05 2003-12-11 Micron Technology, Inc. Pr2O3-based la-oxide gate dielectrics
US20030227033A1 (en) * 2002-06-05 2003-12-11 Micron Technology, Inc. Atomic layer-deposited HfA1O3 films for gate dielectrics
US6559017B1 (en) * 2002-06-13 2003-05-06 Advanced Micro Devices, Inc. Method of using amorphous carbon as spacer material in a disposable spacer process
US6664154B1 (en) * 2002-06-28 2003-12-16 Advanced Micro Devices, Inc. Method of using amorphous carbon film as a sacrificial layer in replacement gate integration processes
US6500756B1 (en) * 2002-06-28 2002-12-31 Advanced Micro Devices, Inc. Method of forming sub-lithographic spaces between polysilicon lines
US20040023461A1 (en) * 2002-07-30 2004-02-05 Micron Technology, Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6605514B1 (en) * 2002-07-31 2003-08-12 Advanced Micro Devices, Inc. Planar finFET patterning using amorphous carbon
US6790791B2 (en) * 2002-08-15 2004-09-14 Micron Technology, Inc. Lanthanide doped TiOx dielectric films
US20040033701A1 (en) * 2002-08-15 2004-02-19 Micron Technology, Inc. Lanthanide doped tiox dielectric films
US6884739B2 (en) * 2002-08-15 2005-04-26 Micron Technology Inc. Lanthanide doped TiOx dielectric films by plasma oxidation
US20040038554A1 (en) * 2002-08-21 2004-02-26 Ahn Kie Y. Composite dielectric forming methods and composite dielectrics
US20040043569A1 (en) * 2002-08-28 2004-03-04 Ahn Kie Y. Atomic layer deposited HfSiON dielectric films
US20040043541A1 (en) * 2002-08-29 2004-03-04 Ahn Kie Y. Atomic layer deposited lanthanide doped TiOx dielectric films
US6818519B2 (en) * 2002-09-23 2004-11-16 Infineon Technologies Ag Method of forming organic spacers and using organic spacers to form semiconductor device features
US20040110391A1 (en) * 2002-12-04 2004-06-10 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films
US20040110348A1 (en) * 2002-12-04 2004-06-10 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US6864164B1 (en) * 2002-12-17 2005-03-08 Advanced Micro Devices, Inc. Finfet gate formation using reverse trim of dummy gate
US20040142546A1 (en) * 2003-01-14 2004-07-22 Fujitsu Limited Semiconductor device and method for fabricating the same
US20040144980A1 (en) * 2003-01-27 2004-07-29 Ahn Kie Y. Atomic layer deposition of metal oxynitride layers as gate dielectrics and semiconductor device structures utilizing metal oxynitride layers
US20040175910A1 (en) * 2003-02-10 2004-09-09 Advanced Micro Devices, Inc. Engineered metal gate electrode
US6727560B1 (en) * 2003-02-10 2004-04-27 Advanced Micro Devices, Inc. Engineered metal gate electrode
US20040175882A1 (en) * 2003-03-04 2004-09-09 Micron Technology, Inc. Atomic layer deposited dielectric layers
US20050054165A1 (en) * 2003-03-31 2005-03-10 Micron Technology, Inc. Atomic layer deposited ZrAlxOy dielectric layers
US20040214399A1 (en) * 2003-04-22 2004-10-28 Micron Technology, Inc. Atomic layer deposited ZrTiO4 films
US20050020017A1 (en) * 2003-06-24 2005-01-27 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
US20040262700A1 (en) * 2003-06-24 2004-12-30 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectrics
US20050051854A1 (en) * 2003-09-09 2005-03-10 International Business Machines Corporation Structure and method for metal replacement gate of high performance
US20050077519A1 (en) * 2003-10-10 2005-04-14 Kie Ahn Lanthanide oxide/zirconium oxide atomic layer deposited nanolaminate gate dielectrics
US6849546B1 (en) * 2003-11-04 2005-02-01 Taiwan Semiconductor Manufacturing Co. Method for improving interlevel dielectric gap filling over semiconductor structures having high aspect ratios
US20050145894A1 (en) * 2003-12-30 2005-07-07 Chau Robert S. Replacement gate flow facilitating high yield and incorporation of etch stop layers and/or stressed films
US7033869B1 (en) * 2004-01-13 2006-04-25 Advanced Micro Devices Strained silicon semiconductor on insulator MOSFET
US20050272191A1 (en) * 2004-06-03 2005-12-08 Uday Shah Replacement gate process for making a semiconductor device that includes a metal gate electrode
US20050280104A1 (en) * 2004-06-17 2005-12-22 Hong-Jyh Li CMOS transistor with dual high-k gate dielectric and method of manufacture thereof
US20070007635A1 (en) * 2005-07-07 2007-01-11 Micron Technology, Inc. Self aligned metal gates on high-k dielectrics
US20070007560A1 (en) * 2005-07-07 2007-01-11 Micron Technology, Inc. Metal-substituted transistor gates
US20070010060A1 (en) * 2005-07-07 2007-01-11 Micron Technology, Inc. Metal-substituted transistor gates
US20070010061A1 (en) * 2005-07-07 2007-01-11 Micron Technology, Inc. Metal-substituted transistor gates
US7195999B2 (en) * 2005-07-07 2007-03-27 Micron Technology, Inc. Metal-substituted transistor gates
US7211492B2 (en) * 2005-07-07 2007-05-01 Micron Technology, Inc. Self aligned metal gates on high-k dielectrics
US7214994B2 (en) * 2005-08-31 2007-05-08 Micron Technology, Inc. Self aligned metal gates on high-k dielectrics

Cited By (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8652957B2 (en) 2001-08-30 2014-02-18 Micron Technology, Inc. High-K gate dielectric oxide
US20050145957A1 (en) * 2002-02-20 2005-07-07 Micron Technology, Inc. Evaporated LaAlO3 films for gate dielectrics
US7670646B2 (en) 2002-05-02 2010-03-02 Micron Technology, Inc. Methods for atomic-layer deposition
US20070111544A1 (en) * 2002-06-05 2007-05-17 Micron Technology, Inc. Systems with a gate dielectric having multiple lanthanide oxide layers
US8093638B2 (en) 2002-06-05 2012-01-10 Micron Technology, Inc. Systems with a gate dielectric having multiple lanthanide oxide layers
US20070138607A1 (en) * 2002-08-06 2007-06-21 Tessera, Inc. Lead assemblies with offset portions and microelectronic assemblies with leads having offset portions
US20050124174A1 (en) * 2002-08-15 2005-06-09 Micron Technology, Inc. Lanthanide doped TiOx dielectric films by plasma oxidation
US7923381B2 (en) 2002-12-04 2011-04-12 Micron Technology, Inc. Methods of forming electronic devices containing Zr-Sn-Ti-O films
US8445952B2 (en) 2002-12-04 2013-05-21 Micron Technology, Inc. Zr-Sn-Ti-O films
US7494885B1 (en) * 2004-04-05 2009-02-24 Advanced Micro Devices, Inc. Disposable spacer process for field effect transistor fabrication
US7727905B2 (en) 2004-08-02 2010-06-01 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US8765616B2 (en) 2004-08-02 2014-07-01 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US20060024975A1 (en) * 2004-08-02 2006-02-02 Micron Technology, Inc. Atomic layer deposition of zirconium-doped tantalum oxide films
US7776762B2 (en) 2004-08-02 2010-08-17 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US8288809B2 (en) 2004-08-02 2012-10-16 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US8907486B2 (en) 2004-08-26 2014-12-09 Micron Technology, Inc. Ruthenium for a dielectric containing a lanthanide
US8558325B2 (en) 2004-08-26 2013-10-15 Micron Technology, Inc. Ruthenium for a dielectric containing a lanthanide
US8154066B2 (en) 2004-08-31 2012-04-10 Micron Technology, Inc. Titanium aluminum oxide films
US8237216B2 (en) 2004-08-31 2012-08-07 Micron Technology, Inc. Apparatus having a lanthanum-metal oxide semiconductor device
US7867919B2 (en) 2004-08-31 2011-01-11 Micron Technology, Inc. Method of fabricating an apparatus having a lanthanum-metal oxide dielectric layer
US8541276B2 (en) 2004-08-31 2013-09-24 Micron Technology, Inc. Methods of forming an insulating metal oxide
US20070099366A1 (en) * 2004-08-31 2007-05-03 Micron Technology, Inc. Lanthanum aluminum oxide dielectric layer
US8524618B2 (en) 2005-01-05 2013-09-03 Micron Technology, Inc. Hafnium tantalum oxide dielectrics
US8278225B2 (en) 2005-01-05 2012-10-02 Micron Technology, Inc. Hafnium tantalum oxide dielectrics
US20060263972A1 (en) * 2005-02-15 2006-11-23 Micron Technology, Inc. ATOMIC LAYER DEPOSITION OF Zr3N4/ZrO2 FILMS AS GATE DIELECTRICS
US7960803B2 (en) 2005-02-23 2011-06-14 Micron Technology, Inc. Electronic device having a hafnium nitride and hafnium oxide film
US20060189154A1 (en) * 2005-02-23 2006-08-24 Micron Technology, Inc. Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
US7498247B2 (en) * 2005-02-23 2009-03-03 Micron Technology, Inc. Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
US8399365B2 (en) 2005-03-29 2013-03-19 Micron Technology, Inc. Methods of forming titanium silicon oxide
US8076249B2 (en) 2005-03-29 2011-12-13 Micron Technology, Inc. Structures containing titanium silicon oxide
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US8084808B2 (en) 2005-04-28 2011-12-27 Micron Technology, Inc. Zirconium silicon oxide films
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US20080217676A1 (en) * 2005-04-28 2008-09-11 Micron Technology, Inc. Zirconium silicon oxide films
US7700989B2 (en) * 2005-05-27 2010-04-20 Micron Technology, Inc. Hafnium titanium oxide films
US8501563B2 (en) 2005-07-20 2013-08-06 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US8921914B2 (en) 2005-07-20 2014-12-30 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US8110469B2 (en) 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
US9627501B2 (en) 2005-08-30 2017-04-18 Micron Technology, Inc. Graded dielectric structures
US8951903B2 (en) 2005-08-30 2015-02-10 Micron Technology, Inc. Graded dielectric structures
US8785312B2 (en) 2006-02-16 2014-07-22 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride
US8067794B2 (en) 2006-02-16 2011-11-29 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US9502256B2 (en) 2006-08-03 2016-11-22 Micron Technology, Inc. ZrAION films
US9236245B2 (en) 2006-08-03 2016-01-12 Micron Technology, Inc. ZrA1ON films
US8993455B2 (en) 2006-08-03 2015-03-31 Micron Technology, Inc. ZrAlON films
US20080032465A1 (en) * 2006-08-03 2008-02-07 Micron Technology, Inc. Deposition of ZrAION films
US20100237403A1 (en) * 2006-08-03 2010-09-23 Ahn Kie Y ZrAlON FILMS
US7727908B2 (en) 2006-08-03 2010-06-01 Micron Technology, Inc. Deposition of ZrA1ON films
US8759170B2 (en) 2006-08-31 2014-06-24 Micron Technology, Inc. Hafnium tantalum oxynitride dielectric
US7605030B2 (en) * 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US8084370B2 (en) 2006-08-31 2011-12-27 Micron Technology, Inc. Hafnium tantalum oxynitride dielectric
US8466016B2 (en) 2006-08-31 2013-06-18 Micron Technolgy, Inc. Hafnium tantalum oxynitride dielectric
US20090194791A1 (en) * 2006-09-29 2009-08-06 Fujitsu Limited Compound semiconductor device and manufacturing method thereof
US9048414B2 (en) * 2008-01-22 2015-06-02 The United States of America, as represented by the Secretary of Commerce, The National Institute of Standards and Technology Nonvolatile memory device and processing method
US20090184397A1 (en) * 2008-01-22 2009-07-23 Nadine Gergel-Hackett Nonvolatile memory device and processing method
US20100038723A1 (en) * 2008-08-18 2010-02-18 International Business Machines Corporation Self-aligned borderless contacts for high density electronic and memory device integration
US8754530B2 (en) 2008-08-18 2014-06-17 International Business Machines Corporation Self-aligned borderless contacts for high density electronic and memory device integration
WO2011005653A1 (en) * 2009-07-06 2011-01-13 Llinde Aktiengesellschaft Solution based precursors
US8710253B2 (en) 2009-07-06 2014-04-29 Linde Aktiengesellschaft Solution based precursors
CN102574876A (en) * 2009-07-06 2012-07-11 琳德股份公司 Solution based precursors
US20110048769A1 (en) * 2009-09-01 2011-03-03 Elpida Memory, Inc. Insulating film, method of manufacturing the same, and semiconductor device
US8722548B2 (en) * 2010-09-24 2014-05-13 International Business Machines Corporation Structures and techniques for atomic layer deposition
US20120074533A1 (en) * 2010-09-24 2012-03-29 Tokyo Electron (TEL)Limited Structures And Techniques For Atomic Layer Deposition
US20120255612A1 (en) * 2011-04-08 2012-10-11 Dieter Pierreux Ald of metal oxide film using precursor pairs with different oxidants
US8778750B2 (en) 2012-05-05 2014-07-15 International Business Machines Corporation Techniques for the fabrication of thick gate dielectric
JP2014022631A (en) * 2012-07-20 2014-02-03 Nippon Telegr & Teleph Corp <Ntt> Semiconductor device and manufacturing method of the same
US8741712B2 (en) * 2012-09-18 2014-06-03 Intermolecular, Inc. Leakage reduction in DRAM MIM capacitors
US9653573B2 (en) 2014-01-30 2017-05-16 International Business Machines Corporation Replacement metal gate including dielectric gate material
US20150214331A1 (en) * 2014-01-30 2015-07-30 Globalfoundries Inc. Replacement metal gate including dielectric gate material
US9472628B2 (en) * 2014-07-14 2016-10-18 International Business Machines Corporation Heterogeneous source drain region and extension region
US20160013313A1 (en) * 2014-07-14 2016-01-14 International Business Machines Corporation Heterogeneous source drain region and extension region
US10158001B2 (en) 2014-07-14 2018-12-18 International Business Machines Corporation Heterogeneous source drain region and extension region
US10170587B2 (en) 2014-07-14 2019-01-01 International Business Machines Corporation Heterogeneous source drain region and extension region
US10043669B2 (en) * 2017-01-05 2018-08-07 United Microelectronics Corp. Method for fabricating metal gate structure

Also Published As

Publication number Publication date
US7214994B2 (en) 2007-05-08
US20070045676A1 (en) 2007-03-01

Similar Documents

Publication Publication Date Title
US7214994B2 (en) Self aligned metal gates on high-k dielectrics
US7211492B2 (en) Self aligned metal gates on high-k dielectrics
US7544604B2 (en) Tantalum lanthanide oxynitride films
US7563730B2 (en) Hafnium lanthanide oxynitride films
US7432548B2 (en) Silicon lanthanide oxynitride films
US8951880B2 (en) Dielectrics containing at least one of a refractory metal or a non-refractory metal
US9070749B2 (en) Semiconductor device including fluorine-free tungsten barrier layer and method for fabricating the same
US7605030B2 (en) Hafnium tantalum oxynitride high-k dielectric and metal gates
US8519466B2 (en) Tantalum silicon oxynitride high-K dielectrics and metal gates
US20080057659A1 (en) Hafnium aluminium oxynitride high-K dielectric and metal gates
US8405167B2 (en) Hafnium tantalum titanium oxide films

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION