US20070018239A1 - Sea-of-fins structure on a semiconductor substrate and method of fabrication - Google Patents

Sea-of-fins structure on a semiconductor substrate and method of fabrication Download PDF

Info

Publication number
US20070018239A1
US20070018239A1 US11/185,646 US18564605A US2007018239A1 US 20070018239 A1 US20070018239 A1 US 20070018239A1 US 18564605 A US18564605 A US 18564605A US 2007018239 A1 US2007018239 A1 US 2007018239A1
Authority
US
United States
Prior art keywords
fin
forming
bodies
customized
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/185,646
Inventor
Howard Chen
Louis Hsu
Jack Mandelman
Chun-Yung Sung
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US11/185,646 priority Critical patent/US20070018239A1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SUNG, CHUN-YUNG, HSU, LOUIS C., MANDELMAN, JACK A., CHEN, HOWARD H.
Publication of US20070018239A1 publication Critical patent/US20070018239A1/en
Priority to US12/535,007 priority patent/US8076190B2/en
Priority to US13/287,170 priority patent/US8598641B2/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/734Fullerenes, i.e. graphene-based structures, such as nanohorns, nanococoons, nanoscrolls or fullerene-like structures, e.g. WS2 or MoS2 chalcogenide nanotubes, planar C3N4, etc.
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/734Fullerenes, i.e. graphene-based structures, such as nanohorns, nanococoons, nanoscrolls or fullerene-like structures, e.g. WS2 or MoS2 chalcogenide nanotubes, planar C3N4, etc.
    • Y10S977/742Carbon nanotubes, CNTs
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/734Fullerenes, i.e. graphene-based structures, such as nanohorns, nanococoons, nanoscrolls or fullerene-like structures, e.g. WS2 or MoS2 chalcogenide nanotubes, planar C3N4, etc.
    • Y10S977/742Carbon nanotubes, CNTs
    • Y10S977/745Carbon nanotubes, CNTs having a modified surface
    • Y10S977/749Modified with dissimilar atoms or molecules substituted for carbon atoms of the cnt, e.g. impurity doping or compositional substitution
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/813Of specified inorganic semiconductor composition, e.g. periodic table group IV-VI compositions
    • Y10S977/815Group III-V based compounds, e.g. AlaGabIncNxPyAsz
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/902Specified use of nanostructure
    • Y10S977/932Specified use of nanostructure for electronic or optoelectronic application
    • Y10S977/953Detector using nanostructure
    • Y10S977/955Of thermal property

Definitions

  • the embodiments of the invention generally relate to integrated circuit technology, and, more particularly, to methods to form a customized field effect transistor (FET).
  • FET field effect transistor
  • MOSFET metal oxide semiconductor field effect transistor
  • the lack of a reliable high-k gate stack to limit the leakage current makes the three-dimensional structure of thin body, known as a “fin” in U.S. Pat. No. 6,252,284, the complete disclosure of which is herein incorporated by reference, very attractive in 90-nanometer process node and beyond.
  • the fin body is normally gated on three sides to gain better control of the channel potential, thus resulting in better short channel effect and scalability.
  • Methods for forming such FinFET devices face significant challenges such as sub-lithographic dimension control of the fin width in a manufacturing environment, and surface planarity to facilitate back-end-of-line metallization.
  • the fin dimensions in the conventional devices may be defined by any conventional lithographic methods, it is desirable to further reduce the fin dimension to less than 30 nm, which is beyond the capability of existing lithographic technology.
  • an embodiment of the invention provides a method of fabricating a semiconductor device, wherein the method comprises forming, on a substrate, a plurality of planarized fin bodies to be used for customized fin field effect transistor (FinFET) device formation; forming a nitride spacer around each of the plurality of fin bodies; forming an isolation region in between each of the fin bodies; and coating the plurality of fin bodies, the nitride spacers, and the isolation regions with a protective film.
  • FinFET fin field effect transistor
  • the method may further comprise removing the protective film; forming FinFET devices from a first type of the fin bodies; forming fin capacitors from a second type of fin bodies; and forming metal interconnects on the FinFET devices and the fin capacitors, wherein formation of each of the FinFET devices preferably comprises forming a gate conductor over the first type of fin bodies; forming a channel region below the gate conductor; and configuring source/drain regions adjacent to the channel region.
  • the method may further comprise exposing the first type of fin bodies by removing the gate conductor from the first type of fin bodies; and forming a region of semiconductor resistance in the exposed first type of fin bodies. Additionally, the method may further comprise doping a selective portion of the gate conductor to produce a region of semiconductor resistance in the gate conductor. Furthermore, the method may further comprise connecting a plurality of the fin capacitors in parallel using a first level of the metal interconnects. Moreover, the method may further comprise forming a plurality of diodes in the fin bodies; and connecting the diodes in series.
  • the method may further comprise selectively removing the nitride spacer in selected areas of the semiconductor device adapted to be formed into source/drain regions of the FinFET; and forming an epitaxial material in the selected areas.
  • the fabricated semiconductor device is adapted to be used in customized applications as a customized semiconductor device.
  • Another aspect of the invention provides a method of forming a semiconductor device to be used in very large scale integrated circuit (VLSI) applications, wherein the method comprises forming, on a substrate, an array of fin bodies comprising silicon and adapted to be used in customized fin field effect transistor (FinFET) construction; forming nitride spacers around each fin body in the array of fin bodies; separating each the fin body from one another; and applying a protective film over the array of separated fin bodies.
  • VLSI very large scale integrated circuit
  • the method may further comprise removing the protective film; forming FinFET devices from a first type of fin body; forming fin capacitors from a second type of fin body; and forming metal interconnects on the FinFET devices and the fin capacitors, wherein formation of each of the FinFET devices preferably comprises forming a gate conductor over the first type of fin body; forming a channel region below the gate conductor; and configuring source/drain regions adjacent to the channel region.
  • the method may further comprise exposing the first type of fin body by removing the gate conductor from the first type of fin body; and forming a region of semiconductor resistance in the exposed first type of fin body. Moreover, the method may further comprise doping a selective portion of the gate conductor to produce a region of semiconductor resistance in the gate conductor. Additionally, the method may further comprise connecting a plurality of the fin capacitors in parallel using a first level of the metal interconnects. Also, the method may further comprise forming a plurality of diodes in the fin body; and connecting the diodes in series.
  • the method may further comprise selectively removing the nitride spacers in selected areas of the semiconductor device adapted to be formed into source/drain regions of the FinFET; and forming an epitaxial material in the selected areas.
  • the formed semiconductor device is adapted to be used in customized applications as a customized semiconductor device.
  • FinFET fin field effect transistor
  • FIGS. 1 (A) through 3 (B) illustrate schematic diagrams of successive steps of forming a sea-of-fins (SOF) substrate according to an embodiment of the invention
  • FIGS. 4 (A) through 17 (B) illustrate schematic diagrams of successive steps of forming a FinFET device using the SOF substrate of FIG. 3 (B) according to an embodiment of the invention
  • FIGS. 18 (A) through 19 (B) illustrate schematic diagrams of successive steps of forming a FinFET device according to an alternate embodiment of the invention.
  • FIG. 20 is a flow diagram illustrating a preferred method according to an embodiment of the invention.
  • the embodiments of the invention achieve this by providing a technique of forming a semiconductor substrate with a prefabricated sea-of-fins (SOF) structure and a technique to customize each SOF substrate and form a variety of microelectronic devices and integrated circuit chips using such a SOF substrate.
  • SOF sea-of-fins
  • the embodiments of the invention utilize sub-lithographic patterning techniques including sidewall spacer image transfer or phase shift technology.
  • one method to form fin patterns in the 30 nm range is to use the sidewall spacers. Since sidewall spacers are formed by depositing and etching a layer of dielectric material of uniform thickness, the dimension of the spacers can be controlled in the range of interest. Consequently, the dimensions of fins and isolation space can be precisely controlled as well. Formation of fin devices using sidewall spacer image transfer techniques include techniques taught in U.S. Pat. No. 6,794,718, the complete disclosure of which, in its entirety, is herein incorporated by reference, where fins with at least two crystalline orientations are formed.
  • FIGS. 1 (A) through 3 (B) illustrate schematic diagrams of successive steps of forming a SOF substrate 1 according to an embodiment of the invention.
  • a layer of dielectric (oxide) material 10 on a silicon substrate 5 and an etching process is performed to form a line-space pattern ‘s’ of the dielectric material 10 .
  • the line spacing ‘s’ can be set to 120 nm, which is approximately equal to three times the dimension of the fin body.
  • the thickness of the oxide material 10 is preferably in the range of 50 to 100 nm.
  • a thin layer of low-k dielectric material 20 is deposited on the surface of the etched oxide pattern 12 to lower the parasitic capacitance as indicated in FIG. 1 (B).
  • the thickness of low-k liner 20 is approximately 5 to 10 nm.
  • dielectric (nitride) spacers 30 are formed on the sidewalls of the isolation patterns 12 by first depositing the material with a thickness of ‘d’ (approximately 40 nm) followed by directional reactive ion etching.
  • the resulting gap 40 between the two spacers 30 is equal to (s-2d), which is preferably in the range of 40 nm for the fin body structure.
  • the low-k film 20 is etched in the gap areas 40 to expose the silicon substrate 5 underneath as depicted in FIG. 2 (A).
  • epitaxy 50 is selectively grown from the silicon 5 at the bottom of the gaps 40 , until the epitaxy 50 fills the gaps 40 and covers the entire top surface of the structure 1 .
  • the epitaxial material 50 inside the gap 40 comprises single crystalline material.
  • a chemical mechanical polishing (CMP) process is performed to remove the silicon on the top of the structure 1 until the oxide 10 of the isolation pattern 12 is exposed.
  • a slight over-etch may be performed to ensure that the silicon layer 50 is completely removed from the top surface of oxide 10 , while single crystalline silicon 60 remains inside the gap areas as provided in FIG. 2 (C).
  • the fin-body silicon 60 is recessed to a predetermined depth by a timed etching process such that silicon pillars (what shall eventually constitute the fin bodies) 70 formed inside the gaps 40 have identical heights after etching as illustrated in FIG. 3 (A).
  • the wafer 1 is cleaned and coated with a protective film 80 as depicted in FIG. 3 (B). Accordingly, the preparation of a SOF wafer 1 comprising a plurality of fins 70 and isolations 12 is complete and ready for device fabrication.
  • FIGS. 4 (A) through 17 (B) illustrate schematic diagrams of successive steps of forming a FinFET device using the SOF substrate 1 of FIG. 3 (B).
  • the protective film 80 (of FIG. 3 (B)) is removed.
  • the nitride spacers 30 on the sidewalls of the isolation regions 95 are removed as shown in FIGS. 5 (A) through 5 (C).
  • a mask 90 is used to define the fin body region 105 , 106 .
  • the X-X′ cross-sectional view is shown in FIG. 5 (C) and the Y-Y′ cross-sectional view is shown in FIG. 5 (B).
  • each stripe of fin body 105 , 106 is determined after silicon etching by Cl 2 plasma.
  • the oxide isolation region 12 between adjacent body units 105 is used to isolate the devices and support the metal interconnects, which are formed in subsequent processing steps.
  • the body regions 105 in FIGS. 5 (A) through 5 (C) are used to form FinFETs and the body region 106 in FIGS. 5 (A) and 5 (C) is used to form fin capacitors.
  • a second mask 91 is then used to define the well regions of the device as indicated in FIGS. 6 (A) through 6 (C). After an ion implant process occurs (as depicted by the downward arrows in FIG. 6 (C)), the well junction 130 is formed.
  • a gate dielectric 145 is formed via thermal oxidation of a high-k film deposition as shown in FIG. 7 (A).
  • a polysilicon layer 140 is deposited via chemical vapor deposition (CVD).
  • CVD chemical vapor deposition
  • excessive polysilicon material 140 on the surface of the sea-of-fins structure 1 is removed with a second CMP process.
  • the reserved spacer areas 150 are now filled with polysilicon as shown in FIG. 7 (B).
  • the gate is defined with a third mask 170 , where region 170 A is used to form the fin gates of the transistors and region 170 B is used for the top electrode of a capacitor.
  • the next steps involve performing an etch process that removes CVD polysilicon with Cl 2 plasma to define the gate electrodes 220 and the top capacitor electrode 230 .
  • the process involves selectively removing the high-k dielectric 145 from the fin sidewalls in non-gate areas 240 as indicated in FIGS. 10 (A) through 10 (C). Then, the exposed sidewalls of the fins 105 are doped with an appropriate n+ or p+ dopant to form the source and drain junctions on the exposed body regions 250 as indicated in FIGS. 11 (A) through 11 (C).
  • the channels (not shown) of the FinFETs 111 are protected from being contaminated by the source/drain doping by the overlying gate conductor 220 , and the junction edge is aligned to the gate edge.
  • a plasma immersion implant tool for gas phase doping or a high angle single wafer implanter for angled ion implantation.
  • Halo doping if desired, could be introduced by angled ion implantation, and conformal doping schemes such as solid phase doping are also acceptable.
  • metal interconnects 240 , 245 are formed via back-end-of-line processes that include insulating material deposition, planarization, via formation, and metal deposition.
  • CVD tungsten studs 230 , 235 in FIGS. 13 (A) through 13 (C) are used to connect aluminum or copper wires 260 with the gates 220 , as well as the bodies in the source/drain areas 233 .
  • fin-transistor devices 151 are shown in the left portions of FIGS. 13 (A) and 13 (C) and fin capacitor devices 161 are shown on the right portions of FIGS. 13 (A) and 13 (C).
  • FIGS. 14 (A) and 14 (B) show the implementation of a two-stage inverter chain 400 , with a first inverter 401 , comprising the first pMOS device p 1 and the first nMOS device n 1 , and a second inverter 403 , comprising the second pMOS device p 2 and the second NMOS device n 2 according to the embodiments of the invention.
  • the width of p 2 and n 2 can be doubled by connecting p 2 with p 3 in parallel, and connecting n 2 with n 3 in parallel. Multiple gates with different sizes can therefore be easily implemented and customized on the sea-of-fins substrate 1 provided by the embodiments of the invention.
  • FIGS. 15 (A) and 15 (B) illustrate two embodiments of fin resistors according to the embodiments of the invention.
  • a first resistor 510 is formed by the body of the FinFET 151 .
  • the gate conductor is removed from the region where a resistor is desired. Then, appropriate gas phase doping or ion implantation is introduced into the exposed fin to obtain the desired resistance.
  • the contacts 509 which are similar to the source and drain contacts, are made on the opposite ends of the fin structure.
  • a second resistor 520 is formed from the gate conductor material such as polysilicon. To achieve the desired resistance, the area of gate conductor that will contain the resistor 520 should be blocked from gate doping.
  • a separate mask (not shown) and doping process is then used to introduce the appropriate amount of dopant into the gate conductor to achieve the desired resistance. This separate doping step may be done before or after the standard gating doping process.
  • FIGS. 16 (A) and 16 (B) illustrate the structure of two fin capacitors 601 , 602 connected in parallel by a first level metallization 603 .
  • the fin capacitors 601 , 602 generally comprise a large area of the fin body 161 or multiple fin bodies to provide sufficient capacitance.
  • the fin capacitors 601 , 602 may include adjacent source or drain diffusions to provide carriers for the formation of inversion layers (not shown).
  • FIGS. 17 (A) and 17 (B) depict the structure of electrostatic discharge (ESD) protection devices 610 , 620 . Two diodes 610 and 620 are formed in the fin bodies and connected in series.
  • ESD electrostatic discharge
  • the diodes 610 , 620 may provide a lateral or a combined lateral/vertical doping profile, which could be introduced after the removal of gate conductor from the region where the diodes 610 , 620 are to be formed. To protect a device (not shown) from excessive voltage with positive or negative polarity, the device (not shown) should be connected to the junction of the two diodes 610 , 620 .
  • FIGS. 18 (A) through 18 (C) illustrate a process to reduce the source and drain contact resistance in a FinFET device.
  • the nitride spacers 30 are selectively removed in the source/drain areas 276 during SOF substrate preparation.
  • epitaxy 710 is grown to fill the gaps 700 ( FIG. 18 (B)). Due to the removal of the sidewall spacers 30 , the widened source/drain areas 276 are approximately three times as wide as the fin body area (which will constitute the gate) 70 .
  • the source/drain regions 276 are interconnected by vias 71 and metal interconnects 73 during a back end-of-the line (BEOL) process thereby providing larger contact area and lower contact resistance as illustrated in FIG. 18 (C).
  • FIGS. 19 (A) and 19 (B) show the top and cross-sectional views, respectively, of a modified sea-of-fins structure 2 with widened source/drain regions 276 .
  • the sea-of-fins (SOF) substrate 1 , 2 provided by the embodiments of the invention can be prefabricated and mass-produced by the wafer suppliers.
  • the dimension of fin bodies 105 , 106 can also be custom-designed and produced by the chip manufacturers. Since many of the SOF processing steps provided by the embodiments of the invention are self-aligned and the finished FinFET devices have a coplanar structure for both the gate regions 220 and the adjacent isolation regions 12 , it is possible to achieve further device scaling beyond the 30 nm range.
  • FIG. 20 illustrates a flow diagram of a method of fabricating a semiconductor device, wherein the method comprises forming ( 801 ), on a substrate 5 , a plurality of planarized fin bodies 70 to be used for customized fin field effect transistor (FinFET) device formation; forming ( 803 ) a nitride spacer 30 around each of the plurality of fin bodies 70 ; forming ( 805 ) an isolation region 12 in between each of the fin bodies 70 ; and coating ( 807 ) the plurality of fin bodies 70 , the nitride spacers 30 , and the isolation regions 12 with a protective film 80 .
  • FinFET fin field effect transistor
  • the several embodiments of the invention can be formed into integrated circuit chips.
  • the resulting integrated circuit chips can be distributed by the fabricator in raw wafer form (that is, as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form.
  • the chip is mounted in a single chip package (such as a plastic carrier, with leads that are affixed to a motherboard or other higher level carrier) or in a multichip package (such as a ceramic carrier that has either or both surface interconnections or buried interconnections).
  • the chip is then integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either (a) an intermediate product, such as a motherboard, or (b) an end product.
  • the end product can be any product that includes integrated circuit chips, ranging from toys and other low-end applications to advanced computer products having a display, a keyboard or other input device, and a central processor.
  • the embodiments of the invention provide a method of fabricating SOF substrates consistent with high-volume, high-yield, and low-cost semiconductor manufacturing. Moreover, the embodiments of the invention provide a technique of how the SOF substrates are used to design and fabricate high-performance integrated circuits.
  • Wafer substrates with pre-fabricated fin structures allow chip manufacturers achieve better control of the fin dimensions in the 30 nm range.
  • an array of fins 105 , 106 and isolation spaces 12 prepared on a semiconductor substrate 5 prior to shipping to a semiconductor foundry has never heretofore been demonstrated prior to the techniques provided by the embodiments of the invention. Due to the economies of scale, substrate providers can supply such pre-fabricated SOF substrates 1 , 2 at a lower cost. Such prefabricated SOF substrates 1 , 2 would also be highly marketable because chip manufacturers would no longer have to contend with the technical and economic difficulties of producing well-controlled sub-lithographic-width fins within their own processes.

Abstract

A semiconductor device and a method of fabricating a semiconductor device, wherein the method comprises forming, on a substrate, a plurality of planarized fin bodies to be used for customized fin field effect transistor (FinFET) device formation; forming a nitride spacer around each of the plurality of fin bodies; forming an isolation region in between each of the fin bodies; and coating the plurality of fin bodies, the nitride spacers, and the isolation regions with a protective film. The fabricated semiconductor device is adapted to be used in customized applications as a customized semiconductor device.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The embodiments of the invention generally relate to integrated circuit technology, and, more particularly, to methods to form a customized field effect transistor (FET).
  • 2. Description of the Related Art
  • Motivation to form FinFET devices on very thin silicon rail as the body of a metal oxide semiconductor field effect transistor (MOSFET) is driven by the need for shorter gate lengths, lower leakage currents, and a higher level of device integration. The lack of a reliable high-k gate stack to limit the leakage current makes the three-dimensional structure of thin body, known as a “fin” in U.S. Pat. No. 6,252,284, the complete disclosure of which is herein incorporated by reference, very attractive in 90-nanometer process node and beyond. The fin body is normally gated on three sides to gain better control of the channel potential, thus resulting in better short channel effect and scalability. Methods for forming such FinFET devices face significant challenges such as sub-lithographic dimension control of the fin width in a manufacturing environment, and surface planarity to facilitate back-end-of-line metallization. Although the fin dimensions in the conventional devices may be defined by any conventional lithographic methods, it is desirable to further reduce the fin dimension to less than 30 nm, which is beyond the capability of existing lithographic technology.
  • SUMMARY OF THE INVENTION
  • In view of the foregoing, an embodiment of the invention provides a method of fabricating a semiconductor device, wherein the method comprises forming, on a substrate, a plurality of planarized fin bodies to be used for customized fin field effect transistor (FinFET) device formation; forming a nitride spacer around each of the plurality of fin bodies; forming an isolation region in between each of the fin bodies; and coating the plurality of fin bodies, the nitride spacers, and the isolation regions with a protective film. The method may further comprise removing the protective film; forming FinFET devices from a first type of the fin bodies; forming fin capacitors from a second type of fin bodies; and forming metal interconnects on the FinFET devices and the fin capacitors, wherein formation of each of the FinFET devices preferably comprises forming a gate conductor over the first type of fin bodies; forming a channel region below the gate conductor; and configuring source/drain regions adjacent to the channel region.
  • The method may further comprise exposing the first type of fin bodies by removing the gate conductor from the first type of fin bodies; and forming a region of semiconductor resistance in the exposed first type of fin bodies. Additionally, the method may further comprise doping a selective portion of the gate conductor to produce a region of semiconductor resistance in the gate conductor. Furthermore, the method may further comprise connecting a plurality of the fin capacitors in parallel using a first level of the metal interconnects. Moreover, the method may further comprise forming a plurality of diodes in the fin bodies; and connecting the diodes in series. Also, the method may further comprise selectively removing the nitride spacer in selected areas of the semiconductor device adapted to be formed into source/drain regions of the FinFET; and forming an epitaxial material in the selected areas. Preferably, the fabricated semiconductor device is adapted to be used in customized applications as a customized semiconductor device.
  • Another aspect of the invention provides a method of forming a semiconductor device to be used in very large scale integrated circuit (VLSI) applications, wherein the method comprises forming, on a substrate, an array of fin bodies comprising silicon and adapted to be used in customized fin field effect transistor (FinFET) construction; forming nitride spacers around each fin body in the array of fin bodies; separating each the fin body from one another; and applying a protective film over the array of separated fin bodies. The method may further comprise removing the protective film; forming FinFET devices from a first type of fin body; forming fin capacitors from a second type of fin body; and forming metal interconnects on the FinFET devices and the fin capacitors, wherein formation of each of the FinFET devices preferably comprises forming a gate conductor over the first type of fin body; forming a channel region below the gate conductor; and configuring source/drain regions adjacent to the channel region.
  • The method may further comprise exposing the first type of fin body by removing the gate conductor from the first type of fin body; and forming a region of semiconductor resistance in the exposed first type of fin body. Moreover, the method may further comprise doping a selective portion of the gate conductor to produce a region of semiconductor resistance in the gate conductor. Additionally, the method may further comprise connecting a plurality of the fin capacitors in parallel using a first level of the metal interconnects. Also, the method may further comprise forming a plurality of diodes in the fin body; and connecting the diodes in series. Furthermore, the method may further comprise selectively removing the nitride spacers in selected areas of the semiconductor device adapted to be formed into source/drain regions of the FinFET; and forming an epitaxial material in the selected areas. Preferably, the formed semiconductor device is adapted to be used in customized applications as a customized semiconductor device.
  • Another embodiment of the invention provides a semiconductor device adapted to be used in customized applications as a customized semiconductor device comprising a substrate; a plurality of planarized fin bodies on the substrate, wherein the fin bodies are adapted to be used for customized fin field effect transistor (FinFET) device formation; a nitride spacer around each of the plurality of fin bodies; an isolation region in between each of the fin bodies; and a protective film on the plurality of fin bodies, the nitride spacers, and the isolation regions, wherein the plurality of planarized fin bodies are preferably adapted to be used for any of customized fin resistor, customized fin capacitor, and customized diode device formation.
  • These and other aspects of embodiments of the invention will be better appreciated and understood when considered in conjunction with the following description and the accompanying drawings. It should be understood, however, that the following description, while indicating preferred embodiments of the invention and numerous specific details thereof, is given by way of illustration and not of limitation. Many changes and modifications may be made within the scope of the embodiments of the invention without departing from the spirit thereof, and the embodiments of the invention include all such modifications.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The embodiments of the invention will be better understood from the following detailed description with reference to the drawings, in which:
  • FIGS. 1(A) through 3(B) illustrate schematic diagrams of successive steps of forming a sea-of-fins (SOF) substrate according to an embodiment of the invention;
  • FIGS. 4(A) through 17(B) illustrate schematic diagrams of successive steps of forming a FinFET device using the SOF substrate of FIG. 3(B) according to an embodiment of the invention;
  • FIGS. 18(A) through 19(B) illustrate schematic diagrams of successive steps of forming a FinFET device according to an alternate embodiment of the invention; and
  • FIG. 20 is a flow diagram illustrating a preferred method according to an embodiment of the invention.
  • DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS OF THE INVENTION
  • The embodiments of the invention and the various features and advantageous details thereof are explained more fully with reference to the non-limiting embodiments that are illustrated in the accompanying drawings and detailed in the following description. It should be noted that the features illustrated in the drawings are not necessarily drawn to scale. Descriptions of well-known components and processing techniques are omitted so as to not unnecessarily obscure the embodiments of the invention. The examples used herein are intended merely to facilitate an understanding of ways in which the embodiments of the invention may be practiced and to further enable those of skill in the art to practice the embodiments of the invention. Accordingly, the examples should not be construed as limiting the scope of the embodiments of the invention.
  • As mentioned, it is desirable to further reduce the fin dimension to less than 30 nm, which is beyond the capability of existing lithographic technology. The embodiments of the invention achieve this by providing a technique of forming a semiconductor substrate with a prefabricated sea-of-fins (SOF) structure and a technique to customize each SOF substrate and form a variety of microelectronic devices and integrated circuit chips using such a SOF substrate. Referring now to the drawings, and more particularly to FIGS. 1(A) through 20, where similar reference characters denote corresponding features consistently throughout the figures, there are shown preferred embodiments of the invention.
  • The embodiments of the invention utilize sub-lithographic patterning techniques including sidewall spacer image transfer or phase shift technology. According to the embodiments of the invention, one method to form fin patterns in the 30 nm range is to use the sidewall spacers. Since sidewall spacers are formed by depositing and etching a layer of dielectric material of uniform thickness, the dimension of the spacers can be controlled in the range of interest. Consequently, the dimensions of fins and isolation space can be precisely controlled as well. Formation of fin devices using sidewall spacer image transfer techniques include techniques taught in U.S. Pat. No. 6,794,718, the complete disclosure of which, in its entirety, is herein incorporated by reference, where fins with at least two crystalline orientations are formed.
  • The following diagrams illustrate the processing steps to fabricate the sea-of-fins substrate. FIGS. 1(A) through 3(B) illustrate schematic diagrams of successive steps of forming a SOF substrate 1 according to an embodiment of the invention. As shown in FIG. 1(A), a layer of dielectric (oxide) material 10 on a silicon substrate 5 and an etching process is performed to form a line-space pattern ‘s’ of the dielectric material 10. It is preferable to include a thin nitride etch stop barrier 15 under the mandrel oxide 10 to facilitate the safe removal of certain regions 11 of mandrel oxide 10 later in the process in order to gain access to the substrate 5. The line spacing ‘s’ can be set to 120 nm, which is approximately equal to three times the dimension of the fin body. The thickness of the oxide material 10 is preferably in the range of 50 to 100 nm. Next, a thin layer of low-k dielectric material 20 is deposited on the surface of the etched oxide pattern 12 to lower the parasitic capacitance as indicated in FIG. 1(B). The thickness of low-k liner 20 is approximately 5 to 10 nm. As shown in FIG. 1(C), dielectric (nitride) spacers 30 are formed on the sidewalls of the isolation patterns 12 by first depositing the material with a thickness of ‘d’ (approximately 40 nm) followed by directional reactive ion etching. The resulting gap 40 between the two spacers 30 is equal to (s-2d), which is preferably in the range of 40 nm for the fin body structure.
  • Next, the low-k film 20 is etched in the gap areas 40 to expose the silicon substrate 5 underneath as depicted in FIG. 2(A). Then, as indicated in FIG. 2(B), epitaxy 50 is selectively grown from the silicon 5 at the bottom of the gaps 40, until the epitaxy 50 fills the gaps 40 and covers the entire top surface of the structure 1. The epitaxial material 50 inside the gap 40 comprises single crystalline material. A chemical mechanical polishing (CMP) process is performed to remove the silicon on the top of the structure 1 until the oxide 10 of the isolation pattern 12 is exposed. A slight over-etch may be performed to ensure that the silicon layer 50 is completely removed from the top surface of oxide 10, while single crystalline silicon 60 remains inside the gap areas as provided in FIG. 2(C).
  • Then, the fin-body silicon 60 is recessed to a predetermined depth by a timed etching process such that silicon pillars (what shall eventually constitute the fin bodies) 70 formed inside the gaps 40 have identical heights after etching as illustrated in FIG. 3(A). Thereafter, the wafer 1 is cleaned and coated with a protective film 80 as depicted in FIG. 3(B). Accordingly, the preparation of a SOF wafer 1 comprising a plurality of fins 70 and isolations 12 is complete and ready for device fabrication.
  • FIGS. 4(A) through 17(B) illustrate schematic diagrams of successive steps of forming a FinFET device using the SOF substrate 1 of FIG. 3(B). As indicated in the cross-sectional view of FIG. 4(A) and the top view of FIG. 4(B), the protective film 80 (of FIG. 3(B)) is removed. Next, the nitride spacers 30 on the sidewalls of the isolation regions 95 are removed as shown in FIGS. 5(A) through 5(C). A mask 90 is used to define the fin body region 105, 106. The X-X′ cross-sectional view is shown in FIG. 5(C) and the Y-Y′ cross-sectional view is shown in FIG. 5(B). The length of each stripe of fin body 105, 106 is determined after silicon etching by Cl2 plasma. The oxide isolation region 12 between adjacent body units 105 is used to isolate the devices and support the metal interconnects, which are formed in subsequent processing steps. The body regions 105 in FIGS. 5(A) through 5(C) are used to form FinFETs and the body region 106 in FIGS. 5(A) and 5(C) is used to form fin capacitors. A second mask 91 is then used to define the well regions of the device as indicated in FIGS. 6(A) through 6(C). After an ion implant process occurs (as depicted by the downward arrows in FIG. 6(C)), the well junction 130 is formed.
  • In the next step of the process, a gate dielectric 145 is formed via thermal oxidation of a high-k film deposition as shown in FIG. 7(A). Here, a polysilicon layer 140 is deposited via chemical vapor deposition (CVD). Next, excessive polysilicon material 140 on the surface of the sea-of-fins structure 1 is removed with a second CMP process. The reserved spacer areas 150 are now filled with polysilicon as shown in FIG. 7(B). Then, as illustrated in FIGS. 8(A) through 8(C), the gate is defined with a third mask 170, where region 170A is used to form the fin gates of the transistors and region 170B is used for the top electrode of a capacitor. As shown in FIGS. 9(A) through 9(C), the next steps involve performing an etch process that removes CVD polysilicon with Cl2 plasma to define the gate electrodes 220 and the top capacitor electrode 230.
  • After gate patterning, the process involves selectively removing the high-k dielectric 145 from the fin sidewalls in non-gate areas 240 as indicated in FIGS. 10(A) through 10(C). Then, the exposed sidewalls of the fins 105 are doped with an appropriate n+ or p+ dopant to form the source and drain junctions on the exposed body regions 250 as indicated in FIGS. 11(A) through 11(C). The channels (not shown) of the FinFETs 111 are protected from being contaminated by the source/drain doping by the overlying gate conductor 220, and the junction edge is aligned to the gate edge. It is preferable to use a plasma immersion implant tool for gas phase doping or a high angle single wafer implanter for angled ion implantation. Halo doping, if desired, could be introduced by angled ion implantation, and conformal doping schemes such as solid phase doping are also acceptable.
  • Next, a thin layer of dielectric 210 is deposited and a reactive ion etching process is used to form the sidewall spacers 210 for the gate, source, drain, and oxide area of the device as illustrated in FIGS. 12(A) through 12(C). Next, as shown in FIGS. 13(A) through 13(C), metal interconnects 240, 245 are formed via back-end-of-line processes that include insulating material deposition, planarization, via formation, and metal deposition. For example, CVD tungsten studs 230, 235 in FIGS. 13(A) through 13(C) are used to connect aluminum or copper wires 260 with the gates 220, as well as the bodies in the source/drain areas 233. With the dimension of the metal interconnects 240, 245 in the range of 40 nm, fin-transistor devices 151 are shown in the left portions of FIGS. 13(A) and 13(C) and fin capacitor devices 161 are shown on the right portions of FIGS. 13(A) and 13(C).
  • FIGS. 14(A) and 14(B) show the implementation of a two-stage inverter chain 400, with a first inverter 401, comprising the first pMOS device p1 and the first nMOS device n1, and a second inverter 403, comprising the second pMOS device p2 and the second NMOS device n2 according to the embodiments of the invention. The width of p2 and n2 can be doubled by connecting p2 with p3 in parallel, and connecting n2 with n3 in parallel. Multiple gates with different sizes can therefore be easily implemented and customized on the sea-of-fins substrate 1 provided by the embodiments of the invention.
  • FIGS. 15(A) and 15(B) illustrate two embodiments of fin resistors according to the embodiments of the invention. A first resistor 510 is formed by the body of the FinFET 151. During gate conductor patterning, the gate conductor is removed from the region where a resistor is desired. Then, appropriate gas phase doping or ion implantation is introduced into the exposed fin to obtain the desired resistance. The contacts 509, which are similar to the source and drain contacts, are made on the opposite ends of the fin structure. A second resistor 520 is formed from the gate conductor material such as polysilicon. To achieve the desired resistance, the area of gate conductor that will contain the resistor 520 should be blocked from gate doping. A separate mask (not shown) and doping process is then used to introduce the appropriate amount of dopant into the gate conductor to achieve the desired resistance. This separate doping step may be done before or after the standard gating doping process.
  • FIGS. 16(A) and 16(B) illustrate the structure of two fin capacitors 601, 602 connected in parallel by a first level metallization 603. The fin capacitors 601, 602 generally comprise a large area of the fin body 161 or multiple fin bodies to provide sufficient capacitance. For enhanced capacitance, the fin capacitors 601, 602 may include adjacent source or drain diffusions to provide carriers for the formation of inversion layers (not shown). FIGS. 17(A) and 17(B) depict the structure of electrostatic discharge (ESD) protection devices 610, 620. Two diodes 610 and 620 are formed in the fin bodies and connected in series. The diodes 610, 620 may provide a lateral or a combined lateral/vertical doping profile, which could be introduced after the removal of gate conductor from the region where the diodes 610, 620 are to be formed. To protect a device (not shown) from excessive voltage with positive or negative polarity, the device (not shown) should be connected to the junction of the two diodes 610, 620.
  • FIGS. 18(A) through 18(C) illustrate a process to reduce the source and drain contact resistance in a FinFET device. According to FIG. 18(A), the nitride spacers 30 are selectively removed in the source/drain areas 276 during SOF substrate preparation. Next, epitaxy 710 is grown to fill the gaps 700 (FIG. 18(B)). Due to the removal of the sidewall spacers 30, the widened source/drain areas 276 are approximately three times as wide as the fin body area (which will constitute the gate) 70. Next, the source/drain regions 276 are interconnected by vias 71 and metal interconnects 73 during a back end-of-the line (BEOL) process thereby providing larger contact area and lower contact resistance as illustrated in FIG. 18(C). FIGS. 19(A) and 19(B) show the top and cross-sectional views, respectively, of a modified sea-of-fins structure 2 with widened source/drain regions 276.
  • The sea-of-fins (SOF) substrate 1, 2 provided by the embodiments of the invention can be prefabricated and mass-produced by the wafer suppliers. The dimension of fin bodies 105, 106 can also be custom-designed and produced by the chip manufacturers. Since many of the SOF processing steps provided by the embodiments of the invention are self-aligned and the finished FinFET devices have a coplanar structure for both the gate regions 220 and the adjacent isolation regions 12, it is possible to achieve further device scaling beyond the 30 nm range.
  • FIG. 20, with reference to FIGS. 1(A) through 19(B), illustrates a flow diagram of a method of fabricating a semiconductor device, wherein the method comprises forming (801), on a substrate 5, a plurality of planarized fin bodies 70 to be used for customized fin field effect transistor (FinFET) device formation; forming (803) a nitride spacer 30 around each of the plurality of fin bodies 70; forming (805) an isolation region 12 in between each of the fin bodies 70; and coating (807) the plurality of fin bodies 70, the nitride spacers 30, and the isolation regions 12 with a protective film 80.
  • The several embodiments of the invention can be formed into integrated circuit chips. The resulting integrated circuit chips can be distributed by the fabricator in raw wafer form (that is, as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form. In the latter case the chip is mounted in a single chip package (such as a plastic carrier, with leads that are affixed to a motherboard or other higher level carrier) or in a multichip package (such as a ceramic carrier that has either or both surface interconnections or buried interconnections). In any case the chip is then integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either (a) an intermediate product, such as a motherboard, or (b) an end product. The end product can be any product that includes integrated circuit chips, ranging from toys and other low-end applications to advanced computer products having a display, a keyboard or other input device, and a central processor.
  • Generally, the embodiments of the invention provide a method of fabricating SOF substrates consistent with high-volume, high-yield, and low-cost semiconductor manufacturing. Moreover, the embodiments of the invention provide a technique of how the SOF substrates are used to design and fabricate high-performance integrated circuits.
  • Wafer substrates with pre-fabricated fin structures allow chip manufacturers achieve better control of the fin dimensions in the 30 nm range. However, an array of fins 105, 106 and isolation spaces 12 prepared on a semiconductor substrate 5 prior to shipping to a semiconductor foundry has never heretofore been demonstrated prior to the techniques provided by the embodiments of the invention. Due to the economies of scale, substrate providers can supply such pre-fabricated SOF substrates 1, 2 at a lower cost. Such prefabricated SOF substrates 1, 2 would also be highly marketable because chip manufacturers would no longer have to contend with the technical and economic difficulties of producing well-controlled sub-lithographic-width fins within their own processes.
  • The foregoing description of the specific embodiments will so fully reveal the general nature of the embodiments of the invention that others can, by applying current knowledge, readily modify and/or adapt for various applications such specific embodiments without departing from the generic concept, and, therefore, such adaptations and modifications should and are intended to be comprehended within the meaning and range of equivalents of the disclosed embodiments. It is to be understood that the phraseology or terminology employed herein is for the purpose of description and not of limitation. Therefore, while the embodiments of the invention has been described in terms of preferred embodiments, those skilled in the art will recognize that the embodiments of the invention can be practiced with modification within the spirit and scope of the appended claims.

Claims (20)

1. A method of fabricating a semiconductor device, said method comprising:
forming, on a substrate, a plurality of planarized fin bodies to be used for customized fin field effect transistor (FinFET) device formation;
forming a nitride spacer around each of said plurality of fin bodies;
forming an isolation region in between each of said fin bodies; and
coating said plurality of fin bodies, said nitride spacers, and the isolation regions with a protective film.
2. The method of claim 1, further comprising:
removing said protective film;
forming FinFET devices from a first type of said fin bodies;
forming fin capacitors from a second type of fin bodies; and
forming metal interconnects on said FinFET devices and said fin capacitors.
3. The method of claim 2, wherein formation of each of said FinFET devices comprises:
forming a gate conductor over said first type of fin bodies;
forming a channel region below said gate conductor; and
configuring source/drain regions adjacent to said channel region.
4. The method of claim 3, further comprising:
exposing said first type of fin bodies by removing said gate conductor from said first type of fin bodies; and
forming a region of semiconductor resistance in the exposed first type of fin bodies.
5. The method of claim 3, further comprising doping a selective portion of said gate conductor to produce a region of semiconductor resistance in said gate conductor.
6. The method of claim 2, further comprising connecting a plurality of said fin capacitors in parallel using a first level of said metal interconnects.
7. The method of claim 2, further comprising:
forming a plurality of diodes in said fin bodies; and
connecting said diodes in series.
8. The method of claim 1, further comprising:
selectively removing said nitride spacer in selected areas of said semiconductor device adapted to be formed into source/drain regions of said FinFET; and
forming an epitaxial material in said selected areas.
9. The method of claim 1, wherein the fabricated semiconductor device is adapted to be used in customized applications as a customized semiconductor device.
10. A method of forming a semiconductor device to be used in very large scale integrated circuit (VLSI) applications, said method comprising:
forming, on a substrate, an array of fin bodies comprising silicon and adapted to be used in customized fin field effect transistor (FinFET) construction;
forming nitride spacers around each fin body in said array of fin bodies;
separating each said fin body from one another; and
applying a protective film over the array of separated fin bodies.
11. The method of claim 10, further comprising:
removing said protective film;
forming FinFET devices from a first type of fin body;
forming fin capacitors from a second type of fin body; and
forming metal interconnects on said FinFET devices and said fin capacitors.
12. The method of claim 11, wherein formation of each of said FinFET devices comprises:
forming a gate conductor over said first type of fin body;
forming a channel region below said gate conductor; and
configuring source/drain regions adjacent to said channel region.
13. The method of claim 12, further comprising:
exposing said first type of fin body by removing said gate conductor from said first type of fin body; and
forming a region of semiconductor resistance in the exposed first type of fin body.
14. The method of claim 12, further comprising doping a selective portion of said gate conductor to produce a region of semiconductor resistance in said gate conductor.
15. The method of claim 11, further comprising connecting a plurality of said fin capacitors in parallel using a first level of said metal interconnects.
16. The method of claim 11, further comprising:
forming a plurality of diodes in said fin body; and
connecting said diodes in series.
17. The method of claim 10, further comprising:
selectively removing said nitride spacers in selected areas of said semiconductor device adapted to be formed into source/drain regions of said FinFET; and
forming an epitaxial material in said selected areas.
18. The method of claim 10, wherein the formed semiconductor device is adapted to be used in customized applications as a customized semiconductor device.
19. A semiconductor device adapted to be used in customized applications as a customized semiconductor device comprising:
a substrate;
a plurality of planarized fin bodies on said substrate, wherein the fin bodies are adapted to be used for customized fin field effect transistor (FinFET) device formation;
a nitride spacer around each of said plurality of fin bodies;
an isolation region in between each of said fin bodies; and
a protective film on said plurality of fin bodies, said nitride spacers, and the isolation regions.
20. The semiconductor device of claim 19, wherein said plurality of planarized fin bodies are adapted to be used for any of customized fin resistor, customized fin capacitor, and customized diode device formation.
US11/185,646 2005-07-20 2005-07-20 Sea-of-fins structure on a semiconductor substrate and method of fabrication Abandoned US20070018239A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US11/185,646 US20070018239A1 (en) 2005-07-20 2005-07-20 Sea-of-fins structure on a semiconductor substrate and method of fabrication
US12/535,007 US8076190B2 (en) 2005-07-20 2009-08-04 Sea-of-fins structure on a semiconductor substrate and method of fabrication
US13/287,170 US8598641B2 (en) 2005-07-20 2011-11-02 Sea-of-fins structure on a semiconductor substrate and method of fabrication

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/185,646 US20070018239A1 (en) 2005-07-20 2005-07-20 Sea-of-fins structure on a semiconductor substrate and method of fabrication

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/535,007 Division US8076190B2 (en) 2005-07-20 2009-08-04 Sea-of-fins structure on a semiconductor substrate and method of fabrication

Publications (1)

Publication Number Publication Date
US20070018239A1 true US20070018239A1 (en) 2007-01-25

Family

ID=37678285

Family Applications (3)

Application Number Title Priority Date Filing Date
US11/185,646 Abandoned US20070018239A1 (en) 2005-07-20 2005-07-20 Sea-of-fins structure on a semiconductor substrate and method of fabrication
US12/535,007 Expired - Fee Related US8076190B2 (en) 2005-07-20 2009-08-04 Sea-of-fins structure on a semiconductor substrate and method of fabrication
US13/287,170 Active 2025-08-03 US8598641B2 (en) 2005-07-20 2011-11-02 Sea-of-fins structure on a semiconductor substrate and method of fabrication

Family Applications After (2)

Application Number Title Priority Date Filing Date
US12/535,007 Expired - Fee Related US8076190B2 (en) 2005-07-20 2009-08-04 Sea-of-fins structure on a semiconductor substrate and method of fabrication
US13/287,170 Active 2025-08-03 US8598641B2 (en) 2005-07-20 2011-11-02 Sea-of-fins structure on a semiconductor substrate and method of fabrication

Country Status (1)

Country Link
US (3) US20070018239A1 (en)

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080173913A1 (en) * 2007-01-12 2008-07-24 Kabushiki Kaisha Toshiba Semiconductor device
US20100148248A1 (en) * 2008-12-11 2010-06-17 Elpida Memory, Inc. Semiconductor device having gate trenches and manufacturing method thereof
US20100164530A1 (en) * 2008-12-31 2010-07-01 Jan Hoentschel Adjusting configuration of a multiple gate transistor by controlling individual fins
WO2011149587A1 (en) * 2010-05-27 2011-12-01 International Business Machines Corporation Integrated circuit with finfets and mim fin capacitor
WO2012094155A1 (en) * 2011-01-06 2012-07-12 International Business Machines Corporation Structure and method to fabricate resistor on finfet processes
US8354319B2 (en) 2010-10-15 2013-01-15 International Business Machines Corporation Integrated planar and multiple gate FETs
EP2590221A1 (en) * 2011-11-02 2013-05-08 Broadcom Corporation Finfet devices
US20130193500A1 (en) * 2012-01-31 2013-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Decoupling finfet capacitors
US8581320B1 (en) 2012-05-15 2013-11-12 International Business Machines Corporation MOS capacitors with a finfet process
WO2014046755A1 (en) 2012-09-24 2014-03-27 Intel Corporation Precision resistor for non-planar semiconductor device architecture
US8815661B1 (en) 2013-02-15 2014-08-26 International Business Machines Corporation MIM capacitor in FinFET structure
US8940602B2 (en) 2013-04-11 2015-01-27 International Business Machines Corporation Self-aligned structure for bulk FinFET
US20150171074A1 (en) * 2013-12-16 2015-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Finfet and transistors with resistors and protection against electrostatic discharge (esd)
US20150303196A1 (en) * 2011-07-29 2015-10-22 Synopsys, Inc. Finfet cell architecture with power traces
US20150311199A1 (en) * 2014-04-29 2015-10-29 Globalfoundries Inc. Multiple fin finfet with low-resistance gate structure
US9245884B1 (en) 2014-12-12 2016-01-26 International Business Machines Corporation Structure for metal oxide semiconductor capacitor
US20170040162A1 (en) * 2015-08-07 2017-02-09 Tokyo Electron Limited Method of Patterning Without Dummy Gates
CN107342262A (en) * 2016-04-28 2017-11-10 台湾积体电路制造股份有限公司 Method for manufacturing integrated circuit
US20180286859A1 (en) * 2017-04-03 2018-10-04 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
CN110098186A (en) * 2013-03-30 2019-08-06 英特尔公司 Planar device in transistor architecture based on fin
US10990722B2 (en) 2011-07-29 2021-04-27 Synopsys, Inc. FinFET cell architecture with insulator structure

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8404560B2 (en) 2010-10-12 2013-03-26 International Business Machines Corporation Devices with gate-to-gate isolation structures and methods of manufacture
US8298913B2 (en) 2010-10-12 2012-10-30 International Business Machines Corporation Devices with gate-to-gate isolation structures and methods of manufacture
US8455330B2 (en) 2010-10-12 2013-06-04 International Business Machines Corporation Devices with gate-to-gate isolation structures and methods of manufacture
US9117877B2 (en) 2012-01-16 2015-08-25 Globalfoundries Inc. Methods of forming a dielectric cap layer on a metal gate structure
US8822284B2 (en) * 2012-02-09 2014-09-02 United Microelectronics Corp. Method for fabricating FinFETs and semiconductor structure fabricated using the method
US8692291B2 (en) * 2012-03-27 2014-04-08 International Business Machines Corporation Passive devices for FinFET integrated circuit technologies
US8816436B2 (en) 2012-05-16 2014-08-26 International Business Machines Corporation Method and structure for forming fin resistors
US8877578B2 (en) * 2012-05-18 2014-11-04 Unisantis Electronics Singapore Pte. Ltd. Method for producing semiconductor device and semiconductor device
US8697511B2 (en) * 2012-05-18 2014-04-15 Unisantis Electronics Singapore Pte. Ltd. Method for producing semiconductor device and semiconductor device
US8823085B2 (en) 2012-08-08 2014-09-02 Unisantis Electronics Singapore Pte. Ltd. Method for producing a semiconductor device and semiconductor device
US8987790B2 (en) 2012-11-26 2015-03-24 International Business Machines Corporation Fin isolation in multi-gate field effect transistors
US8716156B1 (en) 2013-02-01 2014-05-06 Globalfoundries Inc. Methods of forming fins for a FinFET semiconductor device using a mandrel oxidation process
US9941271B2 (en) * 2013-10-04 2018-04-10 Avago Technologies General Ip (Singapore) Pte. Ltd. Fin-shaped field effect transistor and capacitor structures
US9269712B2 (en) 2013-10-31 2016-02-23 Stmicroelectronics, Inc. Semiconductor device providing enhanced fin isolation and related methods
US9548213B2 (en) 2014-02-25 2017-01-17 International Business Machines Corporation Dielectric isolated fin with improved fin profile
US9281303B2 (en) * 2014-05-28 2016-03-08 International Business Machines Corporation Electrostatic discharge devices and methods of manufacture
US9385235B2 (en) * 2014-05-30 2016-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US9373678B2 (en) 2014-06-17 2016-06-21 Globalfoundries Inc. Non-planar capacitors with finely tuned capacitance values and methods of forming the non-planar capacitors
KR102237713B1 (en) 2014-11-17 2021-04-08 삼성전자주식회사 Method of manufacturing a semiconductor device
US9859275B2 (en) 2015-01-26 2018-01-02 International Business Machines Corporation Silicon nitride fill for PC gap regions to increase cell density
US9514998B1 (en) 2015-05-11 2016-12-06 International Business Machines Corporation Polysilicon resistor formation in silicon-on-insulator replacement metal gate finFET processes
US9455250B1 (en) 2015-06-30 2016-09-27 International Business Machines Corporation Distributed decoupling capacitor
US9922975B2 (en) 2015-10-05 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit having field-effect trasistors with dielectric fin sidewall structures and manufacturing method thereof
KR102323943B1 (en) 2015-10-21 2021-11-08 삼성전자주식회사 Method of manufacturing semiconductor device
WO2017111771A1 (en) * 2015-12-23 2017-06-29 Intel Corporation Thin film polysilicon resistor by gate-to-gate isolation
KR102291559B1 (en) 2017-06-09 2021-08-18 삼성전자주식회사 semiconductor device
KR102343202B1 (en) 2017-06-20 2021-12-23 삼성전자주식회사 Semiconductor device and method for fabricating the same
US11004849B2 (en) 2019-03-06 2021-05-11 Analog Devices, Inc. Distributed electrical overstress protection for large density and high data rate communication applications
US11595036B2 (en) 2020-04-30 2023-02-28 Analog Devices, Inc. FinFET thyristors for protecting high-speed communication interfaces

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6252284B1 (en) * 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device
US20030193058A1 (en) * 2002-04-12 2003-10-16 International Business Machines Corporation Integrated circuit with capacitors having fin structure
US6872647B1 (en) * 2003-05-06 2005-03-29 Advanced Micro Devices, Inc. Method for forming multiple fins in a semiconductor device
US20050130358A1 (en) * 2003-12-12 2005-06-16 Dureseti Chidambarrao Strained finFETs and method of manufacture
US20050153490A1 (en) * 2003-12-16 2005-07-14 Jae-Man Yoon Method of forming fin field effect transistor
US6919231B1 (en) * 2004-03-24 2005-07-19 Intel Corporation Methods of forming channels on an integrated circuit die and die cooling systems including such channels
US20060043616A1 (en) * 2004-08-30 2006-03-02 International Business Machines Corporation Finfet with low gate capacitance and low extrinsic resistance

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6709982B1 (en) * 2002-11-26 2004-03-23 Advanced Micro Devices, Inc. Double spacer FinFET formation
US6762448B1 (en) * 2003-04-03 2004-07-13 Advanced Micro Devices, Inc. FinFET device with multiple fin structures
US6943407B2 (en) * 2003-06-17 2005-09-13 International Business Machines Corporation Low leakage heterojunction vertical transistors and high performance devices thereof
KR100555518B1 (en) * 2003-09-16 2006-03-03 삼성전자주식회사 Double gate field effect transistor and manufacturing method for the same
US7297577B2 (en) * 2004-12-30 2007-11-20 Sony Corporation SOI SRAM device structure with increased W and full depletion

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6252284B1 (en) * 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device
US20030193058A1 (en) * 2002-04-12 2003-10-16 International Business Machines Corporation Integrated circuit with capacitors having fin structure
US6872647B1 (en) * 2003-05-06 2005-03-29 Advanced Micro Devices, Inc. Method for forming multiple fins in a semiconductor device
US20050130358A1 (en) * 2003-12-12 2005-06-16 Dureseti Chidambarrao Strained finFETs and method of manufacture
US20050153490A1 (en) * 2003-12-16 2005-07-14 Jae-Man Yoon Method of forming fin field effect transistor
US6919231B1 (en) * 2004-03-24 2005-07-19 Intel Corporation Methods of forming channels on an integrated circuit die and die cooling systems including such channels
US20060043616A1 (en) * 2004-08-30 2006-03-02 International Business Machines Corporation Finfet with low gate capacitance and low extrinsic resistance

Cited By (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080173913A1 (en) * 2007-01-12 2008-07-24 Kabushiki Kaisha Toshiba Semiconductor device
US20100148248A1 (en) * 2008-12-11 2010-06-17 Elpida Memory, Inc. Semiconductor device having gate trenches and manufacturing method thereof
US8390064B2 (en) * 2008-12-11 2013-03-05 Elpida Memory, Inc. Semiconductor device having gate trenches and manufacturing method thereof
US20100164530A1 (en) * 2008-12-31 2010-07-01 Jan Hoentschel Adjusting configuration of a multiple gate transistor by controlling individual fins
DE102008063429A1 (en) * 2008-12-31 2010-07-08 Advanced Micro Devices, Inc., Sunnyvale Setting the configuration of a multi-gate transistor by controlling individual lands
DE102008063429B4 (en) * 2008-12-31 2015-03-26 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Setting the configuration of a multi-gate transistor by controlling individual lands
US9035306B2 (en) 2008-12-31 2015-05-19 Globalfoundries Inc. Adjusting configuration of a multiple gate transistor by controlling individual fins
US8450124B2 (en) 2008-12-31 2013-05-28 Globalfoundries Inc. Adjusting configuration of a multiple gate transistor by controlling individual fins
US8420476B2 (en) 2010-05-27 2013-04-16 International Business Machines Corporation Integrated circuit with finFETs and MIM fin capacitor
GB2494338B (en) * 2010-05-27 2014-06-11 Ibm Integrated circuit with finfets and MIM fin capacitor
GB2494338A (en) * 2010-05-27 2013-03-06 Ibm Integraqted cicuit with finFETS and MIM fin capcitor
WO2011149587A1 (en) * 2010-05-27 2011-12-01 International Business Machines Corporation Integrated circuit with finfets and mim fin capacitor
US8354319B2 (en) 2010-10-15 2013-01-15 International Business Machines Corporation Integrated planar and multiple gate FETs
GB2500541A (en) * 2011-01-06 2013-09-25 Ibm Structure and method to fabricate resistor on finfet processes
WO2012094155A1 (en) * 2011-01-06 2012-07-12 International Business Machines Corporation Structure and method to fabricate resistor on finfet processes
CN103283016A (en) * 2011-01-06 2013-09-04 国际商业机器公司 Structure and method to fabricate resistor on fin FET processes
GB2500541B (en) * 2011-01-06 2014-08-13 Ibm Structure and method to fabricate resistor on finfet processes
US9385050B2 (en) 2011-01-06 2016-07-05 Globalfoundries Inc. Structure and method to fabricate resistor on finFET processes
US20150303196A1 (en) * 2011-07-29 2015-10-22 Synopsys, Inc. Finfet cell architecture with power traces
US10990722B2 (en) 2011-07-29 2021-04-27 Synopsys, Inc. FinFET cell architecture with insulator structure
US9293584B2 (en) 2011-11-02 2016-03-22 Broadcom Corporation FinFET devices
EP2590221A1 (en) * 2011-11-02 2013-05-08 Broadcom Corporation Finfet devices
US20130193500A1 (en) * 2012-01-31 2013-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Decoupling finfet capacitors
US9530901B2 (en) * 2012-01-31 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Decoupling finFET capacitors
US10396217B2 (en) 2012-01-31 2019-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Decoupling finFET capacitors
US11749759B2 (en) 2012-01-31 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Decoupling FinFET capacitors
US8703553B2 (en) 2012-05-15 2014-04-22 International Business Machines Corporation MOS capacitors with a finFET process
US8581320B1 (en) 2012-05-15 2013-11-12 International Business Machines Corporation MOS capacitors with a finfet process
CN104541377A (en) * 2012-09-24 2015-04-22 英特尔公司 Precision resistor for non-planar semiconductor device architecture
KR101969243B1 (en) 2012-09-24 2019-04-15 인텔 코포레이션 Precision resistor for non-planar semiconductor device architecture
KR101969246B1 (en) 2012-09-24 2019-04-15 인텔 코포레이션 Precision resistor for non-planar semiconductor device architecture
WO2014046755A1 (en) 2012-09-24 2014-03-27 Intel Corporation Precision resistor for non-planar semiconductor device architecture
KR20170042826A (en) * 2012-09-24 2017-04-19 인텔 코포레이션 Precision resistor for non-planar semiconductor device architecture
KR20150034188A (en) * 2012-09-24 2015-04-02 인텔 코포레이션 Precision resistor for non-planar semiconductor device architecture
EP2898533A4 (en) * 2012-09-24 2016-08-31 Intel Corp Precision resistor for non-planar semiconductor device architecture
KR20170018478A (en) * 2012-09-24 2017-02-17 인텔 코포레이션 Precision resistor for non-planar semiconductor device architecture
KR101707754B1 (en) 2012-09-24 2017-02-16 인텔 코포레이션 Precision resistor for non-planar semiconductor device architecture
US8815661B1 (en) 2013-02-15 2014-08-26 International Business Machines Corporation MIM capacitor in FinFET structure
US8816420B1 (en) 2013-02-15 2014-08-26 International Business Machines Corporation MIM capacitor in finFET structure
CN110098186A (en) * 2013-03-30 2019-08-06 英特尔公司 Planar device in transistor architecture based on fin
US8940602B2 (en) 2013-04-11 2015-01-27 International Business Machines Corporation Self-aligned structure for bulk FinFET
US9082623B2 (en) * 2013-12-16 2015-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET and transistors with resistors and protection against electrostatic discharge (ESD)
US20150171074A1 (en) * 2013-12-16 2015-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Finfet and transistors with resistors and protection against electrostatic discharge (esd)
US9799644B2 (en) 2013-12-16 2017-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET and transistors with resistors and protection against electrostatic discharge (ESD)
US10700170B2 (en) * 2014-04-29 2020-06-30 Globalfoundries Inc. Multiple fin finFET with low-resistance gate structure
US20150311199A1 (en) * 2014-04-29 2015-10-29 Globalfoundries Inc. Multiple fin finfet with low-resistance gate structure
US9443767B2 (en) 2014-12-12 2016-09-13 International Business Machines Corporation Structure for metal oxide semiconductor capacitor
US9245884B1 (en) 2014-12-12 2016-01-26 International Business Machines Corporation Structure for metal oxide semiconductor capacitor
US9721793B2 (en) * 2015-08-07 2017-08-01 Tokyo Electron Limited Method of patterning without dummy gates
US20170040162A1 (en) * 2015-08-07 2017-02-09 Tokyo Electron Limited Method of Patterning Without Dummy Gates
CN107342262A (en) * 2016-04-28 2017-11-10 台湾积体电路制造股份有限公司 Method for manufacturing integrated circuit
US20180286859A1 (en) * 2017-04-03 2018-10-04 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US10475789B2 (en) * 2017-04-03 2019-11-12 Samsung Electroncis Co., Ltd. Semiconductor device having first and second fin-type patterns and method of fabricating the same
US10643998B2 (en) * 2017-04-03 2020-05-05 Samsung Electronics Co., Ltd. Semiconductor device having first and second fin-type patterns and method of fabricating the same
US10991692B2 (en) * 2017-04-03 2021-04-27 Samsung Electronics Co., Ltd. Semiconductor device having a plurality of fins and method of fabricating the same

Also Published As

Publication number Publication date
US20090309136A1 (en) 2009-12-17
US8598641B2 (en) 2013-12-03
US8076190B2 (en) 2011-12-13
US20120043597A1 (en) 2012-02-23

Similar Documents

Publication Publication Date Title
US8076190B2 (en) Sea-of-fins structure on a semiconductor substrate and method of fabrication
US10930754B2 (en) Replacement metal gate structures
TWI600159B (en) Semiconductor device and method for fabricating the same
US9780178B2 (en) Methods of forming a gate contact above an active region of a semiconductor device
US9324656B1 (en) Methods of forming contacts on semiconductor devices and the resulting devices
US10388747B1 (en) Gate contact structure positioned above an active region with air gaps positioned adjacent the gate structure
US9330972B2 (en) Methods of forming contact structures for semiconductor devices and the resulting devices
US9935106B2 (en) Multi-finger devices in mutliple-gate-contacted-pitch, integrated structures
US10204904B2 (en) Methods, apparatus and system for vertical finFET device with reduced parasitic capacitance
TWI742484B (en) Dummy fill scheme for use with passive devices
TWI830154B (en) Semiconductor devices and methods for manufacturing capacitor in nanosheet
US20220278093A1 (en) Capacitor in nanosheet

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHEN, HOWARD H.;HSU, LOUIS C.;MANDELMAN, JACK A.;AND OTHERS;REEL/FRAME:016796/0983;SIGNING DATES FROM 20050707 TO 20050718

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO PAY ISSUE FEE

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910