US20070000897A1 - Multi-step anneal of thin films for film densification and improved gap-fill - Google Patents

Multi-step anneal of thin films for film densification and improved gap-fill Download PDF

Info

Publication number
US20070000897A1
US20070000897A1 US11/423,651 US42365106A US2007000897A1 US 20070000897 A1 US20070000897 A1 US 20070000897A1 US 42365106 A US42365106 A US 42365106A US 2007000897 A1 US2007000897 A1 US 2007000897A1
Authority
US
United States
Prior art keywords
annealing
substrate
gas
anneal
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/423,651
Inventor
Nitin Ingle
Zheng Yuan
Vikash Banthia
Xinyun Xia
Hali Forstner
Rong Pan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US11/423,651 priority Critical patent/US20070000897A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: XIA, XINYUN, BANTHIA, VIKASH, PAN, RONG, FORSTNER, HALI J.L., INGLE, NITIN K., YUAN, ZHENG
Publication of US20070000897A1 publication Critical patent/US20070000897A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76283Lateral isolation by refilling of trenches with dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form

Definitions

  • Improper device isolation is the root cause of a number of device defects, including current leakages that waste power, latch-up that can cause intermittent (and sometimes permanent) damage to circuit functioning, noise margin degradation, voltage shift, and signal crosstalk, to name just some of the problems.
  • LOCOS processes include local oxidization on silicon (LOCOS) processes that laterally isolated the active device regions on the semiconductor device.
  • LOCOS processes have some well known shortcomings: Lateral oxidization of silicon underneath a silicon nitride mask make the edge of field oxide resemble the shape of a “bird's beak.” In addition, lateral diffusion of channel-stop dopants make the dopants encroach into the active device regions, thereby overshrinking the width of the channel region.
  • VLSI very large scale integration
  • STI shallow trench isolation
  • CMP chemical-mechanical polishing
  • One of these problems is avoiding the formation of voids and weak seams during the deposition of dielectric material in the trenches.
  • the aspect ratio of trench height to trench width gets higher, and high-aspect ratio trenches (e.g., aspect ratios of about 6:1 or more) are more prone to form voids in the dielectric material due to the premature closure of the trench (e.g., the “bread-loafing” of the dielectric material around the top comers of the trench).
  • the weak seams and voids create uneven regions of dielectric characteristics in trench isolations, which adversely impact the electrical characteristics of the adjacent devices and can even result in device failure.
  • One technique for avoiding voids is to reduce the deposition rate to a point were the dielectric material evenly fills the trench from the bottom up. While this technique has shown some effectiveness, it slows the overall production time and thereby reduces production efficiency. Thus, there remains a need for device isolation techniques that include the efficient filling of inter-device trenches that also reduce and/or eliminate voids created in the filled trenches.
  • Embodiments of the present invention include a method of annealing a substrate.
  • the substrate may include a trench containing a dielectric material.
  • the method may include the steps of annealing the substrate at a first temperature of about 200° C. to about 800° C. in an oxidizing environment, or ambient.
  • the method may also include annealing the substrate at a second temperature of about 800° C. to about 1400° C. in a second atmosphere lacking oxygen.
  • Embodiments of the present invention also include a method of annealing a substrate that includes a trench containing a dielectric material.
  • the method includes the step of annealing the substrate at a first temperature of about 400° C. to about 800° C. in the presence of an oxygen containing gas.
  • the method also includes purging the oxygen containing gas away from the substrate, and raising the substrate to a second temperature from about 900° C. to about 1100° C. to further anneal the substrate in an atmosphere that lacks oxygen.
  • Embodiments of the present invention further include an annealing system.
  • the annealing system includes a housing configured to form an annealing chamber, and a substrate holder configured to hold a substrate within said annealing chamber, where the substrate comprises a trench filled with a dielectric material.
  • the annealing system may further include a gas distribution system configured to introduce gases into said annealing chamber; and a heating system configured to heat the substrate.
  • the gas distribution system introduces a first anneal gas comprising an oxygen containing gas into the chamber while the heating system heats the substrate to a first temperature of about 200° C. to about 800° C.
  • the heating system heats the substrate to a second temperature of about 800° C. to about 1400° C. in an atmosphere lacking oxygen, after a purge of the oxygen containing gas from the chamber.
  • FIG. 1 shows an example of a furnace anneal chamber that may be used with embodiments of the methods of the present invention
  • FIG. 2 shows another example of a furnace anneal chamber that may be used with embodiments of the methods of the present invention
  • FIG. 3 shows an example of a rapid thermal processing (RTP) system that may be used with embodiments of the methods of the present invention
  • FIG. 4 shows an example of a dielectric filled trench formed in a substrate that may be annealed according to embodiments of the method of the present invention
  • FIG. 5 plots substrate temperature over a period of time according to embodiments of the method of the present invention
  • FIG. 6 shows a flowchart illustrating an example of an annealing method according to embodiments of the present invention
  • FIG. 7 shows an electron micrograph of comparative gap-filled shallow trench isolation structures that have been conventionally annealed.
  • FIG. 8 shows an electron micrograph of gap-filled shallow trench isolation structures that have been annealed according to an embodiment of the method of the present invention.
  • Embodiments of the present invention include methods of annealing these filled trenches at a lower temperature (e.g., about 200° C. to about 800° C.) in an atmosphere that includes an oxygen containing gas, followed by annealing the trenches at a higher temperature (e.g., about 800° C. to about 1400° C.) in an atmosphere that lacks oxygen.
  • a lower temperature e.g., about 200° C. to about 800° C.
  • a higher temperature e.g., about 800° C. to about 1400° C.
  • Annealing the trenches at the lower temperature in an environment that includes one or more oxygen containing species rearranges and strengthens the silicon oxide network to prevent the formation of voids and opening of weak seams in the trenches. This is sometimes referred to as oxide “healing” of the seams and voids in the dielectric material.
  • the lower temperature of the anneal keeps the oxygen from reacting with the trench walls and other portions of the silicon substrate to form undesirable oxide films.
  • the annealing may continue (i.e., a second step of the anneal may commence) by heating the trench isolations at the higher temperature to rearrange the structure of the dielectric material and drive out moisture, both of which increase the density of the material.
  • This higher temperature annealing is done in an environment that lacks oxygen.
  • the environment may be, for example, substantially pure nitrogen (N 2 ), a mixture of nitrogen and noble gases (e.g., He, Ne, Ar, Xe) or a substantially pure noble gas, among other types of environments that lack an oxidizing gas.
  • the environment may also include reducing gases such as hydrogen (H 2 ) or ammonia (NH 3 ). Annealing the trenches at the higher temperature in this environment facilitates the high-temperature densification without the oxidation of the silicon substrate.
  • Densification of the dielectric materials in the trenches may provide a number of advantages over the originally formed undensified material, including giving the materials a slower wet etch rate.
  • Undensified materials deposited in the trenches by, for example, spin-on techniques can have wet etch rates about 10 to about 20 times faster or more than thermally grown oxide (e.g., a wet etch rate ratio (WERR) of about 10:1 or more).
  • WERR wet etch rate ratio
  • undensified materials deposited by chemical vapor deposition typically have wet etch rates of about 5:1 or more.
  • the high wet etch rates of the undensified dielectric material can result in the overetching of this material during subsequent planarization and/or oxide etching processes. The overetching may result in the formation of bowls or gaps at the tops of the trench isolations.
  • Embodiments of the present invention include methods of annealing dielectric filled trenches that combines the advantages of a lower temperature anneal in an oxygen containing environment with a higher temperature anneal in a substantially oxygen free environment. These methods may be conducted in annealing systems like the exemplary annealing systems described below.
  • FIG. 1 shows a schematic representation of an apparatus 100 that is suitable for practicing embodiments of the present invention.
  • the apparatus 100 comprises a process chamber 102 and a controller 180 connected to various hardware components (e.g., wafer handling robot 170 , isolation valve 172 and mass flow controller 174 , among others.)
  • various hardware components e.g., wafer handling robot 170 , isolation valve 172 and mass flow controller 174 , among others.
  • a detailed description of the chamber 102 has been disclosed in commonly-assigned U.S. patent application, entitled “Method and Apparatus for Heating and Cooling Substrates”, Ser. No. 09/396,007, filed on Sep. 15, 1999, and is incorporated herein by reference.
  • a brief description of the apparatus 100 is given below.
  • the apparatus 100 allows for rapid heating and cooling of a substrate within a single chamber 102 , which comprises a heating mechanism, a cooling mechanism and a transfer mechanism to transfer a substrate 190 between the heating and the cooling mechanisms.
  • the heating mechanism comprises a heated substrate support 104 having a resistive heating element 106
  • the cooling mechanism comprises a cooling fluid source 176 connected to a cooling plate 108 disposed at a distance apart from the heated substrate support 104 .
  • the transfer mechanism is, for example, a wafer lift hoop 110 having a plurality of fingers 112 , which is used to transfer a substrate from a position proximate the heated substrate support 104 to a position proximate the cooling plate 108 .
  • a vacuum pump 178 and an isolation valve 172 are connected to an outlet 122 of the chamber 102 for evacuation and control of gas flow out of the chamber 102 .
  • the substrate 190 is placed on the heated substrate support 104 , which is preheated to a temperature between about 100° C. and about 500° C.
  • a gas source 120 allows annealing gases to enter the chamber 102 via the gas inlet 124 and the mass flow controller 174 .
  • Gas inlet 124 may also include an ignition source, such as a spark gap (not shown) to start the combustion of oxygen (O 2 ) and hydrogen (H 2 ) for in-situ generation of steam (ISSG).
  • the substrate 190 is optionally cooled to a desirable temperature, e.g., below about 100° C., or below about 80° C., or below about 50° C., within the chamber 102 .
  • a desirable temperature e.g., below about 100° C., or below about 80° C., or below about 50° C.
  • the cooling plate 108 may be maintained at a temperature of about 5 to about 25° C. by a cooling fluid supplied from the cooling fluid source 176 .
  • the chamber 102 is also coupled to a controller 180 , which controls the chamber 102 for implementing the annealing method of the present invention.
  • the controller 180 comprises a general purpose computer or a central processing unit (CPU) 182 , support circuitry 184 , and memories 186 containing associated control software.
  • the controller 180 is responsible for automated control of the numerous steps required for wafer processing such as wafer transport, gas flow control, temperature control, chamber evacuation, etc.
  • Bi-directional communications between the controller 180 and the various components of the apparatus 100 are handled through numerous signal cables collectively referred to as signal buses 188 , some of which are illustrated in FIG. 1 .
  • Apparatus 200 is a hot wall furnace system that includes a three-zone resistance furnace 212 , a quartz reactor tube 202 , a gas inlet 204 , a pressure sensor 206 , and a wafer boat 208 .
  • the one or more substrates 210 may be vertically positioned upon the wafer boat 208 for annealing.
  • the wafers are radiantly heated by resistive heating coils surrounding the tube 202 .
  • Annealing gases are metered into one end of the tube 202 (gas inlet 204 ) using a mass flow controller, and may be pumped out the other end of the tube 202 (e.g., via an exhaust pump).
  • FIG. 3 a cross-sectional view of a rapid thermal processor (RTP) annealing chamber 300 that may be used with embodiments of the present invention is shown.
  • RTP rapid thermal processor
  • An example of a RTP annealing chamber 300 is a RADIANCE® chamber that is commercially available from Applied Materials, Inc., Santa Clara, Calif.
  • the RTP chamber 300 includes sidewalls 314 , a bottom 315 , and a window assembly 317 .
  • the sidewalls 314 and the bottom 315 generally comprise a metal such as, for example, stainless steel.
  • the upper portions of sidewalls 314 are sealed to window assembly 317 by o-rings 316 .
  • a radiant energy assembly 318 is positioned over and coupled to window assembly 317 .
  • the radiant energy assembly 318 includes a plurality of lamps 319 each mounted to a light pipe 321 .
  • the RTP annealing chamber 300 houses a substrate 320 supported around its perimeter by a support ring 362 made of, for example, silicon carbide.
  • the support ring 362 is mounted on a rotatable cylinder 363 .
  • the rotatable cylinder causes the support ring 362 and the substrate to rotate within the RTP chamber 300 .
  • the bottom 315 of RTP annealing chamber 300 includes a gold-coated top surface 311 , which reflects light energy onto the backside of the substrate 320 . Additionally, the RTP annealing chamber 300 includes a plurality of temperature probes 370 positioned through the bottom 315 of RTP annealing chamber 300 to detect the temperature of the substrate 320 .
  • a gas inlet 369 through sidewall 314 provides annealing gases to the RTP annealing chamber 300 .
  • a gas outlet 368 positioned through sidewall 314 opposite to gas inlet 369 removes annealing gases from the RTP annealing chamber 300 .
  • the gas outlet 368 is coupled to a pump system (not shown) such as a vacuum source. The pump system exhausts annealing gases from the RTP annealing chamber 300 and maintains a desired pressure therein during processing.
  • the radiant energy assembly 318 preferably is configured so the lamps 319 are positioned in a hexagonal array or in a “honeycomb” arrangement, above the surface area of the substrate 320 and the support ring 362 .
  • the lamps 319 are grouped in zones that may be independently controlled, to uniformly heat the substrate 320 .
  • the window assembly 317 includes a plurality of short light pipes 341 that are aligned to the light pipes 321 of the radiant energy assembly 318 . Radiant energy from the lamps 321 is provided via light pipes 321 , 341 to the annealing region 313 of RTP annealing chamber 300 .
  • the RTP annealing chamber 300 may be controlled by a microprocessor controller (not shown).
  • the microprocessor controller may be one of any form of general purpose computer processor (CPU) that can be used in an industrial setting for controlling process chambers as well as sub-processors.
  • the computer may use any suitable memory, such as random access memory, read only memory, floppy disk drive, hard drive, or any other form of digital storage, local or remote.
  • Various support circuits may be coupled to the CPU for supporting the processor in a conventional manner.
  • Software routines as required may be stored in the memory or executed by a second CPU that is remotely located.
  • the process sequence routines are executed after the substrate is positioned on the pedestal.
  • the software routines when executed, transform the general purpose computer into a specific process computer that controls the chamber operation so that chamber annealing is performed.
  • the software routines may be performed in hardware, as an application specific integrated circuit or other type of hardware implementation, or a combination of software and hardware.
  • the trench isolation structure 400 includes a nitride layer 409 formed on pad-oxide layer 407 , which is formed on substrate 402 (e.g., a silicon substrate).
  • a nitride gap (not shown) is formed in nitride layer 409 by depositing and patterning a photoresist layer (not shown) on the nitride layer 409 such that a portion of the nitride layer 409 overlying the gap is exposed. A nitride etch is then performed to remove the exposed portion of the nitride layer 409 .
  • an oxide layer gap (not shown) may be formed in the pad-oxide layer 407 .
  • nitride layer 409 may act as a mask layer during an oxide etch of the underlying oxide layer 407 that is exposed by the nitride gap. The oxide etch removes the exposed portion of oxide layer 407 , forming the oxide gap.
  • the shallow rest of the shallow trench may be formed in the substrate layer 402 .
  • This may start with a substrate etch (e.g., a silicon etch) of substrate layer 402 , with nitride layer 409 and pad-oxide layer 407 acting as etch mask layers.
  • trench 416 that is formed may be cleaned with cleaning agents (e.g., HF).
  • a trench side wall liner 417 may be formed in trench 416 by performing, for example, undergo a rapid thermal oxidation (RTO) (e.g,. 1000° C.) in an oxide/oxinitride atmosphere, which may also round sharp corners on the trench 416 (and elsewhere).
  • RTO rapid thermal oxidation
  • dielectric material 418 may be deposited to form the trench isolation structure 400 .
  • the trench 416 may be filled with dielectric material 418 according to chemical vapor deposition (CVD) techniques (e.g., low pressure CVD, plasma CVD, etc.), or spin-on dielectric techniques, among other deposition techniques.
  • CVD chemical vapor deposition
  • plasma CVD plasma CVD
  • spin-on dielectric techniques among other deposition techniques.
  • the dielectric material may be deposited by a High Aspect Ratio Process (HARP).
  • the HARP includes using an O 3 /tetraethoxy silane (TEOS) based sub-atmospheric chemical vapor deposition (SACVD) trench fill process like the ones described in commonly assigned U.S. patent application Ser. No. 10/247,672, filed on Sep. 19, 2002, entitled “METHOD USING TEOS RAMP-UP DURING TEOS/OZONE CVD FOR IMPROVED GAP FILL,” and/or U.S. patent application Ser. No. 10/757,770, filed on Jan.
  • TEOS O 3 /tetraethoxy silane
  • SACVD sub-atmospheric chemical vapor deposition
  • the HARP process may include varying the ratio of Si (e.g., TEOS) to O 3 , and the spacing between the substrate wafer and gas distribution plate (e.g., showerhead) over the course of the deposition of the gap materials.
  • the deposition rate may be lower by having a reduced concentration of Si relative to O 3 (e.g., a lower TEOS to O 3 ratio) and more spacing between the wafer a gas distribution plate (e.g., about 300 mils).
  • the low deposition rate allows a more even trench fill with a reduced chance of forming voids due to, for example, bread-loafing of the fill material around the top corners of the trench.
  • the deposition rate may be increased by increasing the concentration of Si relative to O 3 (e.g. a higher TEOS to O 3 ratio) and reducing the space between the wafer and gas distribution plate (e.g., spacing of about 100 mils), among other adjustments.
  • This allows the more rapid deposition of the materials, which increases overall production efficiency by decreasing the deposition time.
  • HARP depositions may include both a slower deposition rate stage when the slower rate is advantageous for reducing defects, and a higher deposition rate stage when the high rate results in shorter deposition times.
  • HARP depositions may be advantageous for gapfill depositions of trenches with high aspect ratios.
  • the trench aspect ratio is the ratio of trench height (i.e., depth) to trench width, and trenches with high aspect ratios (e.g., about 6:1 or more) are more prone to develop voids during a gap fill process.
  • the pressure is maintained at sub-atmospheric pressures.
  • the pressure during the deposition process may range from about 200 torr to less than about 760 torr, although the pressure profile may remain within a much narrower range.
  • the temperature is varied from about 400° C. to about 570° C., although the temperature may be maintained within a narrower range. Regulating the temperature and pressure of the chamber regulates a reaction between the silicon-containing process gas and the oxidizer-containing process gas.
  • the WERR of the deposited material 418 may be about 6 or less prior to annealing.
  • FIG. 5 plots the substrate temperature over a period of time according to an example of one of the annealing methods of the present invention.
  • the plot starts with the substrate at temperature T 1 , (e.g., about 400° C.) for a time t 1 (e.g., about 5 minutes to about 30 minutes).
  • This portion of the plot may represent the substrate sitting in an annealing chamber and coming to an initial equilibrium temperature T 1 .
  • the substrate temperature After time t 1 , the substrate temperature my be raised to the first anneal temperature T 3 (e.g., about 800° C.) at time t 3 .
  • the time t 3 depends on the rate of the temperature ramp up from T 1 to T 3 (e.g., about 4° C./min to about 15° C./min or more).
  • an oxygen containing gas e.g., in-situ generated steam
  • the oxygen containing gas is introduced at time t 2 (e.g., about 15 min after t 1 ) when the substrate temperature is T 2 (e.g., about 600° C.).
  • the substrate which includes trenches filled with dielectric materials, is then annealed at temperature T 3 until time t 4 (e.g., about 30 minutes after t 3 ). During this time any seams or voids formed during the deposition of the dielectric material in the trenches are being healed (i.e., filled with oxide materials). However, because the annealing is done at lower temperature, the reaction of oxygen with the silicon substrate and other non-oxide layers is reduced.
  • the oxygen containing gas is removed from contact with the substrate until time t 5 (e.g., about 60 minutes after t 4 ).
  • the removal may be done by purging the annealing chamber holding the substrate with a dry purge gas (e.g., dry nitrogen (N 2 )).
  • a dry purge gas e.g., dry nitrogen (N 2 )
  • the temperature of the substrate may be ramped up again t 6 temperature T 4 (e.g., about 1050° C.) at time to (e.g., about 30 minutes after t 5 ) when the higher temperature annealing is performed.
  • the higher temperature annealing is done in an atmosphere substantially free of oxygen (e.g., atomic, molecular, or ionic species of oxygen) from the oxygen containing gas or any other gases used during the anneal.
  • This higher temperature annealing acts to densify the dielectric material in the trenches (e.g., the dielectric has a WERR of about 1.2:1 to about 1:1).
  • the temperature of the substrate may be decreased down to ambient (e.g., room temperature) and the annealed substrate may be used in further fabrication steps for making semiconductor devices.
  • FIG. 6 is a flowchart illustrating methods of annealing according to embodiments of the invention.
  • the illustrated method 600 includes providing a substrate 602 that has one or more trenches that are filled with dielectric material (e.g. silicon dioxide (SiO 2 ), etc.).
  • the dielectric material may be formed in the trenches with a variety of deposition techniques such as chemical vapor deposition (CVD) or spin-on dielectric processes.
  • CVD chemical vapor deposition
  • TEOS tetraethoxysilane
  • O 3 ozone
  • dielectric materials may be deposited in the gaps using plasma enhanced CVD, and high-density plasma CVD, among other deposition techniques.
  • the gap filled substrate may then undergo a first anneal 604 that includes heating the substrate to a temperature of, for example, about 400° C. to about 800° C. in the presence of an oxygen containing gas (or gas mixture) for a time of about 15 to 45 minutes (e.g., about 30 minutes).
  • the oxygen containing gas is in-situ generated steam (ISSG) that is generated by the reaction of hydrogen (H 2 ) and oxygen (O 2 ) gas in a oxy-hydrogen (i.e., H 2 —O 2 ) torch inside a substrate annealing chamber.
  • oxygen containing gas include oxygen (O 2 ), pre-generated steam (H 2 O), nitric oxide (NO), and nitrous oxide (N 2 ), among other gases.
  • the oxygen containing gas may also include mixtures of different oxygen containing gases.
  • oxygen containing gas may be present with the oxygen containing gas (or gases).
  • gases hydrogen (H 2 ), nitrogen (N 2 ), and/or an inert gas such as helium (He) or argon (Ar) may be present with the oxygen containing gas.
  • gases may act as carrier gases that flow together with oxygen containing gas into the annealing chamber and over the substrate.
  • the anneal in the presence of the oxygen containing gas helps to heal seams in the gaps.
  • a weak seam may be present at the junction of the dielectric material and a sidewall of the trenches.
  • the oxygen containing gas helps strengthen this seam even at anneal temperatures of 800° C. or lower.
  • the anneal in the presence of the oxygen containing gas reduces the size and can even eliminate voids formed in the dielectric material.
  • Increasing the temperature of the anneal helps to drive out moisture and increase the density of the dielectric material.
  • the higher temperature annealing in the presence of oxygen containing gas causes the oxygen in the gas to react with oxidation prone materials that make up the substrate, such as silicon (Si), which is undesirable.
  • a higher temperature anneal is performed in the absence of oxygen containing gases.
  • the oxygen containing gases may be purged at the conclusion of the first anneal 606 by flowing a non-oxygen contain gas (or mixture of gases) into the anneal chamber and over the substrate.
  • a non-oxygen contain gas or mixture of gases
  • the flow of the oxygen containing gas (or gases) may be shut off leaving the non-oxygen containing gases (e.g., dry nitrogen) as the only gases flowing over the substrate.
  • the non-oxygen containing gases may flow through the annealing chamber for about 45 minutes to about 75 minutes (e.g., about 60 minutes) to purge the oxygen-containing gas.
  • the second anneal may be performed 608 following the purge 606 .
  • the second anneal may include ramping up the temperature of the substrate from about 800° C. to about 1100° C. (e.g., about 1050° C.) for about 15 minutes to about 45 minutes (e.g., about 30 minutes) in the presence of one or more non-oxygen containing gases.
  • This second anneal is believed to increase the density of the dielectric material in the gaps to a density comparable to thermally grown dielectrics.
  • this higher temperature anneal was performed in the absence of oxygen-containing gases (e.g., steam) that, at those temperatures, may oxidize materials (e.g., Si) in the gap walls and other areas of the substrate.
  • oxygen-containing gases e.g., steam
  • FIG. 7 a scanning electron micrograph image of dielectric filled trenches in a substrate that has undergone a conventional high-temperature annealing process is shown.
  • the dielectric deposition was done using O 3 /TEOS HARP process at a temperature of 540° C. and pressure of 600 torr.
  • the filled substrate was annealed in a dry nitrogen atmosphere at 1050° C. for 30 minutes.
  • a void in the dielectric material is seen in first trench from the left and two more voids are clearly seen in the dielectric material in the middle trench (third from the left).
  • FIG. 8 shows a scanning electron micrograph image of dielectric filled trenches in a substrate that has undergone an anneal process according to an embodiment of the present invention.
  • the dielectric deposition conditions were the same as described in FIG. 7 above.
  • the anneal process included annealing the trench filled substrate at 600° C. in an atmosphere containing steam (H 2 O) for 30 minutes, followed by a 1 hour nitrogen (N 2 ) purge of the annealing gases. After the purge, the substrate is annealed in dry nitrogen at 1050° C. for 30 minutes. In contrast to the comparative example above, no weak seams or voids are discernable in the image of FIG. 8 .

Abstract

A method of annealing a substrate comprising a trench containing a dielectric material, the method including annealing the substrate at a first temperature of about 200° C. to about 800° C. in a first atmosphere comprising an oxygen containing gas, and annealing the substrate at a second temperature of about 800° C. to about 1400° C. in a second atmosphere lacking oxygen. In addition, a method of annealing a substrate comprising a trench containing a dielectric material, the method including annealing the substrate at a first temperature of about 400° C. to about 800° C. in the presence of an oxygen containing gas, purging the oxygen containing gas away from the substrate, and raising the substrate to a second temperature from about 900° C. to about 1100° C. to further anneal the substrate in an atmosphere that lacks oxygen.

Description

    CROSS-REFERENCES TO RELATED APPLICATIONS
  • This application claims the benefit of U.S. Provisional Application No. 60/598,939, filed Aug. 4,2004, entitled “MULTI-STEP ANNEAL OF THIN FILMS FOR FILM DENSIFICATION AND IMPROVED GAP-FILL,” the entire contents of which are herein incorporated by this reference.
  • BACKGROUND OF THE INVENTION
  • As semiconductor device densities continue to get larger and isolation structures between devices continue to get smaller, the challenge of isolating individual devices from one another gets ever more difficult. Improper device isolation is the root cause of a number of device defects, including current leakages that waste power, latch-up that can cause intermittent (and sometimes permanent) damage to circuit functioning, noise margin degradation, voltage shift, and signal crosstalk, to name just some of the problems.
  • Prior device isolation techniques included local oxidization on silicon (LOCOS) processes that laterally isolated the active device regions on the semiconductor device. LOCOS processes, however, have some well known shortcomings: Lateral oxidization of silicon underneath a silicon nitride mask make the edge of field oxide resemble the shape of a “bird's beak.” In addition, lateral diffusion of channel-stop dopants make the dopants encroach into the active device regions, thereby overshrinking the width of the channel region. These and other problems with LOCOS processes were exacerbated as device size continued to shrink with very large scale integration (VLSI) implementation, and new isolation techniques were needed.
  • Current isolation techniques include shallow trench isolation (STI) processes. Early STI processes typically included etching a trench having a predetermined width and depth into a silicon substrate, filling the trench with a layer of dielectric material (e.g., silicon dioxide), and finally planarizing the dielectric materials by, for example, chemical-mechanical polishing (CMP). For a time, the early STI processes were effective for isolating devices spaced closer together (e.g., 150 nm or more), but as the inter-device space continued to shrink, problems developed.
  • One of these problems is avoiding the formation of voids and weak seams during the deposition of dielectric material in the trenches. As trench widths continue to shrink, the aspect ratio of trench height to trench width gets higher, and high-aspect ratio trenches (e.g., aspect ratios of about 6:1 or more) are more prone to form voids in the dielectric material due to the premature closure of the trench (e.g., the “bread-loafing” of the dielectric material around the top comers of the trench). The weak seams and voids create uneven regions of dielectric characteristics in trench isolations, which adversely impact the electrical characteristics of the adjacent devices and can even result in device failure.
  • One technique for avoiding voids is to reduce the deposition rate to a point were the dielectric material evenly fills the trench from the bottom up. While this technique has shown some effectiveness, it slows the overall production time and thereby reduces production efficiency. Thus, there remains a need for device isolation techniques that include the efficient filling of inter-device trenches that also reduce and/or eliminate voids created in the filled trenches.
  • BRIEF SUMMARY OF THE INVENTION
  • Embodiments of the present invention include a method of annealing a substrate. The substrate may include a trench containing a dielectric material. The method may include the steps of annealing the substrate at a first temperature of about 200° C. to about 800° C. in an oxidizing environment, or ambient. The method may also include annealing the substrate at a second temperature of about 800° C. to about 1400° C. in a second atmosphere lacking oxygen.
  • Embodiments of the present invention also include a method of annealing a substrate that includes a trench containing a dielectric material. The method includes the step of annealing the substrate at a first temperature of about 400° C. to about 800° C. in the presence of an oxygen containing gas. The method also includes purging the oxygen containing gas away from the substrate, and raising the substrate to a second temperature from about 900° C. to about 1100° C. to further anneal the substrate in an atmosphere that lacks oxygen.
  • Embodiments of the present invention further include an annealing system. The annealing system includes a housing configured to form an annealing chamber, and a substrate holder configured to hold a substrate within said annealing chamber, where the substrate comprises a trench filled with a dielectric material. The annealing system may further include a gas distribution system configured to introduce gases into said annealing chamber; and a heating system configured to heat the substrate. The gas distribution system introduces a first anneal gas comprising an oxygen containing gas into the chamber while the heating system heats the substrate to a first temperature of about 200° C. to about 800° C. In addition, the heating system heats the substrate to a second temperature of about 800° C. to about 1400° C. in an atmosphere lacking oxygen, after a purge of the oxygen containing gas from the chamber.
  • Additional features are set forth in part in the description that follows, and in part will become apparent to those skilled in the art upon examination of the following specification or may be learned by the practice of the invention. The features and advantages of the invention may be realized and attained by means of the instrumentalities, combinations, and methods particularly pointed out in the appended claims.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 shows an example of a furnace anneal chamber that may be used with embodiments of the methods of the present invention;
  • FIG. 2 shows another example of a furnace anneal chamber that may be used with embodiments of the methods of the present invention;
  • FIG. 3 shows an example of a rapid thermal processing (RTP) system that may be used with embodiments of the methods of the present invention;
  • FIG. 4 shows an example of a dielectric filled trench formed in a substrate that may be annealed according to embodiments of the method of the present invention;
  • FIG. 5 plots substrate temperature over a period of time according to embodiments of the method of the present invention;
  • FIG. 6 shows a flowchart illustrating an example of an annealing method according to embodiments of the present invention;
  • FIG. 7 shows an electron micrograph of comparative gap-filled shallow trench isolation structures that have been conventionally annealed; and
  • FIG. 8 shows an electron micrograph of gap-filled shallow trench isolation structures that have been annealed according to an embodiment of the method of the present invention.
  • DETAILED DESCRIPTION OF THE INVENTION
  • As noted above, the development of voids and weak seams in trench isolations has become an increasing problem as trench widths get smaller (e.g., about 90 nm or less) and trench aspect ratios get higher (e.g., about 6:1 or higher). Embodiments of the present invention include methods of annealing these filled trenches at a lower temperature (e.g., about 200° C. to about 800° C.) in an atmosphere that includes an oxygen containing gas, followed by annealing the trenches at a higher temperature (e.g., about 800° C. to about 1400° C.) in an atmosphere that lacks oxygen.
  • Annealing the trenches at the lower temperature in an environment that includes one or more oxygen containing species (e.g., H2O, NO, N2O, O2, etc.) rearranges and strengthens the silicon oxide network to prevent the formation of voids and opening of weak seams in the trenches. This is sometimes referred to as oxide “healing” of the seams and voids in the dielectric material. The lower temperature of the anneal keeps the oxygen from reacting with the trench walls and other portions of the silicon substrate to form undesirable oxide films.
  • The annealing may continue (i.e., a second step of the anneal may commence) by heating the trench isolations at the higher temperature to rearrange the structure of the dielectric material and drive out moisture, both of which increase the density of the material. This higher temperature annealing is done in an environment that lacks oxygen. The environment may be, for example, substantially pure nitrogen (N2), a mixture of nitrogen and noble gases (e.g., He, Ne, Ar, Xe) or a substantially pure noble gas, among other types of environments that lack an oxidizing gas. The environment may also include reducing gases such as hydrogen (H2) or ammonia (NH3). Annealing the trenches at the higher temperature in this environment facilitates the high-temperature densification without the oxidation of the silicon substrate.
  • Densification of the dielectric materials in the trenches may provide a number of advantages over the originally formed undensified material, including giving the materials a slower wet etch rate. Undensified materials deposited in the trenches by, for example, spin-on techniques can have wet etch rates about 10 to about 20 times faster or more than thermally grown oxide (e.g., a wet etch rate ratio (WERR) of about 10:1 or more). Likewise, undensified materials deposited by chemical vapor deposition typically have wet etch rates of about 5:1 or more. The high wet etch rates of the undensified dielectric material can result in the overetching of this material during subsequent planarization and/or oxide etching processes. The overetching may result in the formation of bowls or gaps at the tops of the trench isolations.
  • Embodiments of the present invention include methods of annealing dielectric filled trenches that combines the advantages of a lower temperature anneal in an oxygen containing environment with a higher temperature anneal in a substantially oxygen free environment. These methods may be conducted in annealing systems like the exemplary annealing systems described below.
  • Exemplary Annealing Systems
  • FIG. 1 shows a schematic representation of an apparatus 100 that is suitable for practicing embodiments of the present invention. The apparatus 100 comprises a process chamber 102 and a controller 180 connected to various hardware components (e.g., wafer handling robot 170, isolation valve 172 and mass flow controller 174, among others.) A detailed description of the chamber 102 has been disclosed in commonly-assigned U.S. patent application, entitled “Method and Apparatus for Heating and Cooling Substrates”, Ser. No. 09/396,007, filed on Sep. 15, 1999, and is incorporated herein by reference. A brief description of the apparatus 100 is given below.
  • The apparatus 100 allows for rapid heating and cooling of a substrate within a single chamber 102, which comprises a heating mechanism, a cooling mechanism and a transfer mechanism to transfer a substrate 190 between the heating and the cooling mechanisms. As shown in the embodiment of FIG. 1, the heating mechanism comprises a heated substrate support 104 having a resistive heating element 106, and the cooling mechanism comprises a cooling fluid source 176 connected to a cooling plate 108 disposed at a distance apart from the heated substrate support 104. The transfer mechanism is, for example, a wafer lift hoop 110 having a plurality of fingers 112, which is used to transfer a substrate from a position proximate the heated substrate support 104 to a position proximate the cooling plate 108. A vacuum pump 178 and an isolation valve 172 are connected to an outlet 122 of the chamber 102 for evacuation and control of gas flow out of the chamber 102.
  • To perform annealing, the substrate 190 is placed on the heated substrate support 104, which is preheated to a temperature between about 100° C. and about 500° C. A gas source 120 allows annealing gases to enter the chamber 102 via the gas inlet 124 and the mass flow controller 174. Gas inlet 124 may also include an ignition source, such as a spark gap (not shown) to start the combustion of oxygen (O2) and hydrogen (H2) for in-situ generation of steam (ISSG).
  • After annealing, the substrate 190 is optionally cooled to a desirable temperature, e.g., below about 100° C., or below about 80° C., or below about 50° C., within the chamber 102. This can be accomplished, for example, by bringing the substrate 190 in close proximity to the cooling plate 108 using the wafer lift hoop 110. For example, the cooling plate 108 may be maintained at a temperature of about 5 to about 25° C. by a cooling fluid supplied from the cooling fluid source 176.
  • As illustrated in FIG. 1, the chamber 102 is also coupled to a controller 180, which controls the chamber 102 for implementing the annealing method of the present invention. Illustratively, the controller 180 comprises a general purpose computer or a central processing unit (CPU) 182, support circuitry 184, and memories 186 containing associated control software. The controller 180 is responsible for automated control of the numerous steps required for wafer processing such as wafer transport, gas flow control, temperature control, chamber evacuation, etc. Bi-directional communications between the controller 180 and the various components of the apparatus 100 are handled through numerous signal cables collectively referred to as signal buses 188, some of which are illustrated in FIG. 1.
  • Referring now to FIG. 2, an apparatus 200 for annealing one or more substrates 210 according to embodiments of the methods of the present invention is shown. Apparatus 200 is a hot wall furnace system that includes a three-zone resistance furnace 212, a quartz reactor tube 202, a gas inlet 204, a pressure sensor 206, and a wafer boat 208. The one or more substrates 210 may be vertically positioned upon the wafer boat 208 for annealing. The wafers are radiantly heated by resistive heating coils surrounding the tube 202. Annealing gases are metered into one end of the tube 202 (gas inlet 204) using a mass flow controller, and may be pumped out the other end of the tube 202 (e.g., via an exhaust pump).
  • Referring now to FIG. 3, a cross-sectional view of a rapid thermal processor (RTP) annealing chamber 300 that may be used with embodiments of the present invention is shown. An example of a RTP annealing chamber 300 is a RADIANCE® chamber that is commercially available from Applied Materials, Inc., Santa Clara, Calif. The RTP chamber 300 includes sidewalls 314, a bottom 315, and a window assembly 317. The sidewalls 314 and the bottom 315 generally comprise a metal such as, for example, stainless steel. The upper portions of sidewalls 314 are sealed to window assembly 317 by o-rings 316. A radiant energy assembly 318 is positioned over and coupled to window assembly 317. The radiant energy assembly 318 includes a plurality of lamps 319 each mounted to a light pipe 321.
  • The RTP annealing chamber 300 houses a substrate 320 supported around its perimeter by a support ring 362 made of, for example, silicon carbide. The support ring 362 is mounted on a rotatable cylinder 363. The rotatable cylinder causes the support ring 362 and the substrate to rotate within the RTP chamber 300.
  • The bottom 315 of RTP annealing chamber 300 includes a gold-coated top surface 311, which reflects light energy onto the backside of the substrate 320. Additionally, the RTP annealing chamber 300 includes a plurality of temperature probes 370 positioned through the bottom 315 of RTP annealing chamber 300 to detect the temperature of the substrate 320.
  • A gas inlet 369 through sidewall 314 provides annealing gases to the RTP annealing chamber 300. A gas outlet 368 positioned through sidewall 314 opposite to gas inlet 369 removes annealing gases from the RTP annealing chamber 300. The gas outlet 368 is coupled to a pump system (not shown) such as a vacuum source. The pump system exhausts annealing gases from the RTP annealing chamber 300 and maintains a desired pressure therein during processing.
  • The radiant energy assembly 318 preferably is configured so the lamps 319 are positioned in a hexagonal array or in a “honeycomb” arrangement, above the surface area of the substrate 320 and the support ring 362. The lamps 319 are grouped in zones that may be independently controlled, to uniformly heat the substrate 320.
  • The window assembly 317 includes a plurality of short light pipes 341 that are aligned to the light pipes 321 of the radiant energy assembly 318. Radiant energy from the lamps 321 is provided via light pipes 321, 341 to the annealing region 313 of RTP annealing chamber 300.
  • The RTP annealing chamber 300 may be controlled by a microprocessor controller (not shown). The microprocessor controller may be one of any form of general purpose computer processor (CPU) that can be used in an industrial setting for controlling process chambers as well as sub-processors. The computer may use any suitable memory, such as random access memory, read only memory, floppy disk drive, hard drive, or any other form of digital storage, local or remote. Various support circuits may be coupled to the CPU for supporting the processor in a conventional manner. Software routines as required may be stored in the memory or executed by a second CPU that is remotely located.
  • The process sequence routines are executed after the substrate is positioned on the pedestal. The software routines, when executed, transform the general purpose computer into a specific process computer that controls the chamber operation so that chamber annealing is performed. Alternatively, the software routines may be performed in hardware, as an application specific integrated circuit or other type of hardware implementation, or a combination of software and hardware.
  • Exemplary Semiconductor Devices
  • Referring now to FIG. 4, an example of a dielectric filled trench formed in a substrate that may be annealed according to embodiments of the invention is shown. The trench isolation structure 400 includes a nitride layer 409 formed on pad-oxide layer 407, which is formed on substrate 402 (e.g., a silicon substrate).
  • A nitride gap (not shown) is formed in nitride layer 409 by depositing and patterning a photoresist layer (not shown) on the nitride layer 409 such that a portion of the nitride layer 409 overlying the gap is exposed. A nitride etch is then performed to remove the exposed portion of the nitride layer 409. After the nitride gap is formed in the nitride layer 409, an oxide layer gap (not shown) may be formed in the pad-oxide layer 407. In this step, nitride layer 409 may act as a mask layer during an oxide etch of the underlying oxide layer 407 that is exposed by the nitride gap. The oxide etch removes the exposed portion of oxide layer 407, forming the oxide gap.
  • Following the formation of the oxide gap, the shallow rest of the shallow trench may be formed in the substrate layer 402. This may start with a substrate etch (e.g., a silicon etch) of substrate layer 402, with nitride layer 409 and pad-oxide layer 407 acting as etch mask layers. Following the substrate etch, trench 416 that is formed may be cleaned with cleaning agents (e.g., HF). In addition, a trench side wall liner 417 may be formed in trench 416 by performing, for example, undergo a rapid thermal oxidation (RTO) (e.g,. 1000° C.) in an oxide/oxinitride atmosphere, which may also round sharp corners on the trench 416 (and elsewhere).
  • After trench 416 is formed dielectric material 418 may be deposited to form the trench isolation structure 400. The trench 416 may be filled with dielectric material 418 according to chemical vapor deposition (CVD) techniques (e.g., low pressure CVD, plasma CVD, etc.), or spin-on dielectric techniques, among other deposition techniques.
  • For example, the dielectric material may be deposited by a High Aspect Ratio Process (HARP). In one embodiment the HARP includes using an O3/tetraethoxy silane (TEOS) based sub-atmospheric chemical vapor deposition (SACVD) trench fill process like the ones described in commonly assigned U.S. patent application Ser. No. 10/247,672, filed on Sep. 19, 2002, entitled “METHOD USING TEOS RAMP-UP DURING TEOS/OZONE CVD FOR IMPROVED GAP FILL,” and/or U.S. patent application Ser. No. 10/757,770, filed on Jan. 14, 2004, entitled “NITROUS OXIDE ANNEAL OF TEOS/OZONE CVD FOR IMPROVED GAPFILL,” and/or U.S. patent application Ser. No. 10/057,280, filed on Jan. 25, 2002, entitled “GAS DISTRIBUTION SHOWERHEAD,” and/or U.S. patent application Ser. No. 10/674,569, filed on Sep. 29, 2003, entitled “GAS DISTRIBUTION SHOWERHEAD,” the entire contents of each of which are herein incorporated by reference.
  • The HARP process may include varying the ratio of Si (e.g., TEOS) to O3, and the spacing between the substrate wafer and gas distribution plate (e.g., showerhead) over the course of the deposition of the gap materials. In the initial stages of a HARP deposition, the deposition rate may be lower by having a reduced concentration of Si relative to O3 (e.g., a lower TEOS to O3 ratio) and more spacing between the wafer a gas distribution plate (e.g., about 300 mils). The low deposition rate allows a more even trench fill with a reduced chance of forming voids due to, for example, bread-loafing of the fill material around the top corners of the trench.
  • In later stages of the HARP deposition after the trench is substantially filled, the deposition rate may be increased by increasing the concentration of Si relative to O3 (e.g. a higher TEOS to O3 ratio) and reducing the space between the wafer and gas distribution plate (e.g., spacing of about 100 mils), among other adjustments. This allows the more rapid deposition of the materials, which increases overall production efficiency by decreasing the deposition time. Thus, HARP depositions may include both a slower deposition rate stage when the slower rate is advantageous for reducing defects, and a higher deposition rate stage when the high rate results in shorter deposition times.
  • HARP depositions may be advantageous for gapfill depositions of trenches with high aspect ratios. The trench aspect ratio is the ratio of trench height (i.e., depth) to trench width, and trenches with high aspect ratios (e.g., about 6:1 or more) are more prone to develop voids during a gap fill process.
  • In some embodiments of the deposition of dielectric material 418, the pressure is maintained at sub-atmospheric pressures. In a specific embodiment, the pressure during the deposition process may range from about 200 torr to less than about 760 torr, although the pressure profile may remain within a much narrower range. In some embodiments the temperature is varied from about 400° C. to about 570° C., although the temperature may be maintained within a narrower range. Regulating the temperature and pressure of the chamber regulates a reaction between the silicon-containing process gas and the oxidizer-containing process gas. The WERR of the deposited material 418 may be about 6 or less prior to annealing.
  • Exemplary Annealing Method
  • FIG. 5 plots the substrate temperature over a period of time according to an example of one of the annealing methods of the present invention. The plot starts with the substrate at temperature T1, (e.g., about 400° C.) for a time t1 (e.g., about 5 minutes to about 30 minutes). This portion of the plot may represent the substrate sitting in an annealing chamber and coming to an initial equilibrium temperature T1.
  • After time t1, the substrate temperature my be raised to the first anneal temperature T3 (e.g., about 800° C.) at time t3. The time t3 depends on the rate of the temperature ramp up from T1 to T3 (e.g., about 4° C./min to about 15° C./min or more).
  • During the ramp up to temperature T3, an oxygen containing gas (e.g., in-situ generated steam) may be introduced to the substrate. In this example, the oxygen containing gas is introduced at time t2 (e.g., about 15 min after t1) when the substrate temperature is T2 (e.g., about 600° C.). In other examples, the oxygen containing gas may be introduced at when the substrate temperature reaches T3 (i.e., T2=T3 and t2 =t3).
  • The substrate, which includes trenches filled with dielectric materials, is then annealed at temperature T3 until time t4 (e.g., about 30 minutes after t3). During this time any seams or voids formed during the deposition of the dielectric material in the trenches are being healed (i.e., filled with oxide materials). However, because the annealing is done at lower temperature, the reaction of oxygen with the silicon substrate and other non-oxide layers is reduced.
  • At time t4, the oxygen containing gas is removed from contact with the substrate until time t5 (e.g., about 60 minutes after t4). The removal may be done by purging the annealing chamber holding the substrate with a dry purge gas (e.g., dry nitrogen (N2)).
  • At the end of the purge period at time t5, the temperature of the substrate may be ramped up again t6 temperature T4 (e.g., about 1050° C.) at time to (e.g., about 30 minutes after t5) when the higher temperature annealing is performed. The higher temperature annealing is done in an atmosphere substantially free of oxygen (e.g., atomic, molecular, or ionic species of oxygen) from the oxygen containing gas or any other gases used during the anneal. This higher temperature annealing acts to densify the dielectric material in the trenches (e.g., the dielectric has a WERR of about 1.2:1 to about 1:1). Following the higher temperature anneal, the temperature of the substrate may be decreased down to ambient (e.g., room temperature) and the annealed substrate may be used in further fabrication steps for making semiconductor devices.
  • FIG. 6 is a flowchart illustrating methods of annealing according to embodiments of the invention. The illustrated method 600 includes providing a substrate 602 that has one or more trenches that are filled with dielectric material (e.g. silicon dioxide (SiO2), etc.). The dielectric material may be formed in the trenches with a variety of deposition techniques such as chemical vapor deposition (CVD) or spin-on dielectric processes. For example, a mixture of tetraethoxysilane (TEOS) and ozone (O3) may be used to deposit silicon dioxide in the gaps using thermal CVD. In other examples, dielectric materials may be deposited in the gaps using plasma enhanced CVD, and high-density plasma CVD, among other deposition techniques.
  • The gap filled substrate may then undergo a first anneal 604 that includes heating the substrate to a temperature of, for example, about 400° C. to about 800° C. in the presence of an oxygen containing gas (or gas mixture) for a time of about 15 to 45 minutes (e.g., about 30 minutes). In one example, the oxygen containing gas is in-situ generated steam (ISSG) that is generated by the reaction of hydrogen (H2) and oxygen (O2) gas in a oxy-hydrogen (i.e., H2—O2) torch inside a substrate annealing chamber. Other examples of oxygen containing gas include oxygen (O2), pre-generated steam (H2O), nitric oxide (NO), and nitrous oxide (N2), among other gases. The oxygen containing gas may also include mixtures of different oxygen containing gases.
  • Other non-oxygen containing gases may be present with the oxygen containing gas (or gases). For example, hydrogen (H2), nitrogen (N2), and/or an inert gas such as helium (He) or argon (Ar) may be present with the oxygen containing gas. These gases may act as carrier gases that flow together with oxygen containing gas into the annealing chamber and over the substrate.
  • The anneal in the presence of the oxygen containing gas helps to heal seams in the gaps. For example, a weak seam may be present at the junction of the dielectric material and a sidewall of the trenches. The oxygen containing gas helps strengthen this seam even at anneal temperatures of 800° C. or lower. In addition, the anneal in the presence of the oxygen containing gas reduces the size and can even eliminate voids formed in the dielectric material.
  • Increasing the temperature of the anneal (e.g., greater than 800° C.) helps to drive out moisture and increase the density of the dielectric material. However, as noted above, the higher temperature annealing in the presence of oxygen containing gas causes the oxygen in the gas to react with oxidation prone materials that make up the substrate, such as silicon (Si), which is undesirable. Thus, to avoid substrate oxidation (and the oxidation of other semiconductor device components) a higher temperature anneal is performed in the absence of oxygen containing gases.
  • In some embodiments the oxygen containing gases may be purged at the conclusion of the first anneal 606 by flowing a non-oxygen contain gas (or mixture of gases) into the anneal chamber and over the substrate. In one example, the flow of the oxygen containing gas (or gases) may be shut off leaving the non-oxygen containing gases (e.g., dry nitrogen) as the only gases flowing over the substrate. The non-oxygen containing gases may flow through the annealing chamber for about 45 minutes to about 75 minutes (e.g., about 60 minutes) to purge the oxygen-containing gas.
  • The second anneal may be performed 608 following the purge 606. The second anneal may include ramping up the temperature of the substrate from about 800° C. to about 1100° C. (e.g., about 1050° C.) for about 15 minutes to about 45 minutes (e.g., about 30 minutes) in the presence of one or more non-oxygen containing gases. This second anneal is believed to increase the density of the dielectric material in the gaps to a density comparable to thermally grown dielectrics. However, this higher temperature anneal was performed in the absence of oxygen-containing gases (e.g., steam) that, at those temperatures, may oxidize materials (e.g., Si) in the gap walls and other areas of the substrate.
  • EXAMPLES
  • Referring now to FIG. 7 a scanning electron micrograph image of dielectric filled trenches in a substrate that has undergone a conventional high-temperature annealing process is shown. The dielectric deposition was done using O3/TEOS HARP process at a temperature of 540° C. and pressure of 600 torr. The filled substrate was annealed in a dry nitrogen atmosphere at 1050° C. for 30 minutes. A void in the dielectric material is seen in first trench from the left and two more voids are clearly seen in the dielectric material in the middle trench (third from the left).
  • FIG. 8 shows a scanning electron micrograph image of dielectric filled trenches in a substrate that has undergone an anneal process according to an embodiment of the present invention. The dielectric deposition conditions were the same as described in FIG. 7 above. The anneal process included annealing the trench filled substrate at 600° C. in an atmosphere containing steam (H2O) for 30 minutes, followed by a 1 hour nitrogen (N2) purge of the annealing gases. After the purge, the substrate is annealed in dry nitrogen at 1050° C. for 30 minutes. In contrast to the comparative example above, no weak seams or voids are discernable in the image of FIG. 8.
  • Having described several embodiments, it will be recognized by those of skill in the art that various modifications, alternative constructions, and equivalents may be used without departing from the spirit of the invention. Additionally, a number of well known processes and elements have not been described in order to avoid unnecessarily obscuring the present invention. Accordingly, the above description should not be taken as limiting the scope of the invention.
  • Also, the words “comprise,” “comprising,” “include,” “including,” and “includes” when used in this specification and in the following claims are intended to specify the presence of stated features, integers, components, or steps, but they do not preclude the presence or addition of one or more other features, integers, components, steps, or groups.

Claims (23)

1-22. (canceled)
23. An annealing system comprising:
a housing configured to form an annealing chamber;
a substrate holder configured to hold a substrate within said annealing chamber, wherein the substrate comprises a trench filled with a dielectric material;
a gas distribution system configured to introduce gases into said annealing chamber; and
a heating system configured to heat the substrate,
wherein the gas distribution system introduces a first anneal gas comprising an oxygen containing gas into the chamber while the heating system heats the substrate to a first temperature of about 200° C. to about 800° C.; and
the heating system heats the substrate to a second temperature of about 800° C. to about 1400° C. in an atmosphere lacking oxygen, after a purge of the oxygen containing gas from the chamber.
24. The annealing system of claim 23, wherein the substrate stays in the chamber as the heating system raises the temperature from the first temperature to the second temperature.
25. The annealing system of claim 23, wherein the heating system comprises a resistive heating element.
26. The annealing system of claim 23, wherein the heating system comprises a radiant heating lamp.
27. The annealing system of claim 23, wherein the annealing system comprises a rapid thermal processor (RTP).
28. The annealing system of claim 23, wherein the gas distribution system is configured to introduce a gas comprising water, nitric oxide, or nitrous oxide to the annealing chamber as the first anneal gas.
29. The annealing system of claim 23, wherein the gas distribution system is configured to introduce hydrogen and oxygen gas into the annealing chamber as the first anneal gas, and wherein the gases react to form in-situ generated steam.
30. The annealing system of claim 23, wherein the gas distribution system is configured to deliver a gas comprising nitrogen, hydrogen, ammonia, helium, neon, argon, krypton, or xenon to the annealing chamber as the heating system heats the substrate to the second temperature.
31. The annealing system of claim 23, wherein the heating system is programmable to change the substrate temperature from the first temperature to the second temperature at a predefined rate.
32. The annealing system of claim 31, wherein the predefined rate is about 4° C./min.
33. A multi-stage annealing system comprising:
an annealing chamber;
a substrate holder configured to hold one or more substrate wafers;
a gas distribution system configured to introduce one or more first anneal gases into the chamber during a first anneal stage, and one or more second anneal gases during a second anneal stage, wherein the first anneal gases comprise an oxygen containing gas and the second anneal gases do not include oxygen; and
a heating system configured to heat the annealing chamber to about 200° C. to about 800° C. during the first anneal stage and increase the temperature at a predefine rate to about 800° C. to about 1400° C. during the second anneal stage.
34. The system of claim 33, wherein the system further comprises a gas purging system configured to remove at least a portion of the first anneal gas before the start of the second anneal stage.
35. The system of claim 34, wherein the second anneal gas is introduced into the annealing chamber during the removal of the first anneal gas.
36. The system of claim 34, wherein the gas purging system removes the first anneal gas for about 60 minutes.
37. The system of claim 34, wherein the heating system heats the annealing chamber from about 600° C. to about 700° C. during the first anneal stage, and heats the annealing chamber from about 1000° C. to about 1100° C. during the second anneal stage.
38. An annealing system comprising:
an annealing chamber;
a substrate holder configured to hold at least one substrate wafer;
a gas distribution system configured to introduce gases into said annealing chamber; and
a heating system comprising a rapid thermal processor configured first to heat the annealing chamber to about 200° C. to about 800° C. in a first atmosphere comprising an oxygen containing gas, and then heat the chamber to about 800° C. to about 1400° C. in a second atmosphere that lacks oxygen.
39. The system of claim 38, wherein the rapid thermal processor comprises an array of lights positioned above the substrate wafer to supply radiant energy to heat the wafer, wherein each of the plurality of lights is mounted in a light pipe.
40. The system of claim 39, wherein the annealing chamber comprises a bottom reflecting surface to reflect a portion of the radiant energy from the lights onto a backside of the wafer.
41. The system of claim 39, wherein the array of lights are grouped into zones that are independently controlled.
42. The system of claim 39, wherein the array of lights are arranged in a honeycomb arrangement.
43. The system of claim 39, wherein the rapid thermal processor comprises a plurality of temperature probes facing a backside of the wafer.
44. The system of claim 38, wherein the gas distribution system comprises a gas inlet and gas outlet positioned opposite to the gas inlet, wherein the inlet and outlet direct a flow of the annealing gases in a direction substantially parallel to a top and bottom surface of the substrate wafer.
US11/423,651 2004-08-04 2006-06-12 Multi-step anneal of thin films for film densification and improved gap-fill Abandoned US20070000897A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/423,651 US20070000897A1 (en) 2004-08-04 2006-06-12 Multi-step anneal of thin films for film densification and improved gap-fill

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US59893904P 2004-08-04 2004-08-04
US10/990,002 US7642171B2 (en) 2004-08-04 2004-11-16 Multi-step anneal of thin films for film densification and improved gap-fill
US11/423,651 US20070000897A1 (en) 2004-08-04 2006-06-12 Multi-step anneal of thin films for film densification and improved gap-fill

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/990,002 Division US7642171B2 (en) 2004-08-04 2004-11-16 Multi-step anneal of thin films for film densification and improved gap-fill

Publications (1)

Publication Number Publication Date
US20070000897A1 true US20070000897A1 (en) 2007-01-04

Family

ID=35757978

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/990,002 Expired - Fee Related US7642171B2 (en) 2004-08-04 2004-11-16 Multi-step anneal of thin films for film densification and improved gap-fill
US11/423,651 Abandoned US20070000897A1 (en) 2004-08-04 2006-06-12 Multi-step anneal of thin films for film densification and improved gap-fill

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/990,002 Expired - Fee Related US7642171B2 (en) 2004-08-04 2004-11-16 Multi-step anneal of thin films for film densification and improved gap-fill

Country Status (1)

Country Link
US (2) US7642171B2 (en)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070207590A1 (en) * 2006-02-20 2007-09-06 Masahiro Kiyotoshi Manufacturing method of semiconductor device
US9076842B2 (en) 2013-08-27 2015-07-07 Globalfoundries Inc. Fin pitch scaling and active layer isolation
US9093496B2 (en) 2013-07-18 2015-07-28 Globalfoundries Inc. Process for faciltiating fin isolation schemes
CN104916522A (en) * 2014-03-10 2015-09-16 中芯国际集成电路制造(上海)有限公司 Method for removing residual particle formed in HASTI preparation process
US9224865B2 (en) 2013-07-18 2015-12-29 Globalfoundries Inc. FinFET with insulator under channel
US9236309B2 (en) 2014-05-21 2016-01-12 Globalfoundries Inc. Methods of fabricating semiconductor fin structures
US9349730B2 (en) 2013-07-18 2016-05-24 Globalfoundries Inc. Fin transformation process and isolation structures facilitating different Fin isolation schemes
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9716174B2 (en) 2013-07-18 2017-07-25 Globalfoundries Inc. Electrical isolation of FinFET active region by selective oxidation of sacrificial layer
US9881830B2 (en) 2015-01-06 2018-01-30 Globalfoundries Inc. Electrically insulated fin structure(s) with alternative channel materials and fabrication methods
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US20190178827A1 (en) * 2017-12-13 2019-06-13 Dräger Safety AG & Co. KGaA Heat tone sensor as well as measuring element for a heat tone sensor

Families Citing this family (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US20070212850A1 (en) * 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7456116B2 (en) * 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7141483B2 (en) * 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US7431967B2 (en) * 2002-09-19 2008-10-07 Applied Materials, Inc. Limited thermal budget formation of PMD layers
US20070212847A1 (en) * 2004-08-04 2007-09-13 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7321722B2 (en) * 2005-06-13 2008-01-22 United Microelectronics Corp. Method for thermal processing a semiconductor wafer
US7238586B2 (en) * 2005-07-21 2007-07-03 United Microelectronics Corp. Seamless trench fill method utilizing sub-atmospheric pressure chemical vapor deposition technique
US7884030B1 (en) * 2006-04-21 2011-02-08 Advanced Micro Devices, Inc. and Spansion LLC Gap-filling with uniform properties
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7670908B2 (en) * 2007-01-22 2010-03-02 Alpha & Omega Semiconductor, Ltd. Configuration of high-voltage semiconductor power device to achieve three dimensional charge coupling
KR100922989B1 (en) * 2007-04-25 2009-10-22 주식회사 하이닉스반도체 Flash memory device and method of manufacturing thereof
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7541297B2 (en) * 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US10260811B2 (en) * 2008-03-05 2019-04-16 Ivoclar Vivadent Ag Dental furnace
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2013516763A (en) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド Dielectric film growth using radicals generated using a flexible nitrogen / hydrogen ratio
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
KR101528832B1 (en) 2010-01-06 2015-06-15 어플라이드 머티어리얼스, 인코포레이티드 Manufacturing method of flowable dielectric layer
KR101837648B1 (en) 2010-01-07 2018-04-19 어플라이드 머티어리얼스, 인코포레이티드 In­situ ozone cure for radical­component cvd
KR101853802B1 (en) * 2010-03-05 2018-05-02 어플라이드 머티어리얼스, 인코포레이티드 Conformal layers by radical-component cvd
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
TWI416679B (en) 2010-12-06 2013-11-21 Ind Tech Res Inst Semiconductor structure and manufacturing method thereof
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
JP2012174819A (en) * 2011-02-21 2012-09-10 Sokudo Co Ltd Heat treatment apparatus and heat treatment method
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US8823132B2 (en) 2013-01-08 2014-09-02 United Microelectronics Corp. Two-portion shallow-trench isolation
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9245767B2 (en) 2013-09-12 2016-01-26 Applied Materials, Inc. Anneal module for semiconductor wafers
KR102335062B1 (en) * 2014-01-24 2021-12-02 도쿄엘렉트론가부시키가이샤 Method and system for performing post-etch annealing of a workpiece
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9299803B2 (en) * 2014-07-16 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for semiconductor device fabrication
US9558988B2 (en) 2015-05-15 2017-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method for filling the trenches of shallow trench isolation (STI) regions
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
US11628478B2 (en) 2019-05-29 2023-04-18 Applied Materials, Inc. Steam cleaning of CMP components
TW202110575A (en) 2019-05-29 2021-03-16 美商應用材料股份有限公司 Steam treatment stations for chemical mechanical polishing system
US11633833B2 (en) * 2019-05-29 2023-04-25 Applied Materials, Inc. Use of steam for pre-heating of CMP components
CN114400183A (en) * 2022-01-21 2022-04-26 长鑫存储技术有限公司 Rapid thermal treatment method and device

Citations (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2889704A (en) * 1954-11-04 1959-06-09 Sheffield Corp Amplifying apparatus for gauging product characteristics
US3046177A (en) * 1958-03-31 1962-07-24 C H Masland And Sons Method of applying polyurethane foam to the backs of carpets and equipment therefor
US3048888A (en) * 1960-02-05 1962-08-14 Nylonge Corp Apparatus for the production of artificial sponge
US3142714A (en) * 1961-12-20 1964-07-28 Nylonge Corp Method for the production of cleaning devices
US3166454A (en) * 1962-01-15 1965-01-19 Union Carbide Corp Method for producing corrugated polyurethane foam panels
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4732761A (en) * 1985-03-23 1988-03-22 Nippon Telegraph And Telephone Corporation Thin film forming apparatus and method
US4854263A (en) * 1987-08-14 1989-08-08 Applied Materials, Inc. Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US4892753A (en) * 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4989541A (en) * 1989-02-23 1991-02-05 Nobuo Mikoshiba Thin film forming apparatus
US5089442A (en) * 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
US5124014A (en) * 1990-02-27 1992-06-23 At&T Bell Laboratories Method of forming oxide layers by bias ECR plasma deposition
US5204288A (en) * 1988-11-10 1993-04-20 Applied Materials, Inc. Method for planarizing an integrated circuit structure using low melting inorganic material
US5314845A (en) * 1989-09-28 1994-05-24 Applied Materials, Inc. Two step process for forming void-free oxide layer over stepped surface of semiconductor wafer
US5439524A (en) * 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
US5492858A (en) * 1994-04-20 1996-02-20 Digital Equipment Corporation Shallow trench isolation process for high aspect ratio trenches
US5597439A (en) * 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
US5660472A (en) * 1994-12-19 1997-08-26 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
US5710079A (en) * 1996-05-24 1998-01-20 Lsi Logic Corporation Method and apparatus for forming dielectric films
US5728260A (en) * 1996-05-29 1998-03-17 Applied Materials, Inc. Low volume gas distribution assembly and method for a chemical downstream etch tool
US5728223A (en) * 1995-06-09 1998-03-17 Ebara Corporation Reactant gas ejector head and thin-film vapor deposition apparatus
US6013584A (en) * 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
US6024799A (en) * 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
US6043136A (en) * 1997-03-03 2000-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Trench filling method employing oxygen densified gap filling CVD silicon oxide layer
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US6079353A (en) * 1998-03-28 2000-06-27 Quester Technology, Inc. Chamber for reducing contamination during chemical vapor deposition
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6171901B1 (en) * 1999-07-16 2001-01-09 National Semiconductor Corporation Process for forming silicided capacitor utilizing oxidation barrier layer
US6180490B1 (en) * 1999-05-25 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Method of filling shallow trenches
US6184155B1 (en) * 2000-06-19 2001-02-06 Taiwan Semiconductor Manufacturing Company Method for forming a ultra-thin gate insulator layer
US6190973B1 (en) * 1998-12-18 2001-02-20 Zilog Inc. Method of fabricating a high quality thin oxide
US6194038B1 (en) * 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6197705B1 (en) * 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
US6203863B1 (en) * 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6217658B1 (en) * 1997-06-03 2001-04-17 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-dielectric constant HDP-CVD Processing
US6218268B1 (en) * 1998-05-05 2001-04-17 Applied Materials, Inc. Two-step borophosphosilicate glass deposition process and related devices and apparatus
US6232580B1 (en) * 1996-02-02 2001-05-15 Micron Technology, Inc. Apparatus for uniform gas and radiant heat dispersion for solid state fabrication processes
US6236105B1 (en) * 1996-10-09 2001-05-22 Nec Corporation Semiconductor device with improved planarity achieved through interlayer films with varying ozone concentrations
US6239002B1 (en) * 1998-10-19 2001-05-29 Taiwan Semiconductor Manufacturing Company Thermal oxidizing method for forming with attenuated surface sensitivity ozone-teos silicon oxide dielectric layer upon a thermally oxidized silicon substrate layer
US6239044B1 (en) * 1998-06-08 2001-05-29 Sony Corporation Apparatus for forming silicon oxide film and method of forming silicon oxide film
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6245689B1 (en) * 1996-09-05 2001-06-12 Advanced Micro Devices, Inc. Process for reliable ultrathin oxynitride formation
US6248397B1 (en) * 1997-11-04 2001-06-19 Pilkington Plc Method of depositing a silicon oxide coating on glass and the coated glass
US6248628B1 (en) * 1999-10-25 2001-06-19 Advanced Micro Devices Method of fabricating an ONO dielectric by nitridation for MNOS memory cells
US6267074B1 (en) * 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
US20020000195A1 (en) * 2000-04-10 2002-01-03 Won Bang Concentration profile on demand gas delivery system (individual divert delivery system)
US20020000196A1 (en) * 2000-06-24 2002-01-03 Park Young-Hoon Reactor for depositing thin film on wafer
US6337256B1 (en) * 1999-05-10 2002-01-08 Hyundai Electronics Industries Co., Ltd. Impurity ion segregation precluding layer, fabrication method thereof, isolation structure for semiconductor device using the impurity ion segregation precluding layer and fabricating method thereof
US20020004282A1 (en) * 2000-07-10 2002-01-10 Hong Soo-Jin Method of forming a trench isolation structure comprising annealing the oxidation barrier layer thereof in a furnace
US20020006729A1 (en) * 2000-03-31 2002-01-17 Fabrice Geiger Low thermal budget solution for PMD application using sacvd layer
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US20020007790A1 (en) * 2000-07-22 2002-01-24 Park Young-Hoon Atomic layer deposition (ALD) thin film deposition equipment having cleaning apparatus and cleaning method
US20020011215A1 (en) * 1997-12-12 2002-01-31 Goushu Tei Plasma treatment apparatus and method of manufacturing optical parts using the same
US6348421B1 (en) * 1998-02-06 2002-02-19 National Semiconductor Corporation Dielectric gap fill process that effectively reduces capacitance between narrow metal lines using HDP-CVD
US20020050605A1 (en) * 1996-08-26 2002-05-02 J.S. Jason Jenq Method to reduce contact distortion in devices having silicide contacts
US20020052128A1 (en) * 2000-10-31 2002-05-02 Hung-Tien Yu Deposition method for filling recesses in a substrate
US6512264B1 (en) * 1999-08-13 2003-01-28 Advanced Micro Devices, Inc. Flash memory having pre-interpoly dielectric treatment layer and method of forming
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US20030022523A1 (en) * 1998-06-30 2003-01-30 Fujitsu Limited Manufacture system for semiconductor device with thin gate insulating film
US20030054670A1 (en) * 2001-09-17 2003-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Composite microelectronic dielectric layer with inhibited crack susceptibility
US20030057432A1 (en) * 1998-12-09 2003-03-27 Mark I. Gardner Ultrathin high-k gate dielectric with favorable interface properties for improved semiconductor device performance
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6541401B1 (en) * 2000-07-31 2003-04-01 Applied Materials, Inc. Wafer pretreatment to decrease rate of silicon dioxide deposition on silicon nitride compared to silicon substrate
US20030073290A1 (en) * 2001-10-12 2003-04-17 Krishnaswamy Ramkumar Method for growing ultra thin nitrided oxide
US20030089314A1 (en) * 1999-03-18 2003-05-15 Nobuo Matsuki Plasma CVD film-forming device
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US20030104677A1 (en) * 1999-11-12 2003-06-05 Samsung Electronics Co., Ltd Method of fabricating a semiconductor device using trench isolation method including hydrogen annealing step
US20030107079A1 (en) * 1998-06-30 2003-06-12 Sharp Kabushiki Kaisha Semiconductor device
US20030111961A1 (en) * 2001-12-19 2003-06-19 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US6583069B1 (en) * 1999-12-13 2003-06-24 Chartered Semiconductor Manufacturing Co., Ltd. Method of silicon oxide and silicon glass films deposition
US20030138562A1 (en) * 2001-12-28 2003-07-24 Subramony Janardhanan Anand Methods for silicon oxide and oxynitride deposition using single wafer low pressure CVD
US20030140851A1 (en) * 2002-01-25 2003-07-31 Applied Materials, Inc. Gas distribution showerhead
US20040003873A1 (en) * 1999-03-05 2004-01-08 Applied Materials, Inc. Method and apparatus for annealing copper films
US20040018699A1 (en) * 2002-07-24 2004-01-29 International Business Machines Corporation SOI wafers with 30-100 A buried oxide (box) created by wafer bonding using 30-100 A thin oxide as bonding layer
US20040029398A1 (en) * 2002-08-07 2004-02-12 Kong-Soo Lee Methods of forming gate oxide films in integrated circuit devices using wet or dry oxidization processes with reduced chloride
US20040060514A1 (en) * 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
US20040083964A1 (en) * 2002-09-19 2004-05-06 Applied Materials, Inc. Method using TEOS ramp-up during TEOS/ozone CVD for improved gap-fill
US6734115B2 (en) * 1998-02-11 2004-05-11 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6733955B1 (en) * 1998-05-22 2004-05-11 Applied Materials Inc. Methods for forming self-planarized dielectric layer for shallow trench isolation
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6875558B1 (en) * 1999-08-16 2005-04-05 Applied Materials, Inc. Integration scheme using self-planarized dielectric layer for shallow trench isolation (STI)
US20060030165A1 (en) * 2004-08-04 2006-02-09 Applied Materials, Inc. A Delaware Corporation Multi-step anneal of thin films for film densification and improved gap-fill
US20060046427A1 (en) * 2004-08-27 2006-03-02 Applied Materials, Inc., A Delaware Corporation Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7674727B2 (en) * 2002-09-19 2010-03-09 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2861009A (en) 1954-02-19 1958-11-18 Rubner Rebecca Process of decorating a sheet
US3109703A (en) 1961-02-06 1963-11-05 Nylonge Corp Method for the production of cleaning devices
US4297162A (en) 1979-10-17 1981-10-27 Texas Instruments Incorporated Plasma etching using improved electrode
US4792378A (en) 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
US5244841A (en) 1988-11-10 1993-09-14 Applied Materials, Inc. Method for planarizing an integrated circuit structure using low melting inorganic material and flowing while depositing
US4962063A (en) 1988-11-10 1990-10-09 Applied Materials, Inc. Multistep planarized chemical vapor deposition process with the use of low melting inorganic material for flowing while depositing
JPH0680657B2 (en) 1989-12-27 1994-10-12 株式会社半導体プロセス研究所 Method for manufacturing semiconductor device
US5284805A (en) 1991-07-11 1994-02-08 Sematech, Inc. Rapid-switching rotating disk reactor
US5356722A (en) 1992-06-10 1994-10-18 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5567267A (en) 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5474955A (en) 1993-08-06 1995-12-12 Micron Technology, Inc. Method for optimizing thermal budgets in fabricating semconductors
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
JPH08153784A (en) 1994-11-28 1996-06-11 Nec Corp Manufacture of semiconductor device
JP3824675B2 (en) 1995-03-03 2006-09-20 有限会社デジタル・ウェーブ Crystal manufacturing equipment
DE19516669A1 (en) 1995-05-05 1996-11-07 Siemens Ag Process for the deposition of a silicon oxide layer
WO1997029156A1 (en) 1996-02-06 1997-08-14 E.I. Du Pont De Nemours And Company Treatment of deagglomerated particles with plasma-activated species
US6106678A (en) 1996-03-29 2000-08-22 Lam Research Corporation Method of high density plasma CVD gap-filling
US5976261A (en) 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
US5807785A (en) 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
JPH1092810A (en) 1996-09-10 1998-04-10 Mitsubishi Electric Corp Semiconductor device
US5994209A (en) 1996-11-13 1999-11-30 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US6136685A (en) 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US6276072B1 (en) 1997-07-10 2001-08-21 Applied Materials, Inc. Method and apparatus for heating and cooling substrates
KR100253079B1 (en) 1997-12-01 2000-04-15 윤종용 Semiconductor element trench isolation method
JP2975919B2 (en) 1998-02-27 1999-11-10 株式会社半導体プロセス研究所 Underlayer surface modification method and semiconductor device manufacturing method
US6149987A (en) 1998-04-07 2000-11-21 Applied Materials, Inc. Method for depositing low dielectric constant oxide films
US5980686A (en) 1998-04-15 1999-11-09 Applied Komatsu Technology, Inc. System and method for gas distribution in a dry etch process
US6106663A (en) 1998-06-19 2000-08-22 Lam Research Corporation Semiconductor process chamber electrode
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
JP2000290777A (en) 1999-04-07 2000-10-17 Tokyo Electron Ltd Gas treating device, buffle member, and gas treating method
US6150209A (en) 1999-04-23 2000-11-21 Taiwan Semiconductor Manufacturing Company Leakage current reduction of a tantalum oxide layer via a nitrous oxide high density annealing procedure
US6475284B1 (en) 1999-09-20 2002-11-05 Moore Epitaxial, Inc. Gas dispersion head
US6331494B1 (en) 1999-12-30 2001-12-18 Novellus Systems, Inc. Deposition of low dielectric constant thin film without use of an oxidizer
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6500771B1 (en) 2000-01-31 2002-12-31 Chartered Semiconductor Manufacturing Ltd. Method of high-density plasma boron-containing silicate glass film deposition
US6444039B1 (en) 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6302965B1 (en) 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
US6468853B1 (en) 2000-08-18 2002-10-22 Chartered Semiconductor Manufacturing Ltd. Method of fabricating a shallow trench isolation structure with reduced local oxide recess near corner
US6489254B1 (en) 2000-08-29 2002-12-03 Atmel Corporation Method of forming pre-metal dielectric film on a semiconductor substrate including first layer of undoped oxide of high ozone:TEOS volume ratio and second layer of low ozone doped BPSG
US6479405B2 (en) 2000-10-12 2002-11-12 Samsung Electronics Co., Ltd. Method of forming silicon oxide layer in semiconductor manufacturing process using spin-on glass composition and isolation method using the same method
US7270886B2 (en) * 2000-10-12 2007-09-18 Samsung Electronics Co., Ltd. Spin-on glass composition and method of forming silicon oxide layer in semiconductor manufacturing process using the same
US6602792B2 (en) * 2001-08-02 2003-08-05 Macronix International Co., Ltd. Method for reducing stress of sidewall oxide layer of shallow trench isolation
KR100505419B1 (en) * 2003-04-23 2005-08-04 주식회사 하이닉스반도체 Method for manufacturing isolation layer in semiconductor device
US6946358B2 (en) * 2003-05-30 2005-09-20 International Business Machines Corporation Method of fabricating shallow trench isolation by ultra-thin SIMOX processing

Patent Citations (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2889704A (en) * 1954-11-04 1959-06-09 Sheffield Corp Amplifying apparatus for gauging product characteristics
US3046177A (en) * 1958-03-31 1962-07-24 C H Masland And Sons Method of applying polyurethane foam to the backs of carpets and equipment therefor
US3048888A (en) * 1960-02-05 1962-08-14 Nylonge Corp Apparatus for the production of artificial sponge
US3142714A (en) * 1961-12-20 1964-07-28 Nylonge Corp Method for the production of cleaning devices
US3166454A (en) * 1962-01-15 1965-01-19 Union Carbide Corp Method for producing corrugated polyurethane foam panels
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4732761A (en) * 1985-03-23 1988-03-22 Nippon Telegraph And Telephone Corporation Thin film forming apparatus and method
US4892753A (en) * 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4854263A (en) * 1987-08-14 1989-08-08 Applied Materials, Inc. Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US5204288A (en) * 1988-11-10 1993-04-20 Applied Materials, Inc. Method for planarizing an integrated circuit structure using low melting inorganic material
US4989541A (en) * 1989-02-23 1991-02-05 Nobuo Mikoshiba Thin film forming apparatus
US5314845A (en) * 1989-09-28 1994-05-24 Applied Materials, Inc. Two step process for forming void-free oxide layer over stepped surface of semiconductor wafer
US5124014A (en) * 1990-02-27 1992-06-23 At&T Bell Laboratories Method of forming oxide layers by bias ECR plasma deposition
US5089442A (en) * 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
US5439524A (en) * 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
US5492858A (en) * 1994-04-20 1996-02-20 Digital Equipment Corporation Shallow trench isolation process for high aspect ratio trenches
US5597439A (en) * 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
US5660472A (en) * 1994-12-19 1997-08-26 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
US5728223A (en) * 1995-06-09 1998-03-17 Ebara Corporation Reactant gas ejector head and thin-film vapor deposition apparatus
US6232580B1 (en) * 1996-02-02 2001-05-15 Micron Technology, Inc. Apparatus for uniform gas and radiant heat dispersion for solid state fabrication processes
US5710079A (en) * 1996-05-24 1998-01-20 Lsi Logic Corporation Method and apparatus for forming dielectric films
US6030460A (en) * 1996-05-24 2000-02-29 Lsi Logic Corporation Method and apparatus for forming dielectric films
US5789322A (en) * 1996-05-29 1998-08-04 Applied Materials, Inc. Low volume gas distribution assembly for a chemical downstream etch tool
US5728260A (en) * 1996-05-29 1998-03-17 Applied Materials, Inc. Low volume gas distribution assembly and method for a chemical downstream etch tool
US20020050605A1 (en) * 1996-08-26 2002-05-02 J.S. Jason Jenq Method to reduce contact distortion in devices having silicide contacts
US6245689B1 (en) * 1996-09-05 2001-06-12 Advanced Micro Devices, Inc. Process for reliable ultrathin oxynitride formation
US6236105B1 (en) * 1996-10-09 2001-05-22 Nec Corporation Semiconductor device with improved planarity achieved through interlayer films with varying ozone concentrations
US6013584A (en) * 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
US6267074B1 (en) * 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
US6043136A (en) * 1997-03-03 2000-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Trench filling method employing oxygen densified gap filling CVD silicon oxide layer
US6217658B1 (en) * 1997-06-03 2001-04-17 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-dielectric constant HDP-CVD Processing
US6024799A (en) * 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
US6248397B1 (en) * 1997-11-04 2001-06-19 Pilkington Plc Method of depositing a silicon oxide coating on glass and the coated glass
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US20020011215A1 (en) * 1997-12-12 2002-01-31 Goushu Tei Plasma treatment apparatus and method of manufacturing optical parts using the same
US6348421B1 (en) * 1998-02-06 2002-02-19 National Semiconductor Corporation Dielectric gap fill process that effectively reduces capacitance between narrow metal lines using HDP-CVD
US6734115B2 (en) * 1998-02-11 2004-05-11 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US6194038B1 (en) * 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6527910B2 (en) * 1998-03-20 2003-03-04 Applied Materials, Inc. Staggered in-situ deposition and etching of a dielectric layer for HDP-CVD
US6079353A (en) * 1998-03-28 2000-06-27 Quester Technology, Inc. Chamber for reducing contamination during chemical vapor deposition
US6218268B1 (en) * 1998-05-05 2001-04-17 Applied Materials, Inc. Two-step borophosphosilicate glass deposition process and related devices and apparatus
US6733955B1 (en) * 1998-05-22 2004-05-11 Applied Materials Inc. Methods for forming self-planarized dielectric layer for shallow trench isolation
US6239044B1 (en) * 1998-06-08 2001-05-29 Sony Corporation Apparatus for forming silicon oxide film and method of forming silicon oxide film
US20030022523A1 (en) * 1998-06-30 2003-01-30 Fujitsu Limited Manufacture system for semiconductor device with thin gate insulating film
US20030107079A1 (en) * 1998-06-30 2003-06-12 Sharp Kabushiki Kaisha Semiconductor device
US6239002B1 (en) * 1998-10-19 2001-05-29 Taiwan Semiconductor Manufacturing Company Thermal oxidizing method for forming with attenuated surface sensitivity ozone-teos silicon oxide dielectric layer upon a thermally oxidized silicon substrate layer
US6203863B1 (en) * 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
US20030057432A1 (en) * 1998-12-09 2003-03-27 Mark I. Gardner Ultrathin high-k gate dielectric with favorable interface properties for improved semiconductor device performance
US6190973B1 (en) * 1998-12-18 2001-02-20 Zilog Inc. Method of fabricating a high quality thin oxide
US20040003873A1 (en) * 1999-03-05 2004-01-08 Applied Materials, Inc. Method and apparatus for annealing copper films
US6197705B1 (en) * 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
US20030089314A1 (en) * 1999-03-18 2003-05-15 Nobuo Matsuki Plasma CVD film-forming device
US6337256B1 (en) * 1999-05-10 2002-01-08 Hyundai Electronics Industries Co., Ltd. Impurity ion segregation precluding layer, fabrication method thereof, isolation structure for semiconductor device using the impurity ion segregation precluding layer and fabricating method thereof
US6180490B1 (en) * 1999-05-25 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Method of filling shallow trenches
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6171901B1 (en) * 1999-07-16 2001-01-09 National Semiconductor Corporation Process for forming silicided capacitor utilizing oxidation barrier layer
US6512264B1 (en) * 1999-08-13 2003-01-28 Advanced Micro Devices, Inc. Flash memory having pre-interpoly dielectric treatment layer and method of forming
US20030071304A1 (en) * 1999-08-13 2003-04-17 Ogle Robert B. Method of forming flash memory having pre-interpoly dielectric treatment layer
US6875558B1 (en) * 1999-08-16 2005-04-05 Applied Materials, Inc. Integration scheme using self-planarized dielectric layer for shallow trench isolation (STI)
US6248628B1 (en) * 1999-10-25 2001-06-19 Advanced Micro Devices Method of fabricating an ONO dielectric by nitridation for MNOS memory cells
US20030104677A1 (en) * 1999-11-12 2003-06-05 Samsung Electronics Co., Ltd Method of fabricating a semiconductor device using trench isolation method including hydrogen annealing step
US6583069B1 (en) * 1999-12-13 2003-06-24 Chartered Semiconductor Manufacturing Co., Ltd. Method of silicon oxide and silicon glass films deposition
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US20020006729A1 (en) * 2000-03-31 2002-01-17 Fabrice Geiger Low thermal budget solution for PMD application using sacvd layer
US20020000195A1 (en) * 2000-04-10 2002-01-03 Won Bang Concentration profile on demand gas delivery system (individual divert delivery system)
US6184155B1 (en) * 2000-06-19 2001-02-06 Taiwan Semiconductor Manufacturing Company Method for forming a ultra-thin gate insulator layer
US20020000196A1 (en) * 2000-06-24 2002-01-03 Park Young-Hoon Reactor for depositing thin film on wafer
US20020004282A1 (en) * 2000-07-10 2002-01-10 Hong Soo-Jin Method of forming a trench isolation structure comprising annealing the oxidation barrier layer thereof in a furnace
US20020007790A1 (en) * 2000-07-22 2002-01-24 Park Young-Hoon Atomic layer deposition (ALD) thin film deposition equipment having cleaning apparatus and cleaning method
US6541401B1 (en) * 2000-07-31 2003-04-01 Applied Materials, Inc. Wafer pretreatment to decrease rate of silicon dioxide deposition on silicon nitride compared to silicon substrate
US20020052128A1 (en) * 2000-10-31 2002-05-02 Hung-Tien Yu Deposition method for filling recesses in a substrate
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US20030054670A1 (en) * 2001-09-17 2003-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Composite microelectronic dielectric layer with inhibited crack susceptibility
US20030073290A1 (en) * 2001-10-12 2003-04-17 Krishnaswamy Ramkumar Method for growing ultra thin nitrided oxide
US6586886B1 (en) * 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US20030111961A1 (en) * 2001-12-19 2003-06-19 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US6677712B2 (en) * 2001-12-19 2004-01-13 Applied Materials Inc. Gas distribution plate electrode for a plasma receptor
US20030138562A1 (en) * 2001-12-28 2003-07-24 Subramony Janardhanan Anand Methods for silicon oxide and oxynitride deposition using single wafer low pressure CVD
US6713127B2 (en) * 2001-12-28 2004-03-30 Applied Materials, Inc. Methods for silicon oxide and oxynitride deposition using single wafer low pressure CVD
US20040060514A1 (en) * 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
US20030140851A1 (en) * 2002-01-25 2003-07-31 Applied Materials, Inc. Gas distribution showerhead
US20040018699A1 (en) * 2002-07-24 2004-01-29 International Business Machines Corporation SOI wafers with 30-100 A buried oxide (box) created by wafer bonding using 30-100 A thin oxide as bonding layer
US20040029398A1 (en) * 2002-08-07 2004-02-12 Kong-Soo Lee Methods of forming gate oxide films in integrated circuit devices using wet or dry oxidization processes with reduced chloride
US20060148273A1 (en) * 2002-09-19 2006-07-06 Applied Materials, Inc. Method using TEOS ramp-up during TEOS/ozone CVD for improved gap-fill
US20050064730A1 (en) * 2002-09-19 2005-03-24 Applied Materials, Inc. Method using TEOS ramp-up during TEOS/ozone CVD for improved gap-fill
US6905940B2 (en) * 2002-09-19 2005-06-14 Applied Materials, Inc. Method using TEOS ramp-up during TEOS/ozone CVD for improved gap-fill
US7037859B2 (en) * 2002-09-19 2006-05-02 Applied Material Inc. Method using TEOS ramp-up during TEOS/ozone CVD for improved gap-fill
US20040083964A1 (en) * 2002-09-19 2004-05-06 Applied Materials, Inc. Method using TEOS ramp-up during TEOS/ozone CVD for improved gap-fill
US7208425B2 (en) * 2002-09-19 2007-04-24 Applied Materials, Inc. Method using TEOS ramp-up during TEOS/ozone CVD for improved gap-fill
US7674727B2 (en) * 2002-09-19 2010-03-09 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US20060030165A1 (en) * 2004-08-04 2006-02-09 Applied Materials, Inc. A Delaware Corporation Multi-step anneal of thin films for film densification and improved gap-fill
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US20060046427A1 (en) * 2004-08-27 2006-03-02 Applied Materials, Inc., A Delaware Corporation Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070207590A1 (en) * 2006-02-20 2007-09-06 Masahiro Kiyotoshi Manufacturing method of semiconductor device
US9716174B2 (en) 2013-07-18 2017-07-25 Globalfoundries Inc. Electrical isolation of FinFET active region by selective oxidation of sacrificial layer
US9673222B2 (en) 2013-07-18 2017-06-06 Globalfoundries Inc. Fin isolation structures facilitating different fin isolation schemes
US9224865B2 (en) 2013-07-18 2015-12-29 Globalfoundries Inc. FinFET with insulator under channel
US9093496B2 (en) 2013-07-18 2015-07-28 Globalfoundries Inc. Process for faciltiating fin isolation schemes
US9349730B2 (en) 2013-07-18 2016-05-24 Globalfoundries Inc. Fin transformation process and isolation structures facilitating different Fin isolation schemes
US9076842B2 (en) 2013-08-27 2015-07-07 Globalfoundries Inc. Fin pitch scaling and active layer isolation
CN104916522A (en) * 2014-03-10 2015-09-16 中芯国际集成电路制造(上海)有限公司 Method for removing residual particle formed in HASTI preparation process
US9236309B2 (en) 2014-05-21 2016-01-12 Globalfoundries Inc. Methods of fabricating semiconductor fin structures
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9881830B2 (en) 2015-01-06 2018-01-30 Globalfoundries Inc. Electrically insulated fin structure(s) with alternative channel materials and fabrication methods
US10163677B2 (en) 2015-01-06 2018-12-25 Globalfoundries Inc. Electrically insulated fin structure(s) with alternative channel materials and fabrication methods
US20190178827A1 (en) * 2017-12-13 2019-06-13 Dräger Safety AG & Co. KGaA Heat tone sensor as well as measuring element for a heat tone sensor
US11733193B2 (en) * 2017-12-13 2023-08-22 Dräger Safety AG & Co. KGaA Heat tone sensor as well as measuring element for a heat tone sensor

Also Published As

Publication number Publication date
US20060030165A1 (en) 2006-02-09
US7642171B2 (en) 2010-01-05

Similar Documents

Publication Publication Date Title
US7642171B2 (en) Multi-step anneal of thin films for film densification and improved gap-fill
US20070212847A1 (en) Multi-step anneal of thin films for film densification and improved gap-fill
KR101028441B1 (en) Method of improving oxide growth rate of selective oxidation processes
US6897149B2 (en) Method of producing electronic device material
US6861334B2 (en) Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
JP4285184B2 (en) Film forming method and film forming apparatus
US7105055B2 (en) In situ growth of oxide and silicon layers
US7977246B2 (en) Thermal annealing method for preventing defects in doped silicon oxide surfaces during exposure to atmosphere
JP5490753B2 (en) Trench filling method and film forming system
KR101250057B1 (en) Method for modifying insulating film with plasma
JP4944228B2 (en) Substrate processing method and substrate processing apparatus
US20070087522A1 (en) Dielectric Gap Fill With Oxide Selectively Deposited Over Silicon Liner
JP2002517089A5 (en)
KR20080066614A (en) Oxide etch with nh3-nf3 chemistry
JP2009033179A (en) Methods for low-temperature oxidation of semiconductor device
KR20050084446A (en) Method and apparatus for planarization of a material by growing a sacrificial film with customized thickness profile
JP2004349546A (en) Oxide film forming method, oxide film forming apparatus, and electronic device material
JP3578155B2 (en) Oxidation method of the object
KR100477810B1 (en) Fabricating method of semiconductor device adopting nf3 high density plasma oxide layer
JP2004111747A (en) Method of processing semiconductor substrate, and semiconductor device
CN101416296A (en) Multi-step anneal of thin films for film densification and improved gap-fill
TWI837174B (en) Methods for depositing dielectric material
KR20230060463A (en) Film forming method and film forming system
JP2006237065A (en) Method of manufacturing semiconductor device
KR20060030690A (en) Non-volatile memory device and method of forming the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:INGLE, NITIN K.;YUAN, ZHENG;BANTHIA, VIKASH;AND OTHERS;REEL/FRAME:017808/0770;SIGNING DATES FROM 20041101 TO 20041115

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION