US20060275972A1 - Method of fabricating CMOS inverters and integrated circuits utilizing strained surface channel MOSFETs - Google Patents

Method of fabricating CMOS inverters and integrated circuits utilizing strained surface channel MOSFETs Download PDF

Info

Publication number
US20060275972A1
US20060275972A1 US11/431,186 US43118606A US2006275972A1 US 20060275972 A1 US20060275972 A1 US 20060275972A1 US 43118606 A US43118606 A US 43118606A US 2006275972 A1 US2006275972 A1 US 2006275972A1
Authority
US
United States
Prior art keywords
channel
inverter
silicon
strained
strained silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/431,186
Inventor
Eugene Fitzgerald
Nicole Gerrish
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Amber Wave Systems Inc
Original Assignee
Amber Wave Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=26941292&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=US20060275972(A1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Amber Wave Systems Inc filed Critical Amber Wave Systems Inc
Priority to US11/431,186 priority Critical patent/US20060275972A1/en
Publication of US20060275972A1 publication Critical patent/US20060275972A1/en
Assigned to AMBERWAVE SYSTEMS CORPORATION reassignment AMBERWAVE SYSTEMS CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: FITZGERALD, EUGENE A., GERRISH, NICOLE
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility

Definitions

  • the invention relates to the field of strained silicon surface channel MOSFETs, and in particular to using them in CMOS inverters and other integrated circuits.
  • CMOS devices have enabled integrated circuit technology to experience continuous performance enhancement. Since the 1970's, gate lengths have decreased by two orders of magnitude, resulting in a 30% improvement in the price/performance per year. Historically, these gains have been dictated by the advancement of optical photolithography tools and photoresist materials. As CMOS device size progresses deeper and deeper into the sub-micron regime, the associated cost of these new tools and materials can be prohibitive. A state of the art CMOS facility can cost more than 1-2 billion dollars, a daunting figure considering that the lithography equipment is generally only useful for two scaling generations.
  • GaAs/AlGaAs are usually fabricated with Schottky gates. Schottky diodes have leakage currents that are orders of magnitudes higher than MOS structures. The excess leakage causes an increase in the off-state power consumption that is unacceptable for highly functional circuits.
  • Schottky diodes also lack the self-aligned gate technology enjoyed by MOS structures and thus typically have larger gate-to-source and gate-to-drain resistances.
  • GaAs processing does not enjoy the same economies of scale that have caused silicon technologies to thrive. As a result, wide-scale production of GaAs circuits would be extremely costly to implement.
  • the most popular method to increase device speed at a constant gate length is to fabricate devices on silicon-on-insulator (SOI) substrates.
  • SOI silicon-on-insulator
  • a buried oxide layer prevents the channel from fully depleting.
  • Partially depleted devices offer improvements in the junction area capacitance, the device body effect, and the gate-to-body coupling. In the best-case scenario, these device improvements will result in an 18% enhancement in circuit speed. However, this improved performance comes at a cost.
  • the partially depleted floating body causes an uncontrolled lowering of the threshold voltage, known as the floating body effect. This phenomenon increases the off-state leakage of the transistor and thus offsets some of the potential performance advantages. Circuit designers must extract enhancements through design changes at the architectural level.
  • CMOS inverter the performance of a silicon CMOS inverter by increasing the electron and hole mobilities is enhanced.
  • This enhancement is achieved through surface channel, strained-silicon epitaxy on an engineered SiGe/Si substrate.
  • Both the n-type and p-type channels (NMOS and PMOS) are surface channel, enhancement mode devices.
  • the technique allows inverter performance to be improved at a constant gate length without adding complexity to circuit fabrication or design.
  • Mobility enhancement can be incorporated into a MOS device through the structure of the invention.
  • a compositionally graded buffer layer is used to accommodate the lattice mismatch between a relaxed SiGe film and a Si substrate.
  • the graded buffer minimizes the number of dislocations reaching the surface and thus provides a method for growing high-quality relaxed SiGe films on Si. Subsequently, a silicon film below the critical thickness can be grown on the SiGe film. Since the lattice constant of SiGe is larger than that of Si, the Si film is under biaxial tension and thus the carriers exhibit strain-enhanced mobilities.
  • the frequency of operation can be increased while keeping the power constant.
  • the propagation delay of an inverter is inversely proportional to the carrier mobility.
  • the power consumption can be decreased at a constant frequency of operation.
  • the gate voltage can be reduced by an inverse fraction while maintaining the same inverter speed. Since power is proportional to the square of the gate voltage, this reduction results in a significant decrease in the power consumption. This situation is most useful for portable applications that operate off of a limited power supply.
  • strained silicon devices can be fabricated with standard silicon CMOS processing methods and tools. This compatibility allows for performance enhancement with no additional capital expenditures.
  • the technology is also scalable and thus can be implemented in both long and short channel devices.
  • the physical mechanism behind short channel mobility enhancement is not completely understood; however it has been witnessed and thus can be used to improve device performance.
  • strained silicon can be incorporated with SOI technology in order to provide ultra-high speed/low power circuits.
  • strained silicon technology is similar to bulk silicon technology, it is not exclusive to other enhancement methods. As a result, strained silicon is an excellent technique for CMOS performance improvement.
  • FIG. 1 is a cross-section of the substrate structure required to produce a strained silicon surface channel MOSFET
  • FIG. 3 is a table that displays surface roughness data for various relaxed SiGe buffers on Si substrates
  • FIG. 4 is a schematic diagram of a CMOS inverter
  • FIGS. 5A and 5B are schematic diagrams of the structures of a strained silicon MOSFET 500 and a strained silicon MOSFET 550 on SOI, respectively;
  • FIG. 6 is a table showing electron and hole mobility enhancements measured for strained silicon on 20% and 30% SiGe;
  • FIG. 7 is a table showing inverter characteristics for 1.2 ⁇ m CMOS fabricated in both bulk and strained silicon when the interconnect capacitance is dominant;
  • FIG. 8 is a table showing additional scenarios for strained silicon inverters when the interconnect capacitance is dominant
  • FIG. 9 is a table showing inverter characteristics for 1.2 ⁇ m CMOS fabricated in both bulk and strained silicon when the device capacitance is dominant;
  • FIG. 10 is a graph showing NMOSFET transconductance versus channel length for various carrier mobilities
  • FIG. 11 is a graph showing the propagation delay of a 0.25 ⁇ m CMOS inverter for a range of electron and hole mobility enhancements
  • FIGS. 12A-12E show a fabrication process sequence for strained silicon on SOI substrates.
  • FIGS. 13A-13C are circuit schematics for a NOR gate, a NAND gate and a XOR gate, respectively.
  • FIG. 1 is a cross-section of the substrate structure 100 required to produce a strained silicon surface channel MOSFET.
  • the larger lattice constant, relaxed SiGe layer applies biaxial strain to the silicon surface layer.
  • a compositionally graded buffer layer 102 is used to accommodate the lattice mismatch between a relaxed SiGe film 106 and a Si substrate 104 .
  • the graded buffer minimizes the number of dislocations reaching the surface and thus provides a method for growing high-quality relaxed SiGe films on Si.
  • a silicon film 108 below the critical thickness can be grown on the SiGe film.
  • the Si film Since the lattice constant of the strain-inducing material SiGe is larger than that of Si, the Si film is under biaxial tension and thus the carriers exhibit strain-enhanced mobilities. Thereafter, a layer 110 of SiO 2 and a gate 112 are provided thereon.
  • the silicon channel is placed under biaxial tension by underlying, larger lattice constant SiGe layer.
  • This strain causes the conduction band to split into two-fold and four-fold degenerate bands.
  • the two-fold band is preferentially occupied since it sits at a lower energy.
  • x is equal to the Ge content in the SiGe layer.
  • the equation shows that the band splitting increases as the Ge content increases.
  • This splitting causes mobility enhancement by two mechanisms. First, the two-fold band has a lower effective mass, and thus higher mobility than the four-fold band. Therefore, as the high mobility band becomes energetically preferred, the average carrier mobility increases. Second, since the carriers are occupying two orbitals instead of six, inter-valley phonon scattering is reduced, further enhancing the carrier mobility.
  • the electron enhancement at high fields is approximately 1.75 while the hole enhancement is essentially negligible.
  • the electron enhancement saturates. This saturation occurs because the conduction band splitting is large enough that almost all of the electrons occupy the high mobility band. Hole enhancement saturation has not yet been observed; therefore, raising the Ge concentration to 30% increases hole mobility by a factor of 1.4. Hole enhancement saturation is predicted to occur at a Ge concentration of about 40%.
  • CMOS enhancement can be achieved using surface channel devices for both NMOS and PMOS. This design allows for high performance without the complications of dual channel operation and without adding complexity to circuit fabrication.
  • FIG. 3 is a table that displays surface roughness data for various relaxed SiGe buffers on Si substrates. It will be appreciated that the as-grown crosshatch pattern for relaxed S 0.8 Ge 0.2 buffers creates a typical roughness of approximately 7.9 nm. This average roughness increases as the Ge content in the relaxed buffer is increased. Thus, for any relaxed SiGe layer that is relaxed through dislocation introduction during growth, the surface roughness is unacceptable for state-of-the-art fabrication facilities. After the relaxed SiGe is planarized, the average roughness is less than 1 nm (typically 0.57 nm), and after a 1.5 ⁇ m device layer deposition, the average roughness is 0.77 nm. Therefore, after the complete structure is fabricated, there is over an order of magnitude reduction in the surface roughness. The resulting high quality material is well suited for state of the art CMOS processing.
  • FIG. 4 is a schematic diagram of a CMOS inverter 400 .
  • a PMOS transistor 402 turns on, charges up a load capacitance 404 , and the output goes to a gate drive 406 , V DD .
  • an NMOS transistor 408 turns on, discharges the load capacitance, and the output node goes to ground 410 .
  • the load capacitance denoted as CL, represents a lumped model of all of the capacitances between V out and ground.
  • the performance is usually quantified by two variables: the propagation delay, t p , and the power consumed, P.
  • t pHL the propagation delay term associated with the NMOS discharging current
  • t pLH a term associated with the PMOS charging current
  • FIGS. 5A and 5B are schematic diagrams of the structures of a strained silicon MOSFET 500 and a strained silicon MOSFET 550 on SOI, respectively.
  • the structure in FIG. 5A contains the elements shown in the substrate structure of FIG. 1 along with basic elements of the MOSFET device structure, i.e. source 513 and drain 514 regions, gate oxide 510 and gate 512 layers, and device isolation regions 516 .
  • FIG. 5B shows the same device elements on a SiGe-on-insulator (SGOI) substrate.
  • SGOI SiGe-on-insulator
  • a buried oxide layer 518 separates the relaxed SiGe layer 506 from the underlying Si substrate 504 .
  • the strained Si layer 508 serves as the carrier channel, thus enabling improved device performance over their bulk Si counterparts.
  • FIGS. 2A and 2B demonstrate that this enhancement differs for electrons and holes and also that it varies with the Ge fraction in the underlying SiGe layer.
  • FIG. 6 is a table showing electron and hole mobility enhancements measured for strained silicon on 20% and 30% SiGe. These enhancements are incorporated into 1.2 ⁇ m CMOS models in order to quantify the effects on inverter performance.
  • the mobility enhancement can be capitalized upon in two primary ways: 1) increase the inverter speed at a constant power and 2) reduce the inverter power at a constant speed. These two optimization methods are investigated for both a wiring capacitance dominated case and a device capacitance dominated case.
  • the interconnect or wiring capacitance is often dominant over the device capacitance.
  • standard silicon PMOS devices are made two to three times wider than their NMOS counterparts. This factor comes from the ratio of the electron and hole mobilities in bulk silicon. If the devices were of equal width, the low hole mobility would cause the PMOS device to have an average current two to three times lower than the NMOS device. Equation 2 shows that this low current would result in a high t pLH and thus cause a large gate delay. Increasing the width of the PMOS device equates the high-to-low and low-to-high propagation delays and thus creates a symmetrical, high-speed inverter.
  • FIG. 7 is a table showing inverter characteristics for 1.2 ⁇ m CMOS fabricated in both bulk and strained silicon when the interconnect capacitance is dominant.
  • the strained silicon inverters are optimized to provide high speed at constant power and low power at constant speed.
  • the propagation delay for the bulk silicon inverter is 204 psec and the consumed power is 3.93 mW.
  • strained silicon provides a good way to enhance the circuit speed. Assuming no change from the bulk silicon design, a strained silicon inverter on Si 0.8 Ge 0.2 results in a 15% speed increase at constant power. When the channel is on Si 0.7 Ge 0.3 , the speed enhancement improves to 29% ( FIG. 7 ).
  • the improvement in inverter speed expected with one generation of scaling is approximately 15% (assumes an 11% reduction in feature size).
  • the speed enhancement provided by a strained silicon inverter on 20% SiGe is equal to one scaling generation, while the speed enhancement provided by 30% SiGe is equivalent to two scaling generations.
  • V DD can reduce the power at a constant speed.
  • the power consumption is 27% lower than its bulk silicon counterpart.
  • the power is reduced by 44% from the bulk silicon value ( FIG. 7 ). This power reduction is important for portable computing applications such as laptops and handhelds.
  • Equation 4 shows that if C L is constant and t p is reduced, V DD must decrease to maintain the same inverter power. If the power consumption is not critical, the inverter frequency can be maximized by employing strained silicon devices at the same V DD as bulk Si devices. As described heretofore above, in a constant power scenario, the inverter speed is increased 15% for Si on Si 0.8 Ge 0.2 and 29% for Si on Si 0.7 Ge 0.3 . When V DD is held constant, this enhancement increases to 29% and 58%, for Si on S 0.8 Ge 0.2 and S 0.7 Ge 0.3 , respectively.
  • FIG. 8 is a table showing additional scenarios for strained silicon inverters on 20% and 30% SiGe when the interconnect capacitance is dominant. Parameters are given for 1) strained silicon inverters with the same V DD as comparable bulk silicon inverters 2) symmetrical strained silicon inverters-designed for high speed and 3) symmetrical strained silicon inverters designed for low power.
  • strained silicon surface channel CMOS
  • the noise margins represent the allowable variability in the high and low inputs to the inverter.
  • both the low and high noise margins are about 2.06 V.
  • the low noise margin, NM L is decreased to 1.65 V and 1.72 V, respectively. While the NM L is reduced, the associated NM H is increased. Therefore, if the high input is noisier than the low input, the asymmetric noise margins may be acceptable or even desired.
  • the PMOS device width must be increased to ⁇ n / ⁇ p times the NMOS device width. This translates to a 75% increase in PMOS width for Si 0.8 Ge 0.2 , and a 29% increase for Si 0.7 Ge 0.3 . If the circuit capacitance is dominated by interconnects, the increased device area will not cause a significant increase in CL. As a result, if the increased area is acceptable for the intended application, inverter performance can be further enhanced. In the constant power scenario, the speed can now be increased by 37% for Si 0.8 Ge 0.2 and by 39% for S 0.7 Ge 0.3 .
  • the device capacitance is dominant over the wiring capacitance in many analog applications.
  • the device capacitance includes the diffusion and gate capacitance of the inverter itself as well as all inverters connected to the gate output, known as the fan-out. Since the capacitance of a device depends on its area, PMOS upsizing results in an increase in C L . If inverter symmetry is not a prime concern, reducing the PMOS device size can increase the inverter speed. This PMOS downsizing has a negative effect on t pLH but has a positive effect on t pHL .
  • the optimum speed is achieved when the ratio between PMOS and NMOS widths is set to ⁇ square root over ( ⁇ n / ⁇ p ) ⁇ , where ⁇ n and ⁇ p represent the electron and hole mobilities, respectively.
  • the optimized design has a propagation delay as much as 5% lower than the symmetrical design.
  • the down side is that making t pLH and t pHL unbalanced reduces the low noise margin by approximately 15%. In most designs, this reduced NM L is still acceptable.
  • FIG. 9 is a table showing inverter characteristics for 1.2 ⁇ m CMOS fabricated in both bulk and strained silicon when the device capacitance is dominant.
  • the strained silicon inverters are optimized to provide high speed at constant power and low power at constant speed.
  • the electron mobility is a factor of 5.25 higher than the hole mobility.
  • the PMOS width is re-optimized to accommodate these mobilities, i.e., by using the ⁇ square root over ( ⁇ n / ⁇ p ) ⁇ optimization, the strained silicon PMOS device on Si 0.8 Ge 0.2 is over 30% wider than the bulk Si PMOS device.
  • strained silicon on Si 0.7 Ge 0.3 offers a significant performance enhancement at constant gate length for circuits designed to the ⁇ square root over ( ⁇ n / ⁇ p ) ⁇ optimization. Since the electron and hole mobilities are more balanced, the effect on the load capacitance is less substantial. As a result, large performance gains can be achieved. At constant power, the inverter speed can be increased by over 23% and at constant speed, the power can be reduced by over 37% (FIG. 9 ). The latter enhancement has large implications for portable analog applications such as wireless communications.
  • the strained silicon devices suffer from small low noise margins. Once again, this effect can be minimized by using 30% SiGe. If larger margins are required, the PMOS device width can be increased to provide the required symmetry. However, this PMOS upsizing increases C L and thus causes an associated reduction in performance. Inverter design must be tuned to meet the specific needs of the intended application.
  • FIG. 10 is a graph showing NMOSFET transconductance versus channel length for various carrier mobilities. The dashed line indicates the maximum transconductance predicted by velocity saturation theories. The graph shows that high low-field mobilities translate to high high-field mobilities. The physical mechanism for this phenomenon is still not completely understood; however, it demonstrates that short channel mobility enhancement can occur in strained silicon.
  • a comparison of the high-speed scenario in FIG. 7 to the constant V DD scenario in FIG. 8 reveals the effect the reduced V DD has on speed enhancement.
  • the average current is proportional to V DD not V DD 2 , causing the propagation delay to have no dependence on V DD (assuming V DD >>V T ).
  • mobility enhancements in a short channel strained silicon inverter are directly transferred to a reduction in t p .
  • a 1.2 ⁇ m strained silicon inverter on 30% SiGe experiences a 29% increase in device speed for the same power.
  • a short channel device experiences a 58% increase in device speed for constant power, double the enhancement seen in the long channel device.
  • FIG. 11 is a graph showing the propagation delay of a 0.25 ⁇ m CMOS inverter for a range of electron and hole mobility enhancements. Although the exact enhancements in a short channel device vary with the fabrication processes, FIG. 11 demonstrates that even small enhancements can result in a significant effect on t p .
  • FIGS. 12A-12E show a fabrication process sequence for strained silicon on SOI substrates.
  • a SiGe graded buffer layer 1202 is grown on a silicon substrate 1200 with a uniform relaxed SiGe cap layer 1204 of the desired concentration ( FIG. 12A ).
  • This wafer is then bonded to a silicon wafer 1206 oxidized with a SiO 2 layer 1208 ( FIGS. 12B-12C ).
  • the initial substrate and graded layer are then removed through either wafer thinning or delamination methods.
  • the resulting structure is a fully relaxed SiGe layer on oxide ( FIG. 12D ).
  • a strained silicon layer 1210 can subsequently be grown on the engineered substrate to provide a platform for strained silicon, SOI devices ( FIG. 12E ).
  • the resulting circuits would experience the performance enhancement of strained silicon as well as about an 18% performance improvement from the SOI architecture. In short channel devices, this improvement is equivalent to 34 scaling generations at a constant gate length.
  • a similar fabrication method can be used to provide relaxed SiGe layers directly on Si, i.e., without the presence of the graded buffer or an intermediate oxide.
  • This heterostructure is fabricated using the sequence shown in FIGS. 12A-12D without the oxide layer on the Si substrate.
  • the graded composition layer possesses many dislocations and is quite thick relative to other epitaxial layers and to typical step-heights in CMOS.
  • SiGe does not transfer heat as rapidly as Si. Therefore, a relaxed SiGe layer directly on Si is well suited for high power applications since the heat can be conducted away from the SiGe layer more efficiently.
  • CMOS inverter strained silicon enhancement can be extended to other digital gates such as NOR, NAND, and XOR structures.
  • Circuit schematics for a NOR gate 1300 , a NAND gate 1302 and a XOR gate 1304 are shown in FIGS. 13 A-C, respectively.
  • the optimization procedures are similar to that used for the inverter in that the power consumption and/or propagation delay must be minimized while satisfying the noise margin and area requirements of the application.
  • the operation speed is determined by the worst-case delay for all of the possible inputs.
  • the worst delay occurs when only one NMOS transistor is activated. Since the resistances are wired in parallel, turning on the second transistor only serves to reduce the delay of the network. Once the worst-case delay is determined for both the high to low and low to high transitions, techniques similar to those applied to the inverter can be used to determine the optimum design.
  • the enhancement provided by strained silicon is particularly beneficial for NAND-only architectures.
  • the NMOS devices are wired in series while the PMOS devices are wired in parallel. This configuration results in a high output when either input A or input B is low, and a low output when both input A and input B are high, thus providing a NAND logic function. Since the NMOS devices are in series in the pull down network, the NMOS resistance is equal to two times the device resistance. As a result, the NMOS gate width must be doubled to make the high to low transition equal to the low to high transition.
  • the NMOS gate width up scaling required in NAND-only architectures is less severe.
  • the NMOS gate width must only be increased by 14% to balance the pull down and pull up networks (assuming the enhancements shown in FIG. 6 ).
  • the NMOS width must be increased by 55% since the n and p enhancements are more balanced. The high electron mobility becomes even more important when there are more than two inputs to the NAND gate, since additional series-wired NMOS devices are required.

Abstract

A method of fabricating a CMOS inverter including providing a heterostructure having a Si substrate, a relaxed Si1-xGex layer on the Si substrate, and a strained surface layer on said relaxed Si1-xGex layer; and integrating a pMOSFET and an nMOSFET in said heterostructure, wherein the channel of said pMOSFET and the channel of the nMOSFET are formed in the strained surface layer. Another embodiment provides a method of fabricating an integrated circuit including providing a heterostructure having a Si substrate, a relaxed Si1-xGex layer on the Si substrate, and a strained layer on the relaxed Si1-xGex layer; and forming a p transistor and an n transistor in the heterostructure, wherein the strained layer comprises the channel of the n transistor and the p transistor, and the n transistor and the p transistor interconnected in a CMOS circuit.

Description

    PRIORITY INFORMATION
  • This is a continuation of U.S. Ser. No. 10/953,260, filed on Sep. 29, 2004, which is a continuation of U.S. Ser. No. 10/611,739, filed on Jul. 1, 2003, which is a continuation of U.S. Ser. No. 09/884,172, filed on Jun. 19, 2001, and which claims priority from provisional application Ser. No. 60/250,985, filed Dec. 4, 2000. Both of these applications are incorporated herein in their entirety.
  • BACKGROUND OF THE INVENTION
  • The invention relates to the field of strained silicon surface channel MOSFETs, and in particular to using them in CMOS inverters and other integrated circuits.
  • The ability to scale CMOS devices to smaller and smaller dimensions has enabled integrated circuit technology to experience continuous performance enhancement. Since the 1970's, gate lengths have decreased by two orders of magnitude, resulting in a 30% improvement in the price/performance per year. Historically, these gains have been dictated by the advancement of optical photolithography tools and photoresist materials. As CMOS device size progresses deeper and deeper into the sub-micron regime, the associated cost of these new tools and materials can be prohibitive. A state of the art CMOS facility can cost more than 1-2 billion dollars, a daunting figure considering that the lithography equipment is generally only useful for two scaling generations.
  • In addition to economic constraints, scaling is quickly approaching constraints of device materials and designs. Fundamental physical limits such as gate oxide leakage and source/drain extension resistance make continued minimization beyond 0.1 μm difficult if not impossible to maintain. New materials such as high k dielectrics and metal gas electrodes must be introduced in order to sustain the current roadmap until 2005. Beyond 2005, the fate of scaling is unclear.
  • Since the limits of scaling are well within sight, researchers have actively sought other methods of increasing device performance. One alternative is to make heterostructure FETs in GaAs/AlGaAs in order to take advantage of the high electron mobilities in these materials. However, the high electron mobility in GaAs is partially offset by the low hole mobility, causing a problem for complementary FET architectures. In addition, GaAs devices are usually fabricated with Schottky gates. Schottky diodes have leakage currents that are orders of magnitudes higher than MOS structures. The excess leakage causes an increase in the off-state power consumption that is unacceptable for highly functional circuits. Schottky diodes also lack the self-aligned gate technology enjoyed by MOS structures and thus typically have larger gate-to-source and gate-to-drain resistances. Finally, GaAs processing does not enjoy the same economies of scale that have caused silicon technologies to thrive. As a result, wide-scale production of GaAs circuits would be extremely costly to implement.
  • The most popular method to increase device speed at a constant gate length is to fabricate devices on silicon-on-insulator (SOI) substrates. In an SOI device, a buried oxide layer prevents the channel from fully depleting. Partially depleted devices offer improvements in the junction area capacitance, the device body effect, and the gate-to-body coupling. In the best-case scenario, these device improvements will result in an 18% enhancement in circuit speed. However, this improved performance comes at a cost. The partially depleted floating body causes an uncontrolled lowering of the threshold voltage, known as the floating body effect. This phenomenon increases the off-state leakage of the transistor and thus offsets some of the potential performance advantages. Circuit designers must extract enhancements through design changes at the architectural level. This redesign can be costly and thus is not economically advantageous for all Si CMOS products. Furthermore, the reduced junction capacitance of SOI devices is less important for high functionality circuits where the interconnect capacitance is dominant. As a result, the enhancement offered by SOI devices is limited in its scope.
  • Researchers have also investigated the mobility enhancement in strained silicon as a method to improve CMOS performance. To date, efforts have focused on circuits that employ a buried channel device for the PMOS, and a surface channel device for the NMOS. This method provides the maximum mobility enhancement; however, at high fields the buried channel device performance is complex due to the activation of two carrier channels. In addition, monolithic buried and surface channel CMOS fabrication is more complex than bulk silicon processing. This complexity adds to processing costs and reduces the device yield.
  • SUMMARY OF THE INVENTION
  • In accordance with the invention, the performance of a silicon CMOS inverter by increasing the electron and hole mobilities is enhanced. This enhancement is achieved through surface channel, strained-silicon epitaxy on an engineered SiGe/Si substrate. Both the n-type and p-type channels (NMOS and PMOS) are surface channel, enhancement mode devices. The technique allows inverter performance to be improved at a constant gate length without adding complexity to circuit fabrication or design.
  • When silicon is placed under tension, the degeneracy of the conduction band splits forcing two valleys to be occupied instead of six. As a result, the in-plane, room temperature electron mobility is dramatically increased, reaching a value as high as 2900 cm2/V-sec in buried channel devices for electrons densities of 1011-1012 cm−2. Mobility enhancement can be incorporated into a MOS device through the structure of the invention. In the structure, a compositionally graded buffer layer is used to accommodate the lattice mismatch between a relaxed SiGe film and a Si substrate. By spreading the lattice mismatch over a distance, the graded buffer minimizes the number of dislocations reaching the surface and thus provides a method for growing high-quality relaxed SiGe films on Si. Subsequently, a silicon film below the critical thickness can be grown on the SiGe film. Since the lattice constant of SiGe is larger than that of Si, the Si film is under biaxial tension and thus the carriers exhibit strain-enhanced mobilities.
  • There are two primary methods of extracting performance enhancement from the increased carrier mobility. First, the frequency of operation can be increased while keeping the power constant. The propagation delay of an inverter is inversely proportional to the carrier mobility. Thus, if the carrier mobility is increased, the propagation delay decreases, causing the overall device speed to increase. This scenario is useful for applications such as desktop computers where the speed is more crucial than the power consumption. Second, the power consumption can be decreased at a constant frequency of operation. When the carrier mobility increases, the gate voltage can be reduced by an inverse fraction while maintaining the same inverter speed. Since power is proportional to the square of the gate voltage, this reduction results in a significant decrease in the power consumption. This situation is most useful for portable applications that operate off of a limited power supply.
  • Unlike GaAs high mobility technologies, strained silicon devices can be fabricated with standard silicon CMOS processing methods and tools. This compatibility allows for performance enhancement with no additional capital expenditures. The technology is also scalable and thus can be implemented in both long and short channel devices. The physical mechanism behind short channel mobility enhancement is not completely understood; however it has been witnessed and thus can be used to improve device performance. Furthermore, if desired, strained silicon can be incorporated with SOI technology in order to provide ultra-high speed/low power circuits. In summary, since strained silicon technology is similar to bulk silicon technology, it is not exclusive to other enhancement methods. As a result, strained silicon is an excellent technique for CMOS performance improvement.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a cross-section of the substrate structure required to produce a strained silicon surface channel MOSFET;
  • FIGS. 2A and 2B are graphs of mobility enhancements for electrons and holes, respectively, for strained silicon on Si1-xGex for x=10-30%;
  • FIG. 3 is a table that displays surface roughness data for various relaxed SiGe buffers on Si substrates;
  • FIG. 4 is a schematic diagram of a CMOS inverter;
  • FIGS. 5A and 5B are schematic diagrams of the structures of a strained silicon MOSFET 500 and a strained silicon MOSFET 550 on SOI, respectively;
  • FIG. 6 is a table showing electron and hole mobility enhancements measured for strained silicon on 20% and 30% SiGe;
  • FIG. 7 is a table showing inverter characteristics for 1.2 μm CMOS fabricated in both bulk and strained silicon when the interconnect capacitance is dominant;
  • FIG. 8 is a table showing additional scenarios for strained silicon inverters when the interconnect capacitance is dominant;
  • FIG. 9 is a table showing inverter characteristics for 1.2 μm CMOS fabricated in both bulk and strained silicon when the device capacitance is dominant;
  • FIG. 10 is a graph showing NMOSFET transconductance versus channel length for various carrier mobilities;
  • FIG. 11 is a graph showing the propagation delay of a 0.25 μm CMOS inverter for a range of electron and hole mobility enhancements;
  • FIGS. 12A-12E show a fabrication process sequence for strained silicon on SOI substrates; and
  • FIGS. 13A-13C are circuit schematics for a NOR gate, a NAND gate and a XOR gate, respectively.
  • DETAILED DESCRIPTION OF THE INVENTION
  • Strained Silicon Enhancement
  • FIG. 1 is a cross-section of the substrate structure 100 required to produce a strained silicon surface channel MOSFET. The larger lattice constant, relaxed SiGe layer applies biaxial strain to the silicon surface layer. In this structure, a compositionally graded buffer layer 102 is used to accommodate the lattice mismatch between a relaxed SiGe film 106 and a Si substrate 104. By spreading the lattice mismatch over a distance, the graded buffer minimizes the number of dislocations reaching the surface and thus provides a method for growing high-quality relaxed SiGe films on Si. Subsequently, a silicon film 108 below the critical thickness can be grown on the SiGe film. Since the lattice constant of the strain-inducing material SiGe is larger than that of Si, the Si film is under biaxial tension and thus the carriers exhibit strain-enhanced mobilities. Thereafter, a layer 110 of SiO2 and a gate 112 are provided thereon.
  • In the structure shown in FIG. 1, the silicon channel is placed under biaxial tension by underlying, larger lattice constant SiGe layer. This strain causes the conduction band to split into two-fold and four-fold degenerate bands. The two-fold band is preferentially occupied since it sits at a lower energy. The energy separation between the bands is approximately
    ΔEstrain=0.67·x(eV)  (1)
    where x is equal to the Ge content in the SiGe layer. The equation shows that the band splitting increases as the Ge content increases. This splitting causes mobility enhancement by two mechanisms. First, the two-fold band has a lower effective mass, and thus higher mobility than the four-fold band. Therefore, as the high mobility band becomes energetically preferred, the average carrier mobility increases. Second, since the carriers are occupying two orbitals instead of six, inter-valley phonon scattering is reduced, further enhancing the carrier mobility.
  • The effects of Ge concentration on electron and hole mobility for a surface channel device can be seen in FIGS. 2A and 2B, respectively. FIGS. 2A and 2B are graphs of mobility enhancements for electrons and holes, respectively, for strained silicon on Si1-xGex for x=10-30%. At 20% Ge, the electron enhancement at high fields is approximately 1.75 while the hole enhancement is essentially negligible. Above approximately 20% Ge, the electron enhancement saturates. This saturation occurs because the conduction band splitting is large enough that almost all of the electrons occupy the high mobility band. Hole enhancement saturation has not yet been observed; therefore, raising the Ge concentration to 30% increases hole mobility by a factor of 1.4. Hole enhancement saturation is predicted to occur at a Ge concentration of about 40%.
  • The low hole mobility in surface channel devices has caused other researchers to move to higher mobility, buried channel devices for the PMOSFET. Here, it is shown that significant CMOS enhancement can be achieved using surface channel devices for both NMOS and PMOS. This design allows for high performance without the complications of dual channel operation and without adding complexity to circuit fabrication.
  • Until recently; the material quality of relaxed SiGe on Si was insufficient for utilization in CMOS fabrication. During epitaxial growth, the surface of the SiGe becomes very rough as the material is relaxed via dislocation introduction. Researchers have tried to intrinsically control the surface morphology through the growth; however, since the stress fields from the misfit dislocations affect the growth front, no intrinsic epitaxial solution is possible. U.S. Pat. No. 6,107,653 issued to Fitzgerald, incorporated herein by reference, describes a method of planarization and regrowth that allows all devices on relaxed SiGe to possess a significantly flatter surface. This reduction in surface roughness is critical in the production of strained Si CMOS devices since it increases the yield for fine-line lithography.
  • FIG. 3 is a table that displays surface roughness data for various relaxed SiGe buffers on Si substrates. It will be appreciated that the as-grown crosshatch pattern for relaxed S0.8Ge0.2 buffers creates a typical roughness of approximately 7.9 nm. This average roughness increases as the Ge content in the relaxed buffer is increased. Thus, for any relaxed SiGe layer that is relaxed through dislocation introduction during growth, the surface roughness is unacceptable for state-of-the-art fabrication facilities. After the relaxed SiGe is planarized, the average roughness is less than 1 nm (typically 0.57 nm), and after a 1.5 μm device layer deposition, the average roughness is 0.77 nm. Therefore, after the complete structure is fabricated, there is over an order of magnitude reduction in the surface roughness. The resulting high quality material is well suited for state of the art CMOS processing.
  • CMOS Inverter
  • FIG. 4 is a schematic diagram of a CMOS inverter 400. When the input voltage, Vin, to the inverter is low, a PMOS transistor 402 turns on, charges up a load capacitance 404, and the output goes to a gate drive 406, VDD. Alternatively, when Vin is high, an NMOS transistor 408 turns on, discharges the load capacitance, and the output node goes to ground 410. In this manner, the inverter is able to perform the logic swing necessary for digital processing. The load capacitance, denoted as CL, represents a lumped model of all of the capacitances between Vout and ground.
  • Since the load capacitance must be fully charged or discharged before the logic swing is complete, the magnitude of CL has a large impact on inverter performance. The performance is usually quantified by two variables: the propagation delay, tp, and the power consumed, P. The propagation delay is defined as how quickly a gate responds to a change in its input and is given by t p = C L · V DD I av ( 2 )
    where Iav is the average current during the voltage transition. There is a propagation delay term associated with the NMOS discharging current, tpHL, and a term associated with the PMOS charging current, tpLH. The average of these two values represents the overall inverter delay: t p = t pHL + t pLH 2 ( 3 )
  • Assuming that static and short-circuit power are negligible, the power consumed can be written as P = C L · V DD 2 t p ( 4 )
    From equations 2 and 4, one can see that both the propagation delay and the power consumption have a linear dependence on the load capacitance. In an inverter, CL consists of two major components: interconnect capacitance and device capacitance. Which component dominates CL depends on the architecture of the circuit in question.
    Strained Silicon, Long Channel CMOS Inverter
  • FIGS. 5A and 5B are schematic diagrams of the structures of a strained silicon MOSFET 500 and a strained silicon MOSFET 550 on SOI, respectively. The structure in FIG. 5A contains the elements shown in the substrate structure of FIG. 1 along with basic elements of the MOSFET device structure, i.e. source 513 and drain 514 regions, gate oxide 510 and gate 512 layers, and device isolation regions 516. FIG. 5B shows the same device elements on a SiGe-on-insulator (SGOI) substrate. In the SGOI substrate, a buried oxide layer 518 separates the relaxed SiGe layer 506 from the underlying Si substrate 504. In both MOSFET structures, the strained Si layer 508 serves as the carrier channel, thus enabling improved device performance over their bulk Si counterparts.
  • When strained silicon is used as the carrier channel, the electron and hole mobilities are multiplied by enhancement factors. FIGS. 2A and 2B demonstrate that this enhancement differs for electrons and holes and also that it varies with the Ge fraction in the underlying SiGe layer.
  • A summary of the enhancements for Si0.8Ge0.2 and S0.7Ge0.3 is shown in FIG. 6. FIG. 6 is a table showing electron and hole mobility enhancements measured for strained silicon on 20% and 30% SiGe. These enhancements are incorporated into 1.2 μm CMOS models in order to quantify the effects on inverter performance. The mobility enhancement can be capitalized upon in two primary ways: 1) increase the inverter speed at a constant power and 2) reduce the inverter power at a constant speed. These two optimization methods are investigated for both a wiring capacitance dominated case and a device capacitance dominated case.
  • Interconnect Dominated Capacitance
  • In high performance microprocessors, the interconnect or wiring capacitance is often dominant over the device capacitance. In this scenario, standard silicon PMOS devices are made two to three times wider than their NMOS counterparts. This factor comes from the ratio of the electron and hole mobilities in bulk silicon. If the devices were of equal width, the low hole mobility would cause the PMOS device to have an average current two to three times lower than the NMOS device. Equation 2 shows that this low current would result in a high tpLH and thus cause a large gate delay. Increasing the width of the PMOS device equates the high-to-low and low-to-high propagation delays and thus creates a symmetrical, high-speed inverter.
  • Key values for a bulk silicon, 1.21 μm symmetrical inverter are shown in FIG. 7. FIG. 7 is a table showing inverter characteristics for 1.2 μm CMOS fabricated in both bulk and strained silicon when the interconnect capacitance is dominant. The strained silicon inverters are optimized to provide high speed at constant power and low power at constant speed. The propagation delay for the bulk silicon inverter is 204 psec and the consumed power is 3.93 mW. In an application where speed is paramount, such as in desktop computing, strained silicon provides a good way to enhance the circuit speed. Assuming no change from the bulk silicon design, a strained silicon inverter on Si0.8Ge0.2 results in a 15% speed increase at constant power. When the channel is on Si0.7Ge0.3, the speed enhancement improves to 29% (FIG. 7).
  • The improvement in inverter speed expected with one generation of scaling is approximately 15% (assumes an 11% reduction in feature size). Thus, the speed enhancement provided by a strained silicon inverter on 20% SiGe is equal to one scaling generation, while the speed enhancement provided by 30% SiGe is equivalent to two scaling generations.
  • Alternatively, reducing the gate drive, VDD, can reduce the power at a constant speed. For 20% SiGe, the power consumption is 27% lower than its bulk silicon counterpart. When 30% SiGe is used, the power is reduced by 44% from the bulk silicon value (FIG. 7). This power reduction is important for portable computing applications such as laptops and handhelds.
  • Equation 4 shows that if CL is constant and tp is reduced, VDD must decrease to maintain the same inverter power. If the power consumption is not critical, the inverter frequency can be maximized by employing strained silicon devices at the same VDD as bulk Si devices. As described heretofore above, in a constant power scenario, the inverter speed is increased 15% for Si on Si0.8Ge0.2 and 29% for Si on Si0.7Ge0.3. When VDD is held constant, this enhancement increases to 29% and 58%, for Si on S0.8Ge0.2 and S0.7Ge0.3, respectively. FIG. 8 is a table showing additional scenarios for strained silicon inverters on 20% and 30% SiGe when the interconnect capacitance is dominant. Parameters are given for 1) strained silicon inverters with the same VDD as comparable bulk silicon inverters 2) symmetrical strained silicon inverters-designed for high speed and 3) symmetrical strained silicon inverters designed for low power.
  • One drawback of strained silicon, surface channel CMOS is that the electron and hole mobilities are unbalanced further by the uneven electron and hole enhancements. This unbalance in mobility translates to an unbalance in the noise margins of the inverter. The noise margins represent the allowable variability in the high and low inputs to the inverter. In bulk silicon microprocessors, both the low and high noise margins are about 2.06 V. For strained silicon on 20% and 30% SiGe, the low noise margin, NML, is decreased to 1.65 V and 1.72 V, respectively. While the NML is reduced, the associated NMH is increased. Therefore, if the high input is noisier than the low input, the asymmetric noise margins may be acceptable or even desired.
  • However, if a symmetrical inverter is required, the PMOS device width must be increased to μnp times the NMOS device width. This translates to a 75% increase in PMOS width for Si0.8Ge0.2, and a 29% increase for Si0.7Ge0.3. If the circuit capacitance is dominated by interconnects, the increased device area will not cause a significant increase in CL. As a result, if the increased area is acceptable for the intended application, inverter performance can be further enhanced. In the constant power scenario, the speed can now be increased by 37% for Si0.8Ge0.2 and by 39% for S0.7Ge0.3. When the power is reduced for a constant frequency, a 50% and 52% reduction in consumed power is possible with 20% and 30% SiGe, respectively (FIG. 8). However, in many applications an increase in device area is not tolerable. In these situations if inverter symmetry is required, it is best to use strained silicon on 30% SiGe. Since the electron and hole enhancement is comparable on S0.7Ge0.3, it is easier to trade-off size for symmetry to meet the needs of the application.
  • Non-Interconnect Dominant Capacitance
  • The device capacitance is dominant over the wiring capacitance in many analog applications. The device capacitance includes the diffusion and gate capacitance of the inverter itself as well as all inverters connected to the gate output, known as the fan-out. Since the capacitance of a device depends on its area, PMOS upsizing results in an increase in CL. If inverter symmetry is not a prime concern, reducing the PMOS device size can increase the inverter speed. This PMOS downsizing has a negative effect on tpLH but has a positive effect on tpHL. The optimum speed is achieved when the ratio between PMOS and NMOS widths is set to √{square root over (μnp)}, where μn and μp represent the electron and hole mobilities, respectively. The optimized design has a propagation delay as much as 5% lower than the symmetrical design. The down side is that making tpLH and tpHL unbalanced reduces the low noise margin by approximately 15%. In most designs, this reduced NML is still acceptable.
  • FIG. 9 is a table showing inverter characteristics for 1.2 μm CMOS fabricated in both bulk and strained silicon when the device capacitance is dominant. The strained silicon inverters are optimized to provide high speed at constant power and low power at constant speed. For strained silicon on Si0.8Ge0.2, the electron mobility is a factor of 5.25 higher than the hole mobility. When the PMOS width is re-optimized to accommodate these mobilities, i.e., by using the √{square root over (μnp)} optimization, the strained silicon PMOS device on Si0.8Ge0.2 is over 30% wider than the bulk Si PMOS device.
  • The resulting increase in capacitance offsets some of the advantages of the enhanced mobility. Therefore, only a 4% speed increase occurs at constant power, and only an 8% decrease in power occurs at constant speed (FIG. 9). Although these improvements are significant, they represent a fraction of the performance improvement seen with a generation of scaling and do not surpass the performance capabilities available with SOI architectures.
  • In contrast, strained silicon on Si0.7Ge0.3 offers a significant performance enhancement at constant gate length for circuits designed to the √{square root over (μnp)} optimization. Since the electron and hole mobilities are more balanced, the effect on the load capacitance is less substantial. As a result, large performance gains can be achieved. At constant power, the inverter speed can be increased by over 23% and at constant speed, the power can be reduced by over 37% (FIG. 9). The latter enhancement has large implications for portable analog applications such as wireless communications.
  • As in the microprocessor case (interconnect dominated), the strained silicon devices suffer from small low noise margins. Once again, this effect can be minimized by using 30% SiGe. If larger margins are required, the PMOS device width can be increased to provide the required symmetry. However, this PMOS upsizing increases CL and thus causes an associated reduction in performance. Inverter design must be tuned to meet the specific needs of the intended application.
  • Short Channel CMOS Inverter
  • In short channel devices, the lateral electric field driving the current from the source to the drain becomes very high. As a result, the electron velocity approaches a limiting value called the saturation velocity, vsat. Since strained silicon provides only a small enhancement in vsat over bulk silicon, researchers believed that strained silicon would not provide a performance enhancement in short channel devices. However, recent data shows that transconductance values in short channel devices exceed the maximum value predicted by velocity saturation theories. FIG. 10 is a graph showing NMOSFET transconductance versus channel length for various carrier mobilities. The dashed line indicates the maximum transconductance predicted by velocity saturation theories. The graph shows that high low-field mobilities translate to high high-field mobilities. The physical mechanism for this phenomenon is still not completely understood; however, it demonstrates that short channel mobility enhancement can occur in strained silicon.
  • The power consumed in an inverter depends on both VDD and tp (equation 4). Therefore, as tp is decreased due to mobility enhancement, VDD must also be decreased in order to maintain the same power consumption. In a long channel device, the average current, Iav, is proportional to VDD 2. Inserting this dependence into equation 2 reveals an inverse dependence of the propagation delay on VDD. Thus, as the average current in strained silicon is increased due to mobility enhancement, the effect on the propagation delay is somewhat offset by the reduction in VDD.
  • A comparison of the high-speed scenario in FIG. 7 to the constant VDD scenario in FIG. 8 reveals the effect the reduced VDD has on speed enhancement. In a short channel device, the average current is proportional to VDD not VDD 2, causing the propagation delay to have no dependence on VDD (assuming VDD>>VT). As a result, mobility enhancements in a short channel, strained silicon inverter are directly transferred to a reduction in tp. A 1.2 μm strained silicon inverter on 30% SiGe experiences a 29% increase in device speed for the same power. Assuming the same levels of enhancement, a short channel device experiences a 58% increase in device speed for constant power, double the enhancement seen in the long channel device.
  • FIG. 11 is a graph showing the propagation delay of a 0.25 μm CMOS inverter for a range of electron and hole mobility enhancements. Although the exact enhancements in a short channel device vary with the fabrication processes, FIG. 11 demonstrates that even small enhancements can result in a significant effect on tp.
  • Strained Silicon on SQI
  • Strained silicon technology can also be incorporated with SOI technology for added performance benefits. FIGS. 12A-12E show a fabrication process sequence for strained silicon on SOI substrates. First, a SiGe graded buffer layer 1202 is grown on a silicon substrate 1200 with a uniform relaxed SiGe cap layer 1204 of the desired concentration (FIG. 12A). This wafer is then bonded to a silicon wafer 1206 oxidized with a SiO2 layer 1208 (FIGS. 12B-12C). The initial substrate and graded layer are then removed through either wafer thinning or delamination methods. The resulting structure is a fully relaxed SiGe layer on oxide (FIG. 12D). A strained silicon layer 1210 can subsequently be grown on the engineered substrate to provide a platform for strained silicon, SOI devices (FIG. 12E). The resulting circuits would experience the performance enhancement of strained silicon as well as about an 18% performance improvement from the SOI architecture. In short channel devices, this improvement is equivalent to 34 scaling generations at a constant gate length.
  • A similar fabrication method can be used to provide relaxed SiGe layers directly on Si, i.e., without the presence of the graded buffer or an intermediate oxide. This heterostructure is fabricated using the sequence shown in FIGS. 12A-12D without the oxide layer on the Si substrate. The graded composition layer possesses many dislocations and is quite thick relative to other epitaxial layers and to typical step-heights in CMOS. In addition, SiGe does not transfer heat as rapidly as Si. Therefore, a relaxed SiGe layer directly on Si is well suited for high power applications since the heat can be conducted away from the SiGe layer more efficiently.
  • Other Digital Gates
  • Although the preceding embodiments describe the performance of a CMOS inverter, strained silicon enhancement can be extended to other digital gates such as NOR, NAND, and XOR structures. Circuit schematics for a NOR gate 1300, a NAND gate 1302 and a XOR gate 1304 are shown in FIGS. 13A-C, respectively. The optimization procedures are similar to that used for the inverter in that the power consumption and/or propagation delay must be minimized while satisfying the noise margin and area requirements of the application. When analyzing these more complex circuits, the operation speed is determined by the worst-case delay for all of the possible inputs.
  • For example, in the pull down network of the NOR gate 1300 shown in FIG. 13A, the worst delay occurs when only one NMOS transistor is activated. Since the resistances are wired in parallel, turning on the second transistor only serves to reduce the delay of the network. Once the worst-case delay is determined for both the high to low and low to high transitions, techniques similar to those applied to the inverter can be used to determine the optimum design.
  • The enhancement provided by strained silicon is particularly beneficial for NAND-only architectures. As shown in FIG. 13B, in the architecture of the NAND gate 1302, the NMOS devices are wired in series while the PMOS devices are wired in parallel. This configuration results in a high output when either input A or input B is low, and a low output when both input A and input B are high, thus providing a NAND logic function. Since the NMOS devices are in series in the pull down network, the NMOS resistance is equal to two times the device resistance. As a result, the NMOS gate width must be doubled to make the high to low transition equal to the low to high transition.
  • Since electrons experience a larger enhancement than holes in strained Si, the NMOS gate width up scaling required in NAND-only architectures is less severe. For 1.2 μm strained silicon CMOS on a Si0.8Ge0.2 platform, the NMOS gate width must only be increased by 14% to balance the pull down and pull up networks (assuming the enhancements shown in FIG. 6). Correspondingly, for 1.2 μm CMOS on S0.7Ge0.3, the NMOS width must be increased by 55% since the n and p enhancements are more balanced. The high electron mobility becomes even more important when there are more than two inputs to the NAND gate, since additional series-wired NMOS devices are required.
  • Although the present invention has been shown and described with respect to several preferred embodiments thereof, various changes, omissions and additions to the form and detail thereof, may be made therein, without departing from the spirit and scope of the invention.

Claims (12)

1-62. (canceled)
63. A method of fabricating a circuit comprising the steps of:
providing a structure comprising a substrate, the structure having a surface roughness of less than 1 nm;
adding a strain-inducing material to the structure, the strain-inducing material consisting essentially of SiGe; and
integrating a pMOSFET and an nMOSFET in the structure,
wherein a channel of the pMOSFET and a channel of the nMOSFET are each strained and the strain in at least one of the strained channels is induced by the strain-inducing material.
64. The method of claim 63, wherein at least one of the pMOSFET and nMOSFET channels consists essentially of silicon.
65. The method of claim 64, wherein the pMOSFET channel and the nMOSFET channel each consist essentially of silicon.
66. The method of claim 65, wherein the pMOSFET and nMOSFET are interconnected to form an inverter.
67. The method of claim 63, wherein the structure further comprises an insulator layer disposed over the substrate and at least one of the strained channels is disposed over the insulator layer.
68. The method of claim 67, wherein at least one of the pMOSFET and nMOSFET channels consists essentially of silicon.
69. The method of claim 68, wherein the pMOSFET channel and the nMOSFET channel each consist essentially of silicon.
70. The method of claim 69, wherein the pMOSFET and nMOSFET are interconnected to form an inverter.
71. The method of claim 67, wherein both of the strained channels are disposed over the insulator layer.
72. The method of claim 63, wherein the strain-inducing material is at least partially relaxed.
73. A method of fabricating a circuit comprising the steps of:
providing a structure comprising a substrate, the structure having a surface roughness of less than 1 nm;
adding a strain-inducing material to the structure; and
integrating a pMOSFET and an nMOSFET in the structure,
wherein a channel of the pMOSFET and a channel of the nMOSFET are each strained and consists essentially of silicon, and the strain in at least one of the strained channels is induced by the strain-inducing material.
US11/431,186 2000-12-04 2006-05-10 Method of fabricating CMOS inverters and integrated circuits utilizing strained surface channel MOSFETs Abandoned US20060275972A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/431,186 US20060275972A1 (en) 2000-12-04 2006-05-10 Method of fabricating CMOS inverters and integrated circuits utilizing strained surface channel MOSFETs

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US25098500P 2000-12-04 2000-12-04
US09/884,172 US6649480B2 (en) 2000-12-04 2001-06-19 Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US10/611,739 US6881632B2 (en) 2000-12-04 2003-07-01 Method of fabricating CMOS inverter and integrated circuits utilizing strained surface channel MOSFETS
US10/953,260 US20050106850A1 (en) 2000-12-04 2004-09-29 Method of fabricating CMOS inverter and integrated circuits utilizing strained surface channel MOSFETs
US11/431,186 US20060275972A1 (en) 2000-12-04 2006-05-10 Method of fabricating CMOS inverters and integrated circuits utilizing strained surface channel MOSFETs

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/953,260 Continuation US20050106850A1 (en) 2000-12-04 2004-09-29 Method of fabricating CMOS inverter and integrated circuits utilizing strained surface channel MOSFETs

Publications (1)

Publication Number Publication Date
US20060275972A1 true US20060275972A1 (en) 2006-12-07

Family

ID=26941292

Family Applications (5)

Application Number Title Priority Date Filing Date
US09/884,172 Expired - Lifetime US6649480B2 (en) 2000-12-04 2001-06-19 Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US10/611,739 Expired - Lifetime US6881632B2 (en) 2000-12-04 2003-07-01 Method of fabricating CMOS inverter and integrated circuits utilizing strained surface channel MOSFETS
US10/953,260 Abandoned US20050106850A1 (en) 2000-12-04 2004-09-29 Method of fabricating CMOS inverter and integrated circuits utilizing strained surface channel MOSFETs
US11/431,186 Abandoned US20060275972A1 (en) 2000-12-04 2006-05-10 Method of fabricating CMOS inverters and integrated circuits utilizing strained surface channel MOSFETs
US12/573,589 Expired - Fee Related US9219065B2 (en) 2000-12-04 2009-10-05 Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETS

Family Applications Before (3)

Application Number Title Priority Date Filing Date
US09/884,172 Expired - Lifetime US6649480B2 (en) 2000-12-04 2001-06-19 Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US10/611,739 Expired - Lifetime US6881632B2 (en) 2000-12-04 2003-07-01 Method of fabricating CMOS inverter and integrated circuits utilizing strained surface channel MOSFETS
US10/953,260 Abandoned US20050106850A1 (en) 2000-12-04 2004-09-29 Method of fabricating CMOS inverter and integrated circuits utilizing strained surface channel MOSFETs

Family Applications After (1)

Application Number Title Priority Date Filing Date
US12/573,589 Expired - Fee Related US9219065B2 (en) 2000-12-04 2009-10-05 Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETS

Country Status (1)

Country Link
US (5) US6649480B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080135873A1 (en) * 2006-12-08 2008-06-12 Amberwave Systems Corporation Inducement of Strain in a Semiconductor Layer

Families Citing this family (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100400808B1 (en) * 1997-06-24 2003-10-08 매사츄세츠 인스티튜트 오브 테크놀러지 CONTROLLING THREADING DISLOCATION DENSITIES IN Ge ON Si USING GRADED GeSi LAYERS AND PLANARIZATION
JP2002527272A (en) * 1998-10-16 2002-08-27 シルバーブルック リサーチ プロプライエタリイ、リミテッド Improvements on inkjet printers
US6918655B2 (en) * 1998-10-16 2005-07-19 Silverbrook Research Pty Ltd Ink jet printhead with nozzles
US6876053B1 (en) * 1999-08-13 2005-04-05 Intel Corporation Isolation structure configurations for modifying stresses in semiconductor devices
US6602613B1 (en) 2000-01-20 2003-08-05 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
EP1307917A2 (en) * 2000-08-07 2003-05-07 Amberwave Systems Corporation Gate technology for strained surface channel and strained buried channel mosfet devices
US6573126B2 (en) 2000-08-16 2003-06-03 Massachusetts Institute Of Technology Process for producing semiconductor article using graded epitaxial growth
US20020100942A1 (en) * 2000-12-04 2002-08-01 Fitzgerald Eugene A. CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6649480B2 (en) * 2000-12-04 2003-11-18 Amberwave Systems Corporation Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6723661B2 (en) * 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6703688B1 (en) * 2001-03-02 2004-03-09 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6724008B2 (en) 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6830976B2 (en) 2001-03-02 2004-12-14 Amberwave Systems Corproation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6900094B2 (en) * 2001-06-14 2005-05-31 Amberwave Systems Corporation Method of selective removal of SiGe alloys
US7301180B2 (en) * 2001-06-18 2007-11-27 Massachusetts Institute Of Technology Structure and method for a high-speed semiconductor device having a Ge channel layer
JP2004531901A (en) * 2001-06-21 2004-10-14 マサチューセッツ インスティテュート オブ テクノロジー MOSFET with strained semiconductor layer
US6730551B2 (en) 2001-08-06 2004-05-04 Massachusetts Institute Of Technology Formation of planar strained layers
US6974735B2 (en) * 2001-08-09 2005-12-13 Amberwave Systems Corporation Dual layer Semiconductor Devices
US7138649B2 (en) * 2001-08-09 2006-11-21 Amberwave Systems Corporation Dual-channel CMOS transistors with differentially strained channels
EP1428262A2 (en) 2001-09-21 2004-06-16 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
AU2002341803A1 (en) 2001-09-24 2003-04-07 Amberwave Systems Corporation Rf circuits including transistors having strained material layers
US7060632B2 (en) * 2002-03-14 2006-06-13 Amberwave Systems Corporation Methods for fabricating strained layers on semiconductor substrates
WO2003105204A2 (en) * 2002-06-07 2003-12-18 Amberwave Systems Corporation Semiconductor devices having strained dual channel layers
US6995430B2 (en) 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US20030227057A1 (en) 2002-06-07 2003-12-11 Lochtefeld Anthony J. Strained-semiconductor-on-insulator device structures
US6982474B2 (en) 2002-06-25 2006-01-03 Amberwave Systems Corporation Reacted conductive gate electrodes
US7018910B2 (en) * 2002-07-09 2006-03-28 S.O.I.Tec Silicon On Insulator Technologies S.A. Transfer of a thin layer from a wafer comprising a buffer layer
US6841457B2 (en) * 2002-07-16 2005-01-11 International Business Machines Corporation Use of hydrogen implantation to improve material properties of silicon-germanium-on-insulator material made by thermal diffusion
US6756276B1 (en) * 2002-09-30 2004-06-29 Advanced Micro Devices, Inc. Strained silicon MOSFET having improved source/drain extension dopant diffusion resistance and method for its fabrication
AU2003274922A1 (en) 2002-08-23 2004-03-11 Amberwave Systems Corporation Semiconductor heterostructures having reduced dislocation pile-ups and related methods
US6998683B2 (en) * 2002-10-03 2006-02-14 Micron Technology, Inc. TFT-based common gate CMOS inverters, and computer systems utilizing novel CMOS inverters
US6867428B1 (en) * 2002-10-29 2005-03-15 Advanced Micro Devices, Inc. Strained silicon NMOS having silicon source/drain extensions and method for its fabrication
US6787423B1 (en) * 2002-12-09 2004-09-07 Advanced Micro Devices, Inc. Strained-silicon semiconductor device
US7198974B2 (en) * 2003-03-05 2007-04-03 Micron Technology, Inc. Micro-mechanically strained semiconductor film
US7115480B2 (en) * 2003-05-07 2006-10-03 Micron Technology, Inc. Micromechanical strained semiconductor by wafer bonding
US7273788B2 (en) * 2003-05-21 2007-09-25 Micron Technology, Inc. Ultra-thin semiconductors bonded on glass substrates
US7662701B2 (en) * 2003-05-21 2010-02-16 Micron Technology, Inc. Gettering of silicon on insulator using relaxed silicon germanium epitaxial proximity layers
JP4723797B2 (en) * 2003-06-13 2011-07-13 財団法人国際科学振興財団 CMOS transistor
US7153753B2 (en) * 2003-08-05 2006-12-26 Micron Technology, Inc. Strained Si/SiGe/SOI islands and processes of making same
US7029980B2 (en) * 2003-09-25 2006-04-18 Freescale Semiconductor Inc. Method of manufacturing SOI template layer
CN100397574C (en) * 2003-10-30 2008-06-25 台湾积体电路制造股份有限公司 Method for manufacturing multi-layer structure having strain and field effect transistor having strain layer
CN100397575C (en) * 2003-10-30 2008-06-25 台湾积体电路制造股份有限公司 Method for manufacturing multi-layerstructure having strain and field effect transistor having strair layer
US6902965B2 (en) * 2003-10-31 2005-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Strained silicon structure
US20050179483A1 (en) * 2003-11-18 2005-08-18 Hutchens Chriswell G. High-voltage transistors on insulator substrates
US6995078B2 (en) * 2004-01-23 2006-02-07 Chartered Semiconductor Manufacturing Ltd. Method of forming a relaxed semiconductor buffer layer on a substrate with a large lattice mismatch
US7166522B2 (en) * 2004-01-23 2007-01-23 Chartered Semiconductor Manufacturing Ltd. Method of forming a relaxed semiconductor buffer layer on a substrate with a large lattice mismatch
JP3884439B2 (en) * 2004-03-02 2007-02-21 株式会社東芝 Semiconductor device
US7390724B2 (en) * 2004-04-12 2008-06-24 Silicon Genesis Corporation Method and system for lattice space engineering
US7504693B2 (en) * 2004-04-23 2009-03-17 International Business Machines Corporation Dislocation free stressed channels in bulk silicon and SOI CMOS devices by gate stress engineering
WO2005119762A1 (en) * 2004-05-27 2005-12-15 Massachusetts Institute Of Technology Single metal gate material cmos using strained si-silicon germanium heterojunction layered substrate
US7241647B2 (en) * 2004-08-17 2007-07-10 Freescale Semiconductor, Inc. Graded semiconductor layer
US7306997B2 (en) 2004-11-10 2007-12-11 Advanced Micro Devices, Inc. Strained fully depleted silicon on insulator semiconductor device and manufacturing method therefor
US20060113603A1 (en) * 2004-12-01 2006-06-01 Amberwave Systems Corporation Hybrid semiconductor-on-insulator structures and related methods
US7393733B2 (en) 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US7176072B2 (en) * 2005-01-28 2007-02-13 Sharp Laboratories Of America, Inc Strained silicon devices transfer to glass for display applications
US7470972B2 (en) * 2005-03-11 2008-12-30 Intel Corporation Complementary metal oxide semiconductor integrated circuit using uniaxial compressive stress and biaxial compressive stress
US7355221B2 (en) * 2005-05-12 2008-04-08 International Business Machines Corporation Field effect transistor having an asymmetrically stressed channel region
US20060292776A1 (en) * 2005-06-27 2006-12-28 Been-Yih Jin Strained field effect transistors
EP1739749A2 (en) * 2005-06-30 2007-01-03 STMicroelectronics (Crolles 2) SAS Memory cell with an isolated-body MOS transistor with prolongated memory effect
US8407634B1 (en) 2005-12-01 2013-03-26 Synopsys Inc. Analysis of stress impact on transistor performance
US7544584B2 (en) 2006-02-16 2009-06-09 Micron Technology, Inc. Localized compressive strained semiconductor
US7538002B2 (en) * 2006-02-24 2009-05-26 Freescale Semiconductor, Inc. Semiconductor process integrating source/drain stressors and interlevel dielectric layer stressors
US7600207B2 (en) * 2006-02-27 2009-10-06 Synopsys, Inc. Stress-managed revision of integrated circuit layouts
US8035168B2 (en) 2006-02-27 2011-10-11 Synopsys, Inc. Elevation of transistor channels to reduce impact of shallow trench isolation on transistor performance
US7767515B2 (en) * 2006-02-27 2010-08-03 Synopsys, Inc. Managing integrated circuit stress using stress adjustment trenches
US7484198B2 (en) * 2006-02-27 2009-01-27 Synopsys, Inc. Managing integrated circuit stress using dummy diffusion regions
US7494856B2 (en) * 2006-03-30 2009-02-24 Freescale Semiconductor, Inc. Semiconductor fabrication process using etch stop layer to optimize formation of source/drain stressor
US7781277B2 (en) * 2006-05-12 2010-08-24 Freescale Semiconductor, Inc. Selective uniaxial stress relaxation by layout optimization in strained silicon on insulator integrated circuit
US7468313B2 (en) * 2006-05-30 2008-12-23 Freescale Semiconductor, Inc. Engineering strain in thick strained-SOI substrates
US7811382B2 (en) * 2006-05-30 2010-10-12 Freescale Semiconductor, Inc. Method for forming a semiconductor structure having a strained silicon layer
US7542891B2 (en) * 2006-09-07 2009-06-02 Synopsys, Inc. Method of correlating silicon stress to device instance parameters for circuit simulation
US7442599B2 (en) * 2006-09-15 2008-10-28 Sharp Laboratories Of America, Inc. Silicon/germanium superlattice thermal sensor
JP5098294B2 (en) * 2006-10-30 2012-12-12 株式会社デンソー Method for manufacturing silicon carbide semiconductor device
JP5098295B2 (en) * 2006-10-30 2012-12-12 株式会社デンソー Method for manufacturing silicon carbide semiconductor device
US7955926B2 (en) * 2008-03-26 2011-06-07 International Business Machines Corporation Structure and method to control oxidation in high-k gate structures
CN101986435B (en) * 2010-06-25 2012-12-19 中国科学院上海微系统与信息技术研究所 Manufacturing method of metal oxide semiconductor (MOS) device structure for preventing floating body and self-heating effect
CN102468124A (en) * 2010-11-04 2012-05-23 中国科学院上海微系统与信息技术研究所 Method for utilizing Al interposed layer for epitaxial growth of NiSiGe material
US8527933B2 (en) 2011-09-20 2013-09-03 Freescale Semiconductor, Inc. Layout technique for stress management cells
US9817928B2 (en) 2012-08-31 2017-11-14 Synopsys, Inc. Latch-up suppression and substrate noise coupling reduction through a substrate back-tie for 3D integrated circuits
US9190346B2 (en) 2012-08-31 2015-11-17 Synopsys, Inc. Latch-up suppression and substrate noise coupling reduction through a substrate back-tie for 3D integrated circuits
US9379018B2 (en) 2012-12-17 2016-06-28 Synopsys, Inc. Increasing Ion/Ioff ratio in FinFETs and nano-wires
US8847324B2 (en) 2012-12-17 2014-09-30 Synopsys, Inc. Increasing ION /IOFF ratio in FinFETs and nano-wires
US10361097B2 (en) 2012-12-31 2019-07-23 Globalwafers Co., Ltd. Apparatus for stressing semiconductor substrates
US9525053B2 (en) 2013-11-01 2016-12-20 Samsung Electronics Co., Ltd. Integrated circuit devices including strained channel regions and methods of forming the same
KR102083632B1 (en) 2014-04-25 2020-03-03 삼성전자주식회사 Semiconductor device and method for forming the same
US20190131454A1 (en) * 2017-11-01 2019-05-02 Qualcomm Incorporated Semiconductor device with strained silicon layers on porous silicon

Citations (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3876053A (en) * 1972-01-13 1975-04-08 Olivetti & Co Spa Serial printing device
US4010045A (en) * 1973-12-13 1977-03-01 Ruehrwein Robert A Process for production of III-V compound crystals
US4717681A (en) * 1986-05-19 1988-01-05 Texas Instruments Incorporated Method of making a heterojunction bipolar transistor with SIPOS
US4803539A (en) * 1985-03-29 1989-02-07 International Business Machines Corporation Dopant control of metal silicide formation
US4987462A (en) * 1987-01-06 1991-01-22 Texas Instruments Incorporated Power MISFET
US4990979A (en) * 1988-05-13 1991-02-05 Eurosil Electronic Gmbh Non-volatile memory cell
US4994866A (en) * 1988-01-07 1991-02-19 Fujitsu Limited Complementary semiconductor device
US4997776A (en) * 1989-03-06 1991-03-05 International Business Machines Corp. Complementary bipolar transistor structure and method for manufacture
US5089872A (en) * 1990-04-27 1992-02-18 North Carolina State University Selective germanium deposition on silicon and resulting structures
US5091767A (en) * 1991-03-18 1992-02-25 At&T Bell Laboratories Article comprising a lattice-mismatched semiconductor heterostructure
US5108946A (en) * 1989-05-19 1992-04-28 Motorola, Inc. Method of forming planar isolation regions
US5177583A (en) * 1990-02-20 1993-01-05 Kabushiki Kaisha Toshiba Heterojunction bipolar transistor
US5198689A (en) * 1988-11-30 1993-03-30 Fujitsu Limited Heterojunction bipolar transistor
US5202284A (en) * 1989-12-01 1993-04-13 Hewlett-Packard Company Selective and non-selective deposition of Si1-x Gex on a Si subsrate that is partially masked with SiO2
US5285086A (en) * 1990-08-02 1994-02-08 At&T Bell Laboratories Semiconductor devices with low dislocation defects
US5291439A (en) * 1991-09-12 1994-03-01 International Business Machines Corporation Semiconductor memory cell and memory array with inversion layer
US5294564A (en) * 1989-03-31 1994-03-15 Thomson-Csf Method for the directed modulation of the composition or doping of semiconductors, notably for the making of planar type monolithic electronic components, use of the method and corresponding products
US5298452A (en) * 1986-09-12 1994-03-29 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
US5304834A (en) * 1991-05-23 1994-04-19 At&T Bell Laboratories Selective epitaxy of silicon in silicon dioxide apertures with suppression of unwanted formation of facets
US5399522A (en) * 1993-02-16 1995-03-21 Fujitsu Limited Method of growing compound semiconductor
US5484664A (en) * 1988-04-27 1996-01-16 Fujitsu Limited Hetero-epitaxially grown compound semiconductor substrate
US5496771A (en) * 1994-05-19 1996-03-05 International Business Machines Corporation Method of making overpass mask/insulator for local interconnects
US5496750A (en) * 1994-09-19 1996-03-05 Texas Instruments Incorporated Elevated source/drain junction metal oxide semiconductor field-effect transistor using blanket silicon deposition
US5596527A (en) * 1992-12-07 1997-01-21 Nippon Steel Corporation Electrically alterable n-bit per cell non-volatile memory with reference cells
US5617351A (en) * 1992-03-12 1997-04-01 International Business Machines Corporation Three-dimensional direct-write EEPROM arrays and fabrication methods
US5705421A (en) * 1994-11-24 1998-01-06 Sony Corporation A SOI substrate fabricating method
US5710450A (en) * 1994-12-23 1998-01-20 Intel Corporation Transistor with ultra shallow tip and method of fabrication
US5714777A (en) * 1997-02-19 1998-02-03 International Business Machines Corporation Si/SiGe vertical junction field effect transistor
US5728623A (en) * 1994-03-16 1998-03-17 Nec Corporation Method of bonding a III-V group compound semiconductor layer on a silicon substrate
US5739567A (en) * 1992-11-02 1998-04-14 Wong; Chun Chiu D. Highly compact memory device with nonvolatile vertical transistor memory cell
US5869359A (en) * 1997-08-20 1999-02-09 Prabhakar; Venkatraman Process for forming silicon on insulator devices having elevated source and drain regions
US5877070A (en) * 1997-05-31 1999-03-02 Max-Planck Society Method for the transfer of thin layers of monocrystalline material to a desirable substrate
US5877535A (en) * 1996-01-25 1999-03-02 Sony Corporation CMOS semiconductor device having dual-gate electrode construction and method of production of the same
US5891769A (en) * 1997-04-07 1999-04-06 Motorola, Inc. Method for forming a semiconductor device having a heteroepitaxial layer
US6013134A (en) * 1998-02-18 2000-01-11 International Business Machines Corporation Advance integrated chemical vapor deposition (AICVD) for semiconductor devices
US6030889A (en) * 1994-09-11 2000-02-29 International Business Machines Corporation Substrate-holding fixture of non-wettable material
US6030887A (en) * 1998-02-26 2000-02-29 Memc Electronic Materials, Inc. Flattening process for epitaxial semiconductor wafers
US6033995A (en) * 1997-09-16 2000-03-07 Trw Inc. Inverted layer epitaxial liftoff process
US6033974A (en) * 1997-05-12 2000-03-07 Silicon Genesis Corporation Method for controlled cleaving process
US6184111B1 (en) * 1998-06-23 2001-02-06 Silicon Genesis Corporation Pre-semiconductor process implant and post-process film separation
US6187657B1 (en) * 1999-03-24 2001-02-13 Advanced Micro Devices, Inc. Dual material gate MOSFET technique
US6191007B1 (en) * 1997-04-28 2001-02-20 Denso Corporation Method for manufacturing a semiconductor substrate
US6191432B1 (en) * 1996-09-02 2001-02-20 Kabushiki Kaisha Toshiba Semiconductor device and memory device
US6194722B1 (en) * 1997-03-28 2001-02-27 Interuniversitair Micro-Elektronica Centrum, Imec, Vzw Method of fabrication of an infrared radiation detector and infrared detector device
US6204529B1 (en) * 1999-08-27 2001-03-20 Hsing Lan Lung 8 bit per cell non-volatile semiconductor memory structure utilizing trench technology and dielectric floating gate
US6210988B1 (en) * 1999-01-15 2001-04-03 The Regents Of The University Of California Polycrystalline silicon germanium films for forming micro-electromechanical systems
US6335546B1 (en) * 1998-07-31 2002-01-01 Sharp Kabushiki Kaisha Nitride semiconductor structure, method for producing a nitride semiconductor structure, and light emitting device
US20020001948A1 (en) * 2000-06-28 2002-01-03 Lee In Haeng Method of manufacturing a semiconductor device
US6339232B1 (en) * 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
US6342421B1 (en) * 1994-09-13 2002-01-29 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method thereof
US6344375B1 (en) * 1998-07-28 2002-02-05 Matsushita Electric Industrial Co., Ltd Substrate containing compound semiconductor, method for manufacturing the same and semiconductor device using the same
US20020019127A1 (en) * 1997-02-14 2002-02-14 Micron Technology, Inc. Interconnect structure and method of making
US6350311B1 (en) * 1999-06-17 2002-02-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming an epitaxial silicon-germanium layer
US6350993B1 (en) * 1999-03-12 2002-02-26 International Business Machines Corporation High speed composite p-channel Si/SiGe heterostructure for field effect devices
US20020024395A1 (en) * 2000-08-29 2002-02-28 Terumoto Akatsuka Voltage controlled oscillator with power amplifier
US6352909B1 (en) * 2000-01-06 2002-03-05 Silicon Wafer Technologies, Inc. Process for lift-off of a layer from a substrate
US6362071B1 (en) * 2000-04-05 2002-03-26 Motorola, Inc. Method for forming a semiconductor device with an opening in a dielectric layer
US20030003679A1 (en) * 2001-06-29 2003-01-02 Doyle Brian S. Creation of high mobility channels in thin-body SOI devices
US6503833B1 (en) * 2000-11-15 2003-01-07 International Business Machines Corporation Self-aligned silicide (salicide) process for strained silicon MOSFET ON SiGe and structure formed thereby
US20030013323A1 (en) * 2001-06-14 2003-01-16 Richard Hammond Method of selective removal of SiGe alloys
US6509587B2 (en) * 2000-09-29 2003-01-21 Kabushiki Kaisha Toshiba Semiconductor device
US20030025131A1 (en) * 2001-08-06 2003-02-06 Massachusetts Institute Of Technology Formation of planar strained layers
US6521041B2 (en) * 1998-04-10 2003-02-18 Massachusetts Institute Of Technology Etch stop layer system
US6521508B1 (en) * 1999-12-31 2003-02-18 Hyundai Electronics Industries Co., Ltd. Method of manufacturing a contact plug in a semiconductor device using selective epitaxial growth of silicon process
US20030034529A1 (en) * 2000-12-04 2003-02-20 Amberwave Systems Corporation CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6524935B1 (en) * 2000-09-29 2003-02-25 International Business Machines Corporation Preparation of strained Si/SiGe on insulator by hydrogen induced layer transfer technique
US20030057439A1 (en) * 2001-08-09 2003-03-27 Fitzgerald Eugene A. Dual layer CMOS devices
US6674150B2 (en) * 1999-06-22 2004-01-06 Matsushita Electric Industrial Co., Ltd. Heterojunction bipolar transistor and method for fabricating the same
US20040005740A1 (en) * 2002-06-07 2004-01-08 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US6677192B1 (en) * 2001-03-02 2004-01-13 Amberwave Systems Corporation Method of fabricating a relaxed silicon germanium platform having planarizing for high speed CMOS electronics and high speed analog circuits
US20040007724A1 (en) * 2002-07-12 2004-01-15 Anand Murthy Process for ultra-thin body SOI devices that incorporate EPI silicon tips and article made thereby
US20040014304A1 (en) * 2002-07-18 2004-01-22 Micron Technology, Inc. Stable PD-SOI devices and methods
US20040014276A1 (en) * 2002-07-16 2004-01-22 Murthy Anand S. Method of making a semiconductor transistor
US6682965B1 (en) * 1997-03-27 2004-01-27 Sony Corporation Method of forming n-and p- channel field effect transistors on the same silicon layer having a strain effect
US6686617B2 (en) * 1999-10-26 2004-02-03 International Business Machines Corporation Semiconductor chip having both compact memory and high performance logic
US6690043B1 (en) * 1999-11-26 2004-02-10 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6689211B1 (en) * 1999-04-09 2004-02-10 Massachusetts Institute Of Technology Etch stop layer system
US20040031979A1 (en) * 2002-06-07 2004-02-19 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US6699765B1 (en) * 2002-08-29 2004-03-02 Micrel, Inc. Method of fabricating a bipolar transistor using selective epitaxially grown SiGe base layer
US20040041210A1 (en) * 2002-04-05 2004-03-04 Chandra Mouli Semiconductor-on-insulator constructions
US6703648B1 (en) * 2002-10-29 2004-03-09 Advanced Micro Devices, Inc. Strained silicon PMOS having silicon germanium source/drain extensions and method for its fabrication
US6703271B2 (en) * 2001-11-30 2004-03-09 Taiwan Semiconductor Manufacturing Company Complementary metal oxide semiconductor transistor technology using selective epitaxy of a strained silicon germanium layer
US6703144B2 (en) * 2000-01-20 2004-03-09 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
US6703688B1 (en) * 2001-03-02 2004-03-09 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6709903B2 (en) * 2001-06-12 2004-03-23 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
US6709929B2 (en) * 2001-06-25 2004-03-23 North Carolina State University Methods of forming nano-scale electronic and optoelectronic devices using non-photolithographically defined nano-channel templates
US6713326B2 (en) * 2000-08-16 2004-03-30 Masachusetts Institute Of Technology Process for producing semiconductor article using graded epitaxial growth
US6855990B2 (en) * 2002-11-26 2005-02-15 Taiwan Semiconductor Manufacturing Co., Ltd Strained-channel multiple-gate transistor
US6855649B2 (en) * 2001-06-12 2005-02-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
US20050042849A1 (en) * 2002-06-25 2005-02-24 Amberwave Systems Corporation Reacted conductive gate electrodes
US6861318B2 (en) * 2001-11-01 2005-03-01 Intel Corporation Semiconductor transistor having a stressed channel
US6982433B2 (en) * 2003-06-12 2006-01-03 Intel Corporation Gate-induced strain for MOS performance improvement
US20060009001A1 (en) * 2004-06-10 2006-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. A Recessed Polysilicon Gate Structure for a Strained Silicon MOSFET Device
US20060057825A1 (en) * 2002-12-18 2006-03-16 Agere Systems Inc. Semiconductor devices with reduced active region defects and unique contacting schemes

Family Cites Families (129)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US125471A (en) * 1872-04-09 Improvement in hay and cotton presses
US123197A (en) * 1872-01-30 Improvement in chucks for screw-cutting lathes
US100942A (en) * 1870-03-15 Hervey d
US125497A (en) * 1872-04-09 Improvement in processes of burning asphaltum
US3679A (en) * 1844-07-24 chatterton
US43660A (en) * 1864-08-02 Improvement in hinges
US96717A (en) * 1869-11-09 Improvement in patterns for stove-castings
US25131A (en) * 1859-08-16 Improvement in binding apparatus for harvesters
US13323A (en) * 1855-07-24 Wagon
US140031A (en) * 1873-06-17 Improvement in corn-planters
US168864A (en) * 1875-10-19 Improvement in ventilating apparatus
US123167A (en) * 1872-01-30 Improvement
US3364A (en) * 1843-12-04 Weaving-loom
US670314A (en) * 1898-07-20 1901-03-19 Isaac Dunkel Strawstack-holder.
DE3542482A1 (en) 1985-11-30 1987-06-04 Licentia Gmbh MODULATION-Doped FIELD EFFECT TRANSISTOR
US5250445A (en) 1988-12-20 1993-10-05 Texas Instruments Incorporated Discretionary gettering of semiconductor circuits
US5241197A (en) 1989-01-25 1993-08-31 Hitachi, Ltd. Transistor provided with strained germanium layer
US5013681A (en) 1989-09-29 1991-05-07 The United States Of America As Represented By The Secretary Of The Navy Method of producing a thin silicon-on-insulator layer
US5316958A (en) 1990-05-31 1994-05-31 International Business Machines Corporation Method of dopant enhancement in an epitaxial silicon layer by using germanium
US5155571A (en) 1990-08-06 1992-10-13 The Regents Of The University Of California Complementary field effect transistors having strained superlattice structure
DE4101167A1 (en) 1991-01-17 1992-07-23 Daimler Benz Ag CMOS FET circuit layout - has common gate and drain electrodes in vertical or lateral configuration
US5240876A (en) 1991-02-22 1993-08-31 Harris Corporation Method of fabricating SOI wafer with SiGe as an etchback film in a BESOI process
US5442205A (en) 1991-04-24 1995-08-15 At&T Corp. Semiconductor heterostructure devices with strained semiconductor layers
US5221413A (en) 1991-04-24 1993-06-22 At&T Bell Laboratories Method for making low defect density semiconductor heterostructure and devices made thereby
CA2062134C (en) 1991-05-31 1997-03-25 Ibm Low Defect Densiry/Arbitrary Lattice Constant Heteroepitaxial Layers
JPH07187892A (en) 1991-06-28 1995-07-25 Internatl Business Mach Corp <Ibm> Silicon and its formation
US5166084A (en) 1991-09-03 1992-11-24 Motorola, Inc. Process for fabricating a silicon on insulator field effect transistor
FR2681472B1 (en) 1991-09-18 1993-10-29 Commissariat Energie Atomique PROCESS FOR PRODUCING THIN FILMS OF SEMICONDUCTOR MATERIAL.
US5208182A (en) 1991-11-12 1993-05-04 Kopin Corporation Dislocation density reduction in gallium arsenide on silicon heterostructures
US5207864A (en) 1991-12-30 1993-05-04 Bell Communications Research Low-temperature fusion of dissimilar semiconductors
JP3191972B2 (en) 1992-01-31 2001-07-23 キヤノン株式会社 Method for manufacturing semiconductor substrate and semiconductor substrate
US5426069A (en) 1992-04-09 1995-06-20 Dalsa Inc. Method for making silicon-germanium devices using germanium implantation
US5212110A (en) 1992-05-26 1993-05-18 Motorola, Inc. Method for forming isolation regions in a semiconductor device
US5242847A (en) 1992-07-27 1993-09-07 North Carolina State University At Raleigh Selective deposition of doped silion-germanium alloy on semiconductor substrate
US5461250A (en) 1992-08-10 1995-10-24 International Business Machines Corporation SiGe thin film or SOI MOSFET and method for making the same
JPH06140624A (en) 1992-10-22 1994-05-20 Furukawa Electric Co Ltd:The Schottky junction element
US5523243A (en) 1992-12-21 1996-06-04 International Business Machines Corporation Method of fabricating a triple heterojunction bipolar transistor
US5523592A (en) 1993-02-03 1996-06-04 Hitachi, Ltd. Semiconductor optical device, manufacturing method for the same, and opto-electronic integrated circuit using the same
US5346848A (en) 1993-06-01 1994-09-13 Motorola, Inc. Method of bonding silicon and III-V semiconductor materials
US5413679A (en) 1993-06-30 1995-05-09 The United States Of America As Represented By The Secretary Of The Navy Method of producing a silicon membrane using a silicon alloy etch stop layer
US5310451A (en) 1993-08-19 1994-05-10 International Business Machines Corporation Method of forming an ultra-uniform silicon-on-insulator layer
US5792679A (en) 1993-08-30 1998-08-11 Sharp Microelectronics Technology, Inc. Method for forming silicon-germanium/Si/silicon dioxide heterostructure using germanium implant
JPH0794420A (en) 1993-09-20 1995-04-07 Fujitsu Ltd Manufacture of compound semiconductor crystal substrate
US5461243A (en) 1993-10-29 1995-10-24 International Business Machines Corporation Substrate for tensilely strained semiconductor
JP2980497B2 (en) 1993-11-15 1999-11-22 株式会社東芝 Method of manufacturing dielectric-isolated bipolar transistor
US5534713A (en) 1994-05-20 1996-07-09 International Business Machines Corporation Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers
US5479033A (en) 1994-05-27 1995-12-26 Sandia Corporation Complementary junction heterostructure field-effect transistor
US6218677B1 (en) 1994-08-15 2001-04-17 Texas Instruments Incorporated III-V nitride resonant tunneling
JP3361922B2 (en) 1994-09-13 2003-01-07 株式会社東芝 Semiconductor device
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
WO1996015550A1 (en) 1994-11-10 1996-05-23 Lawrence Semiconductor Research Laboratory, Inc. Silicon-germanium-carbon compositions and processes thereof
US5539214A (en) 1995-02-06 1996-07-23 Regents Of The University Of California Quantum bridges fabricated by selective etching of superlattice structures
US5777347A (en) 1995-03-07 1998-07-07 Hewlett-Packard Company Vertical CMOS digital multi-valued restoring logic device
US5920088A (en) 1995-06-16 1999-07-06 Interuniversitair Micro-Electronica Centrum (Imec Vzw) Vertical MISFET devices
JP3403877B2 (en) 1995-10-25 2003-05-06 三菱電機株式会社 Semiconductor memory device and manufacturing method thereof
WO1997023000A1 (en) 1995-12-15 1997-06-26 Philips Electronics N.V. SEMICONDUCTOR FIELD EFFECT DEVICE COMPRISING A SiGe LAYER
US6403975B1 (en) 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5943560A (en) 1996-04-19 1999-08-24 National Science Council Method to fabricate the thin film transistor
JP3217015B2 (en) 1996-07-18 2001-10-09 インターナショナル・ビジネス・マシーンズ・コーポレーション Method for forming field effect transistor
JPH1041400A (en) 1996-07-26 1998-02-13 Sony Corp Semiconductor device and manufacture thereof
TW335558B (en) 1996-09-03 1998-07-01 Ibm High temperature superconductivity in strained SiSiGe
US5847419A (en) 1996-09-17 1998-12-08 Kabushiki Kaisha Toshiba Si-SiGe semiconductor device and method of fabricating the same
US6399970B2 (en) 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
DE59707274D1 (en) 1996-09-27 2002-06-20 Infineon Technologies Ag Integrated CMOS circuit arrangement and method for its production
EP0845815A3 (en) 1996-11-28 1999-03-03 Matsushita Electric Industrial Co., Ltd. Semiconductor device, method of designing the same and semiconductor integrated circuit device
US5808344A (en) 1996-12-13 1998-09-15 International Business Machines Corporation Single-transistor logic and CMOS inverters
US5786614A (en) 1997-04-08 1998-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Separated floating gate for EEPROM application
US5906951A (en) 1997-04-30 1999-05-25 International Business Machines Corporation Strained Si/SiGe layers on insulator
DE19720008A1 (en) 1997-05-13 1998-11-19 Siemens Ag Integrated CMOS circuit arrangement and method for its production
KR100400808B1 (en) 1997-06-24 2003-10-08 매사츄세츠 인스티튜트 오브 테크놀러지 CONTROLLING THREADING DISLOCATION DENSITIES IN Ge ON Si USING GRADED GeSi LAYERS AND PLANARIZATION
US5936274A (en) 1997-07-08 1999-08-10 Micron Technology, Inc. High density flash memory
US6160303A (en) 1997-08-29 2000-12-12 Texas Instruments Incorporated Monolithic inductor with guard rings
US5966622A (en) 1997-10-08 1999-10-12 Lucent Technologies Inc. Process for bonding crystalline substrates with different crystal lattices
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
US6232138B1 (en) 1997-12-01 2001-05-15 Massachusetts Institute Of Technology Relaxed InxGa(1-x)as buffers
US6154475A (en) 1997-12-04 2000-11-28 The United States Of America As Represented By The Secretary Of The Air Force Silicon-based strain-symmetrized GE-SI quantum lasers
JP3447939B2 (en) 1997-12-10 2003-09-16 株式会社東芝 Nonvolatile semiconductor memory and data reading method
FR2773177B1 (en) 1997-12-29 2000-03-17 France Telecom PROCESS FOR OBTAINING A SINGLE-CRYSTAL GERMANIUM OR SILICON LAYER ON A SILICON OR SINGLE-CRYSTAL GERMANIUM SUBSTRATE, RESPECTIVELY, AND MULTILAYER PRODUCTS OBTAINED
US6153495A (en) 1998-03-09 2000-11-28 Intersil Corporation Advanced methods for making semiconductor devices by low temperature direct bonding
JP4258034B2 (en) 1998-05-27 2009-04-30 ソニー株式会社 Semiconductor device and manufacturing method of semiconductor device
US6372356B1 (en) 1998-06-04 2002-04-16 Xerox Corporation Compliant substrates for growing lattice mismatched films
JP3403076B2 (en) 1998-06-30 2003-05-06 株式会社東芝 Semiconductor device and manufacturing method thereof
JP2000031491A (en) 1998-07-14 2000-01-28 Hitachi Ltd Semiconductor device, its manufacture, semiconductor substrate and its manufacture
US6368733B1 (en) 1998-08-06 2002-04-09 Showa Denko K.K. ELO semiconductor substrate
JP2000124325A (en) 1998-10-16 2000-04-28 Nec Corp Semiconductor device and manufacture thereof
US6329063B2 (en) 1998-12-11 2001-12-11 Nova Crystals, Inc. Method for producing high quality heteroepitaxial growth using stress engineering and innovative substrates
DE19859429A1 (en) 1998-12-22 2000-06-29 Daimler Chrysler Ag Process for the production of epitaxial silicon germanium layers
US6130453A (en) 1999-01-04 2000-10-10 International Business Machines Corporation Flash memory structure with floating gate in vertical trench
US6162688A (en) 1999-01-14 2000-12-19 Advanced Micro Devices, Inc. Method of fabricating a transistor with a dielectric underlayer and device incorporating same
DE60042666D1 (en) 1999-01-14 2009-09-17 Panasonic Corp Semiconductor component and method for its production
US6074919A (en) 1999-01-20 2000-06-13 Advanced Micro Devices, Inc. Method of forming an ultrathin gate dielectric
US6133799A (en) 1999-02-25 2000-10-17 International Business Machines Corporation Voltage controlled oscillator utilizing threshold voltage control of silicon on insulator MOSFETS
US6103559A (en) 1999-03-30 2000-08-15 Amd, Inc. (Advanced Micro Devices) Method of making disposable channel masking for both source/drain and LDD implant and subsequent gate fabrication
JP4521542B2 (en) 1999-03-30 2010-08-11 ルネサスエレクトロニクス株式会社 Semiconductor device and semiconductor substrate
US6251755B1 (en) 1999-04-22 2001-06-26 International Business Machines Corporation High resolution dopant/impurity incorporation in semiconductors via a scanned atomic force probe
US6228694B1 (en) 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
US6151248A (en) 1999-06-30 2000-11-21 Sandisk Corporation Dual floating gate EEPROM cell array with steering gates shared by adjacent cells
US6323108B1 (en) 1999-07-27 2001-11-27 The United States Of America As Represented By The Secretary Of The Navy Fabrication ultra-thin bonded semiconductor layers
US6242324B1 (en) * 1999-08-10 2001-06-05 The United States Of America As Represented By The Secretary Of The Navy Method for fabricating singe crystal materials over CMOS devices
US6235567B1 (en) 1999-08-31 2001-05-22 International Business Machines Corporation Silicon-germanium bicmos on soi
US6249022B1 (en) 1999-10-22 2001-06-19 United Microelectronics Corp. Trench flash memory with nitride spacers for electron trapping
US6271726B1 (en) 2000-01-10 2001-08-07 Conexant Systems, Inc. Wideband, variable gain amplifier
US6750130B1 (en) * 2000-01-20 2004-06-15 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
US6261929B1 (en) 2000-02-24 2001-07-17 North Carolina State University Methods of forming a plurality of semiconductor layers using spaced trench arrays
US6316301B1 (en) 2000-03-08 2001-11-13 Sun Microsystems, Inc. Method for sizing PMOS pull-up devices
JP3603747B2 (en) 2000-05-11 2004-12-22 三菱住友シリコン株式会社 Method for forming SiGe film, method for manufacturing heterojunction transistor, and heterojunction bipolar transistor
US6969875B2 (en) 2000-05-26 2005-11-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US7503975B2 (en) 2000-06-27 2009-03-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and fabrication method therefor
US7685239B2 (en) * 2000-06-28 2010-03-23 Canon Kabushiki Kaisha Image communication apparatus, image communication method, and memory medium
US6429061B1 (en) 2000-07-26 2002-08-06 International Business Machines Corporation Method to fabricate a strained Si CMOS structure using selective epitaxial deposition of Si after device isolation formation
EP1307917A2 (en) 2000-08-07 2003-05-07 Amberwave Systems Corporation Gate technology for strained surface channel and strained buried channel mosfet devices
JP2002076334A (en) 2000-08-30 2002-03-15 Hitachi Ltd Semiconductor device and manufacturing method therefor
JP2002164520A (en) 2000-11-27 2002-06-07 Shin Etsu Handotai Co Ltd Method for manufacturing semiconductor wafer
US6649480B2 (en) 2000-12-04 2003-11-18 Amberwave Systems Corporation Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US20020125471A1 (en) 2000-12-04 2002-09-12 Fitzgerald Eugene A. CMOS inverter circuits utilizing strained silicon surface channel MOSFETS
US6774010B2 (en) 2001-01-25 2004-08-10 International Business Machines Corporation Transferable device-containing layer for silicon-on-insulator applications
US6723661B2 (en) * 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6830976B2 (en) 2001-03-02 2004-12-14 Amberwave Systems Corproation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6724008B2 (en) 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6646322B2 (en) * 2001-03-02 2003-11-11 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6900103B2 (en) 2001-03-02 2005-05-31 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
JP2002289533A (en) 2001-03-26 2002-10-04 Kentaro Sawano Method for polishing surface of semiconductor, method for fabricating semiconductor device and semiconductor device
US6603156B2 (en) 2001-03-31 2003-08-05 International Business Machines Corporation Strained silicon on insulator structures
US6940089B2 (en) 2001-04-04 2005-09-06 Massachusetts Institute Of Technology Semiconductor device structure
AU2002341803A1 (en) * 2001-09-24 2003-04-07 Amberwave Systems Corporation Rf circuits including transistors having strained material layers
US6649492B2 (en) * 2002-02-11 2003-11-18 International Business Machines Corporation Strained Si based layer made by UHV-CVD, and devices therein
US7060632B2 (en) * 2002-03-14 2006-06-13 Amberwave Systems Corporation Methods for fabricating strained layers on semiconductor substrates
US6743651B2 (en) * 2002-04-23 2004-06-01 International Business Machines Corporation Method of forming a SiGe-on-insulator substrate using separation by implantation of oxygen
US20030227057A1 (en) * 2002-06-07 2003-12-11 Lochtefeld Anthony J. Strained-semiconductor-on-insulator device structures

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3876053A (en) * 1972-01-13 1975-04-08 Olivetti & Co Spa Serial printing device
US4010045A (en) * 1973-12-13 1977-03-01 Ruehrwein Robert A Process for production of III-V compound crystals
US4803539A (en) * 1985-03-29 1989-02-07 International Business Machines Corporation Dopant control of metal silicide formation
US4717681A (en) * 1986-05-19 1988-01-05 Texas Instruments Incorporated Method of making a heterojunction bipolar transistor with SIPOS
US5298452A (en) * 1986-09-12 1994-03-29 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
US4987462A (en) * 1987-01-06 1991-01-22 Texas Instruments Incorporated Power MISFET
US4994866A (en) * 1988-01-07 1991-02-19 Fujitsu Limited Complementary semiconductor device
US5484664A (en) * 1988-04-27 1996-01-16 Fujitsu Limited Hetero-epitaxially grown compound semiconductor substrate
US4990979A (en) * 1988-05-13 1991-02-05 Eurosil Electronic Gmbh Non-volatile memory cell
US5198689A (en) * 1988-11-30 1993-03-30 Fujitsu Limited Heterojunction bipolar transistor
US4997776A (en) * 1989-03-06 1991-03-05 International Business Machines Corp. Complementary bipolar transistor structure and method for manufacture
US5294564A (en) * 1989-03-31 1994-03-15 Thomson-Csf Method for the directed modulation of the composition or doping of semiconductors, notably for the making of planar type monolithic electronic components, use of the method and corresponding products
US5108946A (en) * 1989-05-19 1992-04-28 Motorola, Inc. Method of forming planar isolation regions
US5202284A (en) * 1989-12-01 1993-04-13 Hewlett-Packard Company Selective and non-selective deposition of Si1-x Gex on a Si subsrate that is partially masked with SiO2
US5177583A (en) * 1990-02-20 1993-01-05 Kabushiki Kaisha Toshiba Heterojunction bipolar transistor
US5089872A (en) * 1990-04-27 1992-02-18 North Carolina State University Selective germanium deposition on silicon and resulting structures
US5285086A (en) * 1990-08-02 1994-02-08 At&T Bell Laboratories Semiconductor devices with low dislocation defects
US5091767A (en) * 1991-03-18 1992-02-25 At&T Bell Laboratories Article comprising a lattice-mismatched semiconductor heterostructure
US5304834A (en) * 1991-05-23 1994-04-19 At&T Bell Laboratories Selective epitaxy of silicon in silicon dioxide apertures with suppression of unwanted formation of facets
US5291439A (en) * 1991-09-12 1994-03-01 International Business Machines Corporation Semiconductor memory cell and memory array with inversion layer
US5617351A (en) * 1992-03-12 1997-04-01 International Business Machines Corporation Three-dimensional direct-write EEPROM arrays and fabrication methods
US5739567A (en) * 1992-11-02 1998-04-14 Wong; Chun Chiu D. Highly compact memory device with nonvolatile vertical transistor memory cell
US5596527A (en) * 1992-12-07 1997-01-21 Nippon Steel Corporation Electrically alterable n-bit per cell non-volatile memory with reference cells
US5399522A (en) * 1993-02-16 1995-03-21 Fujitsu Limited Method of growing compound semiconductor
US5728623A (en) * 1994-03-16 1998-03-17 Nec Corporation Method of bonding a III-V group compound semiconductor layer on a silicon substrate
US5496771A (en) * 1994-05-19 1996-03-05 International Business Machines Corporation Method of making overpass mask/insulator for local interconnects
US6030889A (en) * 1994-09-11 2000-02-29 International Business Machines Corporation Substrate-holding fixture of non-wettable material
US6342421B1 (en) * 1994-09-13 2002-01-29 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method thereof
US5496750A (en) * 1994-09-19 1996-03-05 Texas Instruments Incorporated Elevated source/drain junction metal oxide semiconductor field-effect transistor using blanket silicon deposition
US5705421A (en) * 1994-11-24 1998-01-06 Sony Corporation A SOI substrate fabricating method
US5710450A (en) * 1994-12-23 1998-01-20 Intel Corporation Transistor with ultra shallow tip and method of fabrication
US5877535A (en) * 1996-01-25 1999-03-02 Sony Corporation CMOS semiconductor device having dual-gate electrode construction and method of production of the same
US6191432B1 (en) * 1996-09-02 2001-02-20 Kabushiki Kaisha Toshiba Semiconductor device and memory device
US20020019127A1 (en) * 1997-02-14 2002-02-14 Micron Technology, Inc. Interconnect structure and method of making
US5714777A (en) * 1997-02-19 1998-02-03 International Business Machines Corporation Si/SiGe vertical junction field effect transistor
US6682965B1 (en) * 1997-03-27 2004-01-27 Sony Corporation Method of forming n-and p- channel field effect transistors on the same silicon layer having a strain effect
US6194722B1 (en) * 1997-03-28 2001-02-27 Interuniversitair Micro-Elektronica Centrum, Imec, Vzw Method of fabrication of an infrared radiation detector and infrared detector device
US5891769A (en) * 1997-04-07 1999-04-06 Motorola, Inc. Method for forming a semiconductor device having a heteroepitaxial layer
US6191007B1 (en) * 1997-04-28 2001-02-20 Denso Corporation Method for manufacturing a semiconductor substrate
US6033974A (en) * 1997-05-12 2000-03-07 Silicon Genesis Corporation Method for controlled cleaving process
US5877070A (en) * 1997-05-31 1999-03-02 Max-Planck Society Method for the transfer of thin layers of monocrystalline material to a desirable substrate
US5869359A (en) * 1997-08-20 1999-02-09 Prabhakar; Venkatraman Process for forming silicon on insulator devices having elevated source and drain regions
US6033995A (en) * 1997-09-16 2000-03-07 Trw Inc. Inverted layer epitaxial liftoff process
US6013134A (en) * 1998-02-18 2000-01-11 International Business Machines Corporation Advance integrated chemical vapor deposition (AICVD) for semiconductor devices
US6030887A (en) * 1998-02-26 2000-02-29 Memc Electronic Materials, Inc. Flattening process for epitaxial semiconductor wafers
US6521041B2 (en) * 1998-04-10 2003-02-18 Massachusetts Institute Of Technology Etch stop layer system
US6184111B1 (en) * 1998-06-23 2001-02-06 Silicon Genesis Corporation Pre-semiconductor process implant and post-process film separation
US6344375B1 (en) * 1998-07-28 2002-02-05 Matsushita Electric Industrial Co., Ltd Substrate containing compound semiconductor, method for manufacturing the same and semiconductor device using the same
US6335546B1 (en) * 1998-07-31 2002-01-01 Sharp Kabushiki Kaisha Nitride semiconductor structure, method for producing a nitride semiconductor structure, and light emitting device
US6210988B1 (en) * 1999-01-15 2001-04-03 The Regents Of The University Of California Polycrystalline silicon germanium films for forming micro-electromechanical systems
US6858502B2 (en) * 1999-03-12 2005-02-22 International Business Machines Corporation High speed composite p-channel Si/SiGe heterostructure for field effect devices
US6350993B1 (en) * 1999-03-12 2002-02-26 International Business Machines Corporation High speed composite p-channel Si/SiGe heterostructure for field effect devices
US6187657B1 (en) * 1999-03-24 2001-02-13 Advanced Micro Devices, Inc. Dual material gate MOSFET technique
US6689211B1 (en) * 1999-04-09 2004-02-10 Massachusetts Institute Of Technology Etch stop layer system
US6350311B1 (en) * 1999-06-17 2002-02-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming an epitaxial silicon-germanium layer
US6674150B2 (en) * 1999-06-22 2004-01-06 Matsushita Electric Industrial Co., Ltd. Heterojunction bipolar transistor and method for fabricating the same
US6204529B1 (en) * 1999-08-27 2001-03-20 Hsing Lan Lung 8 bit per cell non-volatile semiconductor memory structure utilizing trench technology and dielectric floating gate
US6339232B1 (en) * 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
US6686617B2 (en) * 1999-10-26 2004-02-03 International Business Machines Corporation Semiconductor chip having both compact memory and high performance logic
US6690043B1 (en) * 1999-11-26 2004-02-10 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6521508B1 (en) * 1999-12-31 2003-02-18 Hyundai Electronics Industries Co., Ltd. Method of manufacturing a contact plug in a semiconductor device using selective epitaxial growth of silicon process
US6352909B1 (en) * 2000-01-06 2002-03-05 Silicon Wafer Technologies, Inc. Process for lift-off of a layer from a substrate
US6703144B2 (en) * 2000-01-20 2004-03-09 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
US6362071B1 (en) * 2000-04-05 2002-03-26 Motorola, Inc. Method for forming a semiconductor device with an opening in a dielectric layer
US20020001948A1 (en) * 2000-06-28 2002-01-03 Lee In Haeng Method of manufacturing a semiconductor device
US6713326B2 (en) * 2000-08-16 2004-03-30 Masachusetts Institute Of Technology Process for producing semiconductor article using graded epitaxial growth
US20020024395A1 (en) * 2000-08-29 2002-02-28 Terumoto Akatsuka Voltage controlled oscillator with power amplifier
US6524935B1 (en) * 2000-09-29 2003-02-25 International Business Machines Corporation Preparation of strained Si/SiGe on insulator by hydrogen induced layer transfer technique
US6509587B2 (en) * 2000-09-29 2003-01-21 Kabushiki Kaisha Toshiba Semiconductor device
US6503833B1 (en) * 2000-11-15 2003-01-07 International Business Machines Corporation Self-aligned silicide (salicide) process for strained silicon MOSFET ON SiGe and structure formed thereby
US20030034529A1 (en) * 2000-12-04 2003-02-20 Amberwave Systems Corporation CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6703688B1 (en) * 2001-03-02 2004-03-09 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6677192B1 (en) * 2001-03-02 2004-01-13 Amberwave Systems Corporation Method of fabricating a relaxed silicon germanium platform having planarizing for high speed CMOS electronics and high speed analog circuits
US6855649B2 (en) * 2001-06-12 2005-02-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
US6709903B2 (en) * 2001-06-12 2004-03-23 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
US20030013323A1 (en) * 2001-06-14 2003-01-16 Richard Hammond Method of selective removal of SiGe alloys
US6709929B2 (en) * 2001-06-25 2004-03-23 North Carolina State University Methods of forming nano-scale electronic and optoelectronic devices using non-photolithographically defined nano-channel templates
US20030003679A1 (en) * 2001-06-29 2003-01-02 Doyle Brian S. Creation of high mobility channels in thin-body SOI devices
US20030025131A1 (en) * 2001-08-06 2003-02-06 Massachusetts Institute Of Technology Formation of planar strained layers
US20030057439A1 (en) * 2001-08-09 2003-03-27 Fitzgerald Eugene A. Dual layer CMOS devices
US6861318B2 (en) * 2001-11-01 2005-03-01 Intel Corporation Semiconductor transistor having a stressed channel
US20050009263A1 (en) * 2001-11-30 2005-01-13 Taiwan Semiconductor Manufacturing Company Complementary metal oxide semiconductor transistor technology using selective epitaxy of a strained silicon germanium layer
US6703271B2 (en) * 2001-11-30 2004-03-09 Taiwan Semiconductor Manufacturing Company Complementary metal oxide semiconductor transistor technology using selective epitaxy of a strained silicon germanium layer
US20060008958A1 (en) * 2001-11-30 2006-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Complementary metal oxide semiconductor transistor technology using selective epitaxy of a strained silicon germanium layer
US20040041210A1 (en) * 2002-04-05 2004-03-04 Chandra Mouli Semiconductor-on-insulator constructions
US6992355B2 (en) * 2002-04-05 2006-01-31 Micron Technology, Inc. Semiconductor-on-insulator constructions
US20040031979A1 (en) * 2002-06-07 2004-02-19 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US6995430B2 (en) * 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US20040005740A1 (en) * 2002-06-07 2004-01-08 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US20050042849A1 (en) * 2002-06-25 2005-02-24 Amberwave Systems Corporation Reacted conductive gate electrodes
US20040007724A1 (en) * 2002-07-12 2004-01-15 Anand Murthy Process for ultra-thin body SOI devices that incorporate EPI silicon tips and article made thereby
US20040014276A1 (en) * 2002-07-16 2004-01-22 Murthy Anand S. Method of making a semiconductor transistor
US20040014304A1 (en) * 2002-07-18 2004-01-22 Micron Technology, Inc. Stable PD-SOI devices and methods
US6699765B1 (en) * 2002-08-29 2004-03-02 Micrel, Inc. Method of fabricating a bipolar transistor using selective epitaxially grown SiGe base layer
US6703648B1 (en) * 2002-10-29 2004-03-09 Advanced Micro Devices, Inc. Strained silicon PMOS having silicon germanium source/drain extensions and method for its fabrication
US6855990B2 (en) * 2002-11-26 2005-02-15 Taiwan Semiconductor Manufacturing Co., Ltd Strained-channel multiple-gate transistor
US20060057825A1 (en) * 2002-12-18 2006-03-16 Agere Systems Inc. Semiconductor devices with reduced active region defects and unique contacting schemes
US6982433B2 (en) * 2003-06-12 2006-01-03 Intel Corporation Gate-induced strain for MOS performance improvement
US20060009001A1 (en) * 2004-06-10 2006-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. A Recessed Polysilicon Gate Structure for a Strained Silicon MOSFET Device

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080135873A1 (en) * 2006-12-08 2008-06-12 Amberwave Systems Corporation Inducement of Strain in a Semiconductor Layer
US7897493B2 (en) 2006-12-08 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Inducement of strain in a semiconductor layer

Also Published As

Publication number Publication date
US9219065B2 (en) 2015-12-22
US20040097025A1 (en) 2004-05-20
US20050106850A1 (en) 2005-05-19
US6881632B2 (en) 2005-04-19
US6649480B2 (en) 2003-11-18
US20020123197A1 (en) 2002-09-05
US20100022073A1 (en) 2010-01-28

Similar Documents

Publication Publication Date Title
US9219065B2 (en) Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETS
US20040075149A1 (en) CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US20020125471A1 (en) CMOS inverter circuits utilizing strained silicon surface channel MOSFETS
Jeon et al. Standby leakage power reduction technique for nanoscale CMOS VLSI systems
Takagi et al. Device structures and carrier transport properties of advanced CMOS using high mobility channels
US8169025B2 (en) Strained CMOS device, circuit and method of fabrication
US7465619B2 (en) Methods of fabricating dual layer semiconductor devices
JP2008523622A (en) Fermi-FET strained silicon and gate technology
Parton et al. Strained silicon—the key to sub-45 nm CMOS
Alper et al. A novel reconfigurable sub-0.25-V digital logic family using the electron-hole bilayer TFET
Takagi et al. Device structure and electrical characteristics of strained-Si-on-insulator (strained-SOI) MOSFETs
Kim et al. Performance assessment of scaled strained-Si channel-on-insulator (SSOI) CMOS
Berthelon et al. A novel dual isolation scheme for stress and back-bias maximum efficiency in FDSOI Technology
Rim Strained Si surface channel MOSFETs for high-performance CMOS technology
Thompson et al. Strained Si and the future direction of CMOS
Mazure et al. Strain-enhanced CMOS through novel process-substrate stress hybridization of super-critically thick strained silicon directly on insulator (SC-SSOI)
EP1415337B1 (en) Dual layer cmos devices
Fitzgerald Engineered substrates and their future role in microelectronics
Tao et al. Novel vertical stack HCMOSFET with strained SiGe/Si quantum channel
Taberkit et al. Modeling and Simulation of Biaxial Strained P-MOSFETs: Application to a Single and Dual Channel Heterostructure
Chaudry et al. review of current strained silicon nanoscale MOSFET structures
Bindu et al. Analytical model of drain current of strained-Si/strained-Si1− YGeY/relaxed-Si1− XGeX NMOSFETs and PMOSFETs for circuit simulation
Dash et al. Silicon–Germanium Channel Heterostructure p-MOSFETs
Khatami et al. A symmetric CMOS inverter using biaxially strained Si nano PMOSFET
Barik et al. Design and analysis of tri-layered strained channel HOI CGAA FET

Legal Events

Date Code Title Description
AS Assignment

Owner name: AMBERWAVE SYSTEMS CORPORATION, NEW HAMPSHIRE

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:FITZGERALD, EUGENE A.;GERRISH, NICOLE;REEL/FRAME:018854/0062;SIGNING DATES FROM 20031106 TO 20031107

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION