US20060275962A1 - Three-dimensional integrated circuit structure and method of making same - Google Patents

Three-dimensional integrated circuit structure and method of making same Download PDF

Info

Publication number
US20060275962A1
US20060275962A1 US11/378,059 US37805906A US2006275962A1 US 20060275962 A1 US20060275962 A1 US 20060275962A1 US 37805906 A US37805906 A US 37805906A US 2006275962 A1 US2006275962 A1 US 2006275962A1
Authority
US
United States
Prior art keywords
layer
substrate
fld
devices
soi
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/378,059
Inventor
Sang-Yun Lee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
BeSang Inc
Original Assignee
Sang-Yun Lee
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1020030047515A external-priority patent/KR100904771B1/en
Priority to US11/378,059 priority Critical patent/US20060275962A1/en
Application filed by Sang-Yun Lee filed Critical Sang-Yun Lee
Priority to US11/606,523 priority patent/US7888764B2/en
Publication of US20060275962A1 publication Critical patent/US20060275962A1/en
Priority to US12/040,642 priority patent/US7800199B2/en
Priority to US12/397,309 priority patent/US7863748B2/en
Priority to US12/470,344 priority patent/US8058142B2/en
Priority to US12/475,294 priority patent/US7799675B2/en
Priority to US12/581,722 priority patent/US8471263B2/en
Priority to US12/618,542 priority patent/US7867822B2/en
Priority to US12/637,559 priority patent/US20100133695A1/en
Priority to US12/731,087 priority patent/US20100190334A1/en
Priority to US12/874,866 priority patent/US8071438B2/en
Priority to US12/881,961 priority patent/US8367524B2/en
Priority to US12/881,628 priority patent/US20110001172A1/en
Assigned to BESANG, INC. reassignment BESANG, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LEE, SANG-YUN
Assigned to DAEHONG TECHNEW CORPORATION reassignment DAEHONG TECHNEW CORPORATION SECURITY AGREEMENT Assignors: BESANG INC.
Assigned to BESANG INC. reassignment BESANG INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: DAEHONG TECHNEW CORPORATION
Abandoned legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78642Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • H01L29/7881Programmable transistors with only two possible levels of programmation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • H01L29/7926Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/861Diodes
    • H01L29/8613Mesa PN junction diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/861Diodes
    • H01L29/872Schottky diodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B20/00Read-only memory [ROM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B20/00Read-only memory [ROM] devices
    • H10B20/20Programmable ROM [PROM] devices comprising field-effect components
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • H10B53/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • H10B61/20Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors
    • H10B61/22Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors of the field-effect transistor [FET] type
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/30Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors
    • H10B63/34Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors of the vertical channel field-effect transistor type
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices

Definitions

  • the present invention relates generally to three dimensional integrated circuit (IC) structures and manufacturing methods therefore, and more particularly relates to combining a semiconductor substrate with a thin add-on semiconductor layer in which various active and/or passive devices have been fabricated.
  • IC integrated circuit
  • a prior art 3-D IC might be termed a ‘Hybrid IC’.
  • a conventional Hybrid IC implementation method typically includes; providing a first IC which consists of a base semiconductor substrate 201 and a dielectric layer 202 ; providing a second IC that also consists of a base semiconductor substrate 203 and a dielectric layer 204 ; stacking and bonding these ICs, or individual chips; and implementing a deep via 255 such as shown in U.S. Pat. No. 6,600,173 which penetrates the semiconductor substrate, or providing micro bumps as shown in U.S. Pat. No. 6,355,501.
  • devices in the stacked semiconductor substrate 203 are usually connected by interconnection lines in dielectric layer 204 , which is disposed above substrate 203 .
  • interconnection lines in dielectric layer 202 which is disposed below stacked substrate 203 are used to connect devices in the base substrate 201 . It can be seen that the devices in stacked substrate 203 do not have bottom electrodes, but rather have contacts from the top side.
  • the ‘hybrid IC technology’ used in 3-D IC is considered to be another type of Multi-Chip Package (MCP).
  • MCP Multi-Chip Package
  • the main purpose of the ‘hybrid IC technology’ is to reduce interconnection lines used in package interconnections to facilitate high speed device operation.
  • prior art 3-D IC implementations are typically characterized as follows: each of the IC layers are implemented by separate processing; each IC is bonded and stacked to become a 3-D IC; each IC layer has a semiconductor substrate (e.g., 201 , 203 ) respectively holding devices (e.g., 211 , 212 ). Also in general the devices share an electrically common substrate 241 , 242 or well 243 . It is noted that although conventional implementations using SOI do not have an electrically common substrate, such implementations do have a physically common semiconductor substrate. Additionally, conventional implementations stacked ICs have dielectric layers, interconnection lines, and vias only above the devices in each stacked ICs.
  • a single crystalline semiconductor layer is formed by melting polycrystalline or amorphous semiconductor layer disposed on a dielectric layer using, for example, a laser. Devices are then formed using the single crystalline semiconductor layer, which was formed from the polycrystalline or amorphous layer.
  • a single crystalline epitaxial layer is grown on a dielectric layer where the dielectric layer has partially exposed holes therethrough to an underlying single crystalline layer.
  • a plurality of vertically oriented semiconductor devices may be added to a separately fabricated substrate that includes electrical devices and or interconnect.
  • the plurality of vertically oriented semiconductor devices are physically separated from each other, and therefore are not disposed within the same semiconductor body, or semiconductor substrate.
  • the plurality of vertically oriented semiconductor devices is added to the separately fabricated substrate as a thin layer including several doped semiconductor regions which, subsequent to attachment to the substrate, are etched to produce individual doped stack structures.
  • the plurality of vertically oriented semiconductor devices may be fabricated prior to attachment to the separately fabricated substrate.
  • the doped stack structures may form the basis of a wide variety of semiconductor devices, including, but not limited to, diodes, capacitors, n-type MOSFETs, p-type MOSFETs, bipolar transistors, and floating gate transistors.
  • ferroelectric memory devices in another aspect of the present invention, ferroelectric memory devices, ferromagnetic memory devices, chalcogenide phase change devices, and similar structures may be formed in a stackable add-on layer for use in conjunction with the separately fabricated substrate.
  • the stackable add-on layers include at least one layer of electrical interconnect lines.
  • FIG. 1 is a cross-sectional view of a stacked integrated circuit, referred to as a three-dimensional integrated circuit, in accordance with the prior art.
  • FIG. 2 is a cross-sectional view of an embodiment the present invention that includes a base semiconductor substrate.
  • FIG. 3 is a cross-sectional view of an embodiment of the present invention without a base semiconductor substrate.
  • FIGS. 4 a - 4 d illustrate a process flow which forms a 3-D IC structure using SOI layer in accordance with the present invention.
  • FIG. 5 a is a cross-sectional view of an embodiment of the present invention wherein the bottom of SOI layer does not have directly connected electrodes.
  • FIG. 5 b is a cross-sectional view of an embodiment of the present invention that includes multiple stacked SOI layers.
  • FIGS. 6 a - 6 b are cross-sectional views of an embodiment of the present invention which has horizontally oriented, rather than vertically oriented, devices incorporated in the SOI layer.
  • FIGS. 7 a - 7 c illustrate a process flow of in accordance with the present invention that produces vertically oriented devices incorporated in the SOI layer with those devices having directly connected bottom electrodes.
  • FIG. 8 a is a cross-sectional view of an embodiment of the present invention having a vertical device with a planar middle electrode.
  • FIG. 8 b is a cross-sectional view of an embodiment of the present invention having a vertical device with a spacer middle electrode.
  • FIG. 8 c is a cross-sectional view of an embodiment of the present invention having a vertical device with a spacer middle electrode extended to an adjacent dummy vertical device.
  • FIG. 8 d is a cross-sectional view of an embodiment of the present invention having a vertical device with a middle electrode extended to the top of the vertical device.
  • FIG. 8 e is a top view of the structure shown in FIG. 8 d.
  • FIG. 9 a is a cross-sectional view of an embodiment of the present invention having a vertical device with a planar etch stop layer for a top contact electrode.
  • FIG. 9 b is a cross-sectional view of an embodiment of the present invention having a vertical device with a spacer etch stop layer for a top contact electrode.
  • FIG. 10 a is a cross-sectional view of an embodiment of the present invention having a vertically oriented p-n junction diode.
  • FIG. 10 b is a cross-sectional view of an embodiment of the present invention having a vertically oriented Schottky diode.
  • FIG. 10 c is a cross-sectional view of an embodiment of the present invention having a vertically oriented Schottky diode with Schottky contact disposed at the middle electrode.
  • FIG. 11 a is a cross-sectional view of an embodiment of the present invention having a vertically oriented capacitor formed by a depletion region.
  • FIG. 11 b is a cross-sectional view of an embodiment of the present invention having a vertically oriented capacitor formed by a dielectric layer between electrodes.
  • FIG. 12 is a cross-sectional view of an embodiment of the present invention having a vertically oriented bipolar transistor.
  • FIG. 13 a is a top view of an embodiment of the present invention having a vertical device forming a MOSFET with 8 gates for multi-bit, or variable gate width, operation.
  • FIG. 13 b is a top view of an embodiment of the present invention having a vertical device forming a MOSFET with 4 different size gates for multi-bit, or variable gate width, operation.
  • FIG. 14 is a cross-sectional view of an embodiment of the present invention having a vertically oriented inverter.
  • FIGS. 15 a - 15 b illustrate top and bottom layouts of an SRAM cell in accordance with the present invention.
  • FIG. 16 a is a cross-sectional view of an embodiment of the present invention having a vertically oriented Thyristor as part of an SRAM cell.
  • FIG. 16 b is a cross-sectional view of an embodiment of the present invention having a both a Thyristor and a MOSFET vertically disposed and serially connected in the SOI layer.
  • FIG. 17 a is a cross-sectional view of an embodiment of the present invention having a vertical DRAM cell with vertical connection of a depletion capacitor and a MOSFET in the SOI layer.
  • FIG. 17 b is a cross-sectional view of an embodiment of the present invention having a vertical DRAM cell with vertical connection of a dielectric capacitor and a MOSFET in the SOI layer.
  • FIG. 18 a is a cross-sectional view of an embodiment of the present invention having a vertical Non-Volatile Memory (NVM) cell that includes a floating gate and a control gate in the SOI layer.
  • NVM Non-Volatile Memory
  • FIG. 18 b is a cross-sectional view of an embodiment of the present invention having a vertical NVM cell that includes a floating gate that partially covers the channel region, and a control gate that covers the floating gate and the rest of the channel region in the SOI layer.
  • FIG. 18 c is a cross-sectional view of an embodiment of the present invention having a vertical NVM cell with a floating gate, a control gate, and a erase gate in a SOI layer.
  • FIG. 18 d is a cross-sectional view of an embodiment of the present invention having a vertical NVM cell with an Oxide-Nitride-Oxide (ONO) gate in the SOI layer.
  • ONT Oxide-Nitride-Oxide
  • FIG. 18 e is a cross-sectional view of an embodiment of the present invention which has a vertical NVM cell with a floating gate, a control gate, and a bulk contact, all disposed in the SOI layer.
  • FIG. 18 f is top view of the structure of FIG. 18 a with eight gates in the SOI layer.
  • FIGS. 19 a - 19 b illustrate layouts of SOI layers that have multiple blocks containing different types of devices.
  • FIG. 20 a is a cross-sectional view of an embodiment of the present invention having a vertical NVM cell that includes a MOSFET serially connected to a capacitor, which uses ferroelectric material, both disposed in the SOI.
  • FIG. 20 b illustrates an exemplary memory circuit formed with devices illustrated in FIG. 20 a.
  • FIG. 20 c illustrates another exemplary memory circuit formed with devices illustrated in FIG. 20 a.
  • FIG. 21 a is a cross-sectional view of an embodiment of the present invention having a vertical NVM cell that includes the structure illustrated in FIG. 20 a.
  • FIG. 21 b illustrates an exemplary memory circuit formed from structures shown in FIG. 21 a.
  • FIG. 22 a is a cross-sectional view of an embodiment of the present invention that includes a vertical NVM cell with a MOSFET in the SOI layer and uses a ferroelectric material between the gate and gate dielectric layers.
  • FIG. 22 b is a cross-sectional view of an embodiment of the present invention that includes a vertical NVM cell with a MOSFET in the SOI layer and uses a ferroelectric material between the floating gate and the control gate.
  • FIG. 23 a is a cross-sectional view of an embodiment of the present invention that includes a vertically oriented NVM cell having a MOSFET in the SOI layer serially connected to a ferromagnetic material at the bottom of the MOSFET.
  • FIG. 23 b is a cross-sectional view of an embodiment of the present invention that includes a vertically oriented NVM cell having a MOSFET in the SOI layer serially connected to a ferromagnetic material at the top of the MOSFET.
  • FIG. 24 is a cross-sectional view of an embodiment of the present invention that includes a vertically oriented NVM cell having a MOSFET disposed in the SOI layer that is serially connected to a resistor formed from a chalcogenide material.
  • FIG. 25 is a cross-sectional view of an embodiment of the present invention that includes a vertically oriented NVM cell having a MOSFET disposed in the SOI layer that is serially connected a structure that depending on the material used may function either as a fuse or an antifuse.
  • FIG. 26 is a cross-sectional view of an embodiment of the present invention that includes a vertical volatile memory cell having a MOSFET in the SOI layer without body contact.
  • FIG. 27 a is a cross-sectional view of an embodiment of the present invention that includes a vertically oriented NVM cell having a MOSFET connected in series with a floating gate transistor such as the one shown in FIG. 18 a.
  • FIG. 27 b is a schematic diagram of an equivalent circuit for the structure shown in FIG. 27 a.
  • FIG. 28 is a cross-sectional view of an embodiment of the present invention that includes a high voltage MOSFET disposed in the SOI layer.
  • FIG. 29 is a cross-sectional view of an embodiment of the present invention that includes a high voltage MOSFET disposed in the SOI layer, the high voltage MOSFET having a low doped channel region.
  • FIG. 2 A 3-D IC in accordance with the present invention is shown in FIG. 2 .
  • Embodiments of the present invention provide a device integration technology.
  • SoC Application Specific Integrated Circuit
  • SoCs System on a Chip, with “SoCs” being the plural of SoC.
  • a SoC may be an ASIC but is not required to be.
  • An ASIC may be a SoC but is not required to be.
  • back bias refers to a voltage applied to the substrate, or body, of a field effect transistor (FET).
  • FET field effect transistor
  • microelectronic device may be considered to be the broadest term, encompassing the others.
  • signals are typically coupled between them and other circuit elements via physical, electrically conductive connections.
  • the point of connection is sometimes referred to as an input, output, terminal, line, pin, pad, port, interface, or similar variants and combinations.
  • Device refers to one or more circuit elements that have characteristics that are voltage variant. “Device” includes, but is not limited to, FETs (n-channel and p-channel), diodes, and varactors.
  • FET refers to metal-oxide-semiconductor field effect transistors (MOSFETs). These transistors are also known as insulated gate field effect transistors (IGFETs). FETs are generally described as three terminal devices having a gate, a source and a drain. Although FETs may be further described as four terminal devices when the body of the FET is considered.
  • MOSFETs metal-oxide-semiconductor field effect transistors
  • IGFETs insulated gate field effect transistors
  • Source and drain terminals refer to the terminals of a FET, between which conduction occurs under the influence of an electric field, subsequent to the inversion of the semiconductor surface under the influence of an electric field resulting from a voltage applied to the gate terminal.
  • SOI generally refers to Silicon-on-Insulator.
  • SOI layers can be formed in a variety of ways.
  • SOI layer is used herein to refer to a relatively thin, single crystal portion of a semiconductor wafer that can be cleaved and bonded to another previously fabricated wafer, or similar type of substrate, such that a three dimensional stack is formed from the SOI layer and the previously fabricated wafer or similar type of substrate.
  • the SOI layer may be thought of as an attachment layer, or stackable add-on structure, that itself contains at least devices and/or interconnections, and which is suitable for bonding to a semiconductor substrate already containing devices and/or interconnections.
  • the single-crystal layer may have been doped so as to have one or more doped regions vertically adjacent each other.
  • doped regions may include intrinsic regions as well as p-type and n-type regions.
  • Individual semiconductor structures may be formed by etching through portions of the doped stack to electrically isolate those structures. The spaces between such individual structures may be filled dielectric material so as to re-form a layer without gaps or voids therein, and thereby provide for mechanical stability, and support for additional stacked layers.
  • 3-D IC refers to a three-dimensional integrated circuit that includes a semiconductor substrate having devices and/or interconnect structures fabricated thereon, and least one SOI layer, also having devices and/or interconnect, where the semiconductor substrate and the SOI layer are stacked and bonded to each other.
  • thin film including semiconductor layer 104 in FIG. 3 is thin film single crystalline semiconductor layer including diffusion layer such as p-type, n-type, or i(intrinsic)-type, and physically distinguishable layers, such as dielectric layer or metal layer.
  • diffusion layer such as p-type, n-type, or i(intrinsic)-type
  • physically distinguishable layers such as dielectric layer or metal layer.
  • non-multiple-device formed’ semiconductor layer 124 of FIG. 4 b is that SOI thin layer 124 does not have isolation structures, metal patterns, interconnection used for multiple devices, nor separated impurity regions used for individual devices.
  • devices 111 , 112 , 113 in accordance with the present invention are separated by isolation 135 and have floating structures in the filled dielectric materials 133 .
  • devices 111 , 112 , 113 are referred to as floating devices (FLD). Therefore, embodiments of the present invention are different from a conventional bonded IC layer that has a shared well 142 or substrate 143 , where an electrically common region is located. Additionally, embodiments of the present invention do not have the physically supporting layer which can be found in a conventional SOI IC substrate where all devices in one IC layer are supported by a substrate under a bottom oxide.
  • a second IC layer that includes FLD 112 , 113 is called FLD IC layer 102
  • a first IC layer that includes FLD 113 is called FLD IC layer 101
  • Inter-layer dielectric (ILD) layers disposed above and below FLD IC layer 101 has interconnection lines 132 and vias, or contacts, 131 .
  • Interconnection lines 132 and vias 131 connect FLDs directly or indirectly within an FLD IC layer, or connect devices from a FLD IC layer to another FLD IC layer or to a base substrate 103 .
  • the substrate bonded to lower dielectric layer 151 is called base substrate 103
  • the first FLD IC layer above base substrate 103 is called first FLD layer 101
  • the next FLD IC layer is called second FLD IC layer 102 .
  • FIG. 2 shows multiple FLD IC layers 101 , 102 and one base semiconductor substrate 103 .
  • Dashed line 134 denotes a border, or interface, of two ILD layers.
  • First ILD layer 151 has interconnection lines and vias, and base semiconductor substrate 103 shares these interconnections and vias with first FLD IC layer 101 . Some vias may directly connect to second FLD IC layer 102 from first ILD layer 151 . Also interconnection lines and vias in second ILD layer 152 are shared by first and second FLD IC layers 101 , 102 . This sharing scheme of interconnection lines and vias is an advantage of embodiments of the present invention.
  • electrodes to floating devices 111 , 112 , 113 is by direct connection to the top and bottom of each floating device 111 , 112 , 113 .
  • floating devices may be constructed that have vertically separated single or more intermediate electrodes 123 . These electrodes could be connected to interconnection lines within the ILD layers disposed on the top and/or bottom of the FLD layer.
  • FIG. 2 if a logic IC is implemented in base semiconductor substrate 103 , memory devices are implemented in first FLD IC layer 101 , and image sensors are in second FLD IC layer 102 , then one semiconductor substrate could integrate different types of individually optimized devices without using a difficult and expensive SoC structure or semiconductor processing.
  • FIG. 3 shows a 3-D IC structure having FLD IC layer without an attached base substrate.
  • ILD layer 153 is placed on the top of base substrate, and then SOI layer 124 is formed on the ILD layer 153 , and then devices are implemented using SOI layer 124 , and then another ILD layer 154 including interconnection lines 132 and vias 131 is placed on top of the single crystalline semiconductor devices 104 , and then the base substrate is detached from ILD layer 153 .
  • the base substrate (not shown) could be a flat substrate with even surface, such as plastic, ceramic, glass, metal, or semiconductor materials.
  • the base substrate should be able to withstand processing temperatures in the range of 250° C. ⁇ 650° C., which range is considered to be a “non-high temperature semiconductor processing temperature”.
  • embodiments of the present invention may have pads which are connected to a package (not shown) disposed at the bottom of first ILD layer 153 and/or at the top of second ILD layer 154 .
  • Bottom pads 146 could be connected to a package using, for example, solder.
  • Top pads 145 could be connected to a package using, for example, wires.
  • Such pad structures in accordance with the present invention reduce die area and the density of a package.
  • Various embodiments of the present invention do not require a physically supporting substrate for floating devices. Also, without a base substrate, various embodiments could exist along with interconnection lines, vias, and FLDs only.
  • Various embodiments of the present invention provide floating devices that are separated by dielectric isolation regions. These electrically separated structures do not have the parasitic devices which are typically found in prior art approaches.
  • Various embodiments of the present invention provide floating devices that may be connected directly or indirectly.
  • the SOI layer does require the same type of wafer alignment structures as are used in photolithographic processes, rather, the wafer alignment structure may be implemented as a wafer alignment mark, or as a bump-type alignment structure.
  • the SOI layer could be transferred along with a simple notch alignment because the transferred SOI layer does not have structures for multiple devices, such as isolation structure or interconnection lines, which are horizontally divided.
  • the transferred SOI layer has only vertically divided several layers.
  • Various embodiments of the present invention provide for interconnection of floating device both above and below the FLD.
  • FLD logic can be formed using vertically connected individual devices without interconnection lines because embodiments of the present invention include a form of SOI device, and a well is not needed.
  • a FLD can have directly contacted metal electrodes at top, bottom, and intermediate regions.
  • the area of metal electrodes can be the same as the top and bottom size of single crystalline semiconductor of FLD, which is formed by isolation etching. Therefore, voltage drop of the device could be reduced.
  • FIGS. 4 a - d explain a process flow for making a 3-D IC such as the one shown in FIG. 3 .
  • a mask alignment mark (not shown) on substrate 180
  • single or multiple ILD layers 133 are formed in dielectric layer 153
  • interconnection lines 132 and vias 131 which are conducting materials are formed.
  • borderline of each ILD layer 133 which comprise dielectric layer 153
  • Base substrate 180 should withstand semiconductor processing temperatures in the range of 250° C. ⁇ 650° C.
  • the conductors are formed of low electrical resistance material which conducts voltage/current and could be metals such as aluminum and copper, refractory metal, silicide, or low resistance polycrystalline/amorphous semiconductor materials with heavy doping.
  • metal layer which is to be used as bottom electrode 121 of FLD is deposited and, if necessary, another metal layer which is an intermediate bonding layer 120 could be implemented.
  • the metal used for intermediate bonding layer 120 typically has a lower meting point than the metal layer on dielectric layer 153 .
  • Metal 120 is required to have desirable reflow properties at low temperature for surface planarization in order to prevent voids due to surface microroughness at SOI substrate 190 bonding process.
  • interconnection lines 132 in dielectric layer 153 are aluminum, the metal of intermediate bonding layer 120 needs to have melting point in the range of 250° C. ⁇ 650° C., which is below the melting point of aluminum, 660° C.
  • the list and melting points of metals which could be intermediate bonding layer aluminum alloy 204° C. ⁇ 674° C., zinc 420° C., zinc alloy 377° C.-484° C., lead 328° C., thallium 304° C., tellurium 445° C., solder 268° C.-579° C., and tin alloy 223° C.-422° C.).
  • FIG. 4 b shows an SOI substrate 190 .
  • doped layers are formed in single crystal semiconductor layer 124 , where the doped layers may be formed by any suitable method including, but not limited to, ion implantation, or impurity mixing during epitaxial layer growth for single crystalline semiconductor layer 124 formation.
  • Metal layer 121 is formed on single crystalline semiconductor layer 124
  • intermediate bonding layer 120 is formed on metal layer 121 .
  • SOI substrate 190 is a single crystal semiconductor substrate, and a material for FLD single crystal semiconductor layer 124 .
  • SOI substrate 190 could be single source semiconductors, such as silicon and germanium, or compound semiconductors, such as SiGe, GaAs, GaP, and InP.
  • SOI substrate 190 could be combination of single source semiconductors and compound semiconductors. Before bonding, it is better for the SOI substrate to have an intermediate bonding layer which has high reflow rate with a low temperature melting point in order to remove surface roughness.
  • SOI substrate 190 may have a detach layer 191 , which may be a porous or strained layer at a certain desired depth using, for example, SmartCut (U.S. Pat. No. 5,882,987), ELTRAN (U.S. Pat. No. 5,371,037), or SiGen technologies.
  • Detach layer 191 is a defective region in the semiconductor lattice and, after bonding with dielectric layer 153 , SOI substrate 190 will be removed except for single crystal layer 124 which forms the FLD.
  • FIG. 4 c is a cross sectional view of the bonding of dielectric layer 153 of FIG. 4 a and SOI substrate of FIG. 4 b.
  • SOI substrate 190 shown in FIG. 4 b is upside-down and bonded on dielectric layer 153 in FIG. 4 a.
  • pressure is applied with heat treatment in order to increase bonding strength and remove voids between bonding interfaces.
  • eutectic bonding with gold, or thermocompression bonding with a soft metal thin film could be used as the intermediate layer bonding process.
  • Metal layer 121 including intermediate bonding layer 120 used in bonding process may be used as the bottom electrode of the FLD.
  • SOI substrate 190 has been detached after leaving SOI layer 124 , where FLD is implemented, on dielectric layer 153 , and then isolation structures 135 are implemented over all or part of the FLD layer.
  • SOI substrate 190 is detached using detach layer 191 and a wafer jet may be used for SOI substrate detachment. Without detach layer 191 , using a Bond and Etch-Back method (U.S. Pat. No. 5,013,681), leaving SOI layer 124 , where the floating devices are implemented. This SOI layer 124 may also be referred to as a stackable add-on layer.
  • SOI substrate 190 can be removed by etching or polishing.
  • SOI substrate 190 is bonded with the handling substrate, SOI substrate 190 is detached from the handling substrate and leaving SOI layer 124 , and then the SOI layer could be transferred to dielectric layer from the handling substrate.
  • the handling substrate could be the same kind of substrate used for the base substrate.
  • the handling substrate may use vacuum to hold the single crystalline semiconductor layer temporarily from the SOI substrate, and then transferring the SOI layer to dielectric layer could be easily done by releasing vacuum.
  • the vacuum surface better has thick dielectric layer which protects SOI layer.
  • the role of the handling substrate is to transfer an SOI layer from the SOI substrate to the dielectric layer without damage. Also, as explained in U.S. Pat. No. 6,355,501, the SOI substrate and the handling substrate could be bonded using polyamide. Once the SOI substrate has been detached, Chemical-Mechanical Polishing (CMP) could be used to reduce surface roughness of the transferred SOI layer.
  • CMP Chemical-Mechanical Polishing
  • bottom electrode 121 of FLD is automatically implemented during the trench isolation process. A method of forming bottom electrode 121 is explained below in conjunction with FIGS. 7 a - 7 c.
  • a mask alignment mark is exposed on base substrate 180 by removing SOI layer over the mask alignment mark, and then, using the exposed mask alignment mark, the FLD pattern on mask and via 131 pattern on dielectric layer can be aligned.
  • Vertical FLD where current flows in a vertical direction, may have intermediate electrode. Interconnection lines and contacts which connect with top electrode and interconnection lines which may be formed by conventional semiconductor process methods.
  • multiple FLDs can be stacked and, therefore, IC density can be increased.
  • Various embodiments of the invention therefore, do not need wafer or chip alignment marks, or micro bumps for wafer alignment when bonding SOI substrate having single crystalline semiconductor layer and dielectric layer having interconnection lines and vias.
  • Various embodiments of the invention can be implemented by mask alignment mark used in conventional photo process.
  • the isolation structure is to be filled by dielectric material and intermediate electrode materials. Formation method of the dielectric and intermediate electrode in the isolation structure is explained in FIGS. 8 a - 8 d. After the process of FIGS. 4 a - 4 d, according to conventional semiconductor process, ILD, interconnection lines, and vias are implemented and the base substrate is detached, then it becomes the structure shown in FIG. 3 .
  • n+ layer on the top of SOI substrate 190 is directly connected to metal layer 121 .
  • another dielectric 189 could be formed in between the top of SOI substrate 190 and metal layer 121 , and then transferred to dielectric layer 153 .
  • bottom electrode 121 could be used for a gate electrode having gate dielectric 189 .
  • another bottom electrode 121 c could be used to connect the bottom electrode of the FLD.
  • An FLD IC layer could have one or more SOI layers.
  • the FLD IC layer shown in FIGS. 3 and 5 have a single SOI layer.
  • FIG. 5 b shows multiple SOI layers 124 , 128 consisting one FLD IC layer 105 .
  • FLD IC layer 105 shows the structure before formation of FLD.
  • one FLD IC layer 101 and the other FLD IC layer 102 are separated and distinguishable.
  • Multiple SOI layers are implemented by adding another SOI layer 128 on already transferred SOI layer 124 sequentially.
  • multiple SOI layers 124 , 128 could have different types of devices which are electrically separated at each SOI layer.
  • one SOI layer could have p-type MOSFET, and the other SOI layer may become memory devices.
  • FLD could be conventional semiconductor devices. MOSFETs, bipolar transistors, diodes, capacitors, and resistors, images sensors (e.g., Charge-Coupled Devices (CCD) or Active Pixel Sensor (APS)), or MicroElectroMechanical System (MEMS).
  • FLD could be a form of circular pillar (see FIG. 13 a ), rectangular pillar (see FIG. 13 b ), or multi-angle pillar, or cylindrical pillar. If the width of FLD is getting narrow, aspect ratio of the pillar structure increases and could topple or be detached from the bonded dielectric layer. To prevent these phenomenon, FLD could be a trapezoidal format with narrow top width and wide bottom width.
  • FLDs can be divided into High Temperature (HT) and Low Temperature (LT) devices depending on the temperature used in the manufacturing processing. Similarly, depending on the direction of device operation, FLDs can be divided into Vertical (V) and Horizontal (H) devices, where ‘V’ and ‘H’ mean the ‘Vertical’ and ‘Horizontal’ directions of major device current flow.
  • HT High Temperature
  • LT Low Temperature
  • V Vertical
  • H Horizontal
  • FLD process temperature could be divided into high temperature which is above 800° C. and low temperature which is below 650° C.
  • HT-FLD can be treated at high temperature for thermal activation of implanted ions and could be vertical or horizontal devices.
  • the interconnection lines 132 and vias 131 in dielectric layer 153 shown in FIG. 4 should be copper or refractory metals, such as tantalum, molybdenum, or tungsten.
  • the base substrate used in HT-FLD should withstand at more than 800° C.
  • LT-FLD or FLD do not need ion implantation, heat treatment, and photo process for ion implantation because the impurity layer required for device operation has been formed in SOI substrate before the transfer to dielectric layer. If high temperature is required during the FLD process, characteristics of the devices existing on other layer could be altered. Device process control along early prediction of the alteration is very difficult. Therefore, embodiments of the invention could be implemented on top of base semiconductor substrate having devices without process change.
  • An advantage of the present invention is that a low cost process is obtained because ion implantation and photo process are not required. Also, because various embodiments of the invention do not require a high temperature process, refractory metal, aluminum, and aluminum which has low melting point and is widely used in semiconductor could be used. Also LT-FLD could use metal gate and high-k dielectric materials more easily than conventional manufacturing processes.
  • VFLD Very FLD
  • HFLD Horizontal FLD
  • MESFET MOSFET
  • diode diode
  • FIG. 6 a shows MESFET-type HFLD with metal gate forming Schottky diode.
  • bottom electrode 121 could be used as a gate electrode.
  • 6 b is a FLD 113 in FIG. 2 . If gate 172 has dielectric layer underneath it, the FLD becomes a MOSFET. If gate 172 in FIG. 6 b has an ohmic contact and the n-type region is switched to p-type region, then it becomes a horizontal bipolar transistor. From the horizontal bipolar transistor, if the n+ region is anode and p-type region is cathode, then it becomes a horizontal diode. Also without gate, the FLD could be a resistor using only the n-type region.
  • VFLD (or LT-VFLD) could be a form of MESFET, MOSFET, diode, capacitor, resistor, bipolar, thyristor, or, instead of single device, could be a form of vertical connections of different types of FLD devices to implement circuitry. Combining optimized horizontal devices in the base semiconductor substrate and optimized VFLD, SoC could be optimized in performance and in price.
  • Electrode means electrical part which is directly connected to devices or a gate with gate dialectic material.
  • Contact means connection part between electrode and interconnection line, which is usually a form of vertical shape.
  • the vertical devices in U.S. Pat. No. 5,414,288, U.S. Pat. No. 6,027,975, U.S. Pat. No. 6,337,247, and U.S. Pat. No. 6,449,186 should have horizontally extended doping region which is used for source/drain and providing space for contact formation.
  • the extended source/drain region increases resistance and parasitic capacitors.
  • the electrodes are formed at top 122 and bottom 121 of FLD 111 .
  • intermediate electrode 123 could be connected to interconnection lines at the top or bottom of the FLD. Further, the intermediate electrode could be used for local interconnection. This very flexible interconnection scheme for a 3-D IC is not possible in conventional approaches to forming 3-D ICs.
  • the bottom of FLD is connected to metal layer 121 which is also directly connected to vias 131 in the ILD layer 151 . Therefore, bottom of FLD already has pre-formed electrode and contact.
  • the alignment scheme used in this technology is to be done by conventional photo alignment mark (not shown). However, the photo process has misalignment margin and bottom electrode 121 and via 131 should be aligned within the alignment margin.
  • the width of interconnection lines are needed to be wider than the size of via 131 . Photo process with photo mask and etch process are needed for formation of interconnection lines 132 and vias 131 .
  • bottom electrode 121 used for alignment between bottom portion 124 z of FLD and via 131 uses a self-aligning technology and therefore does not require a photo mask type of process.
  • Part of the metal layer used in the SOI substrate bonding process is to be extension of bottom part of FLD and other part of the metal layer becomes a bottom electrode 121 .
  • FIG. 7 a using etching mask 173 , the portion of layers 122 and 124 indicated by the dashed lines is etched away.
  • FIG. 7 b shows spacer type etching mask which enables bottom electrode 121 to be wider than via 131 .
  • the width of bottom electrode 121 can be, for example, more than twice the FLD height if the etching mask is deposited taller than FLD and etched by, for example, a dry etching process.
  • the width of bottom electrode 121 can be controlled by the thickness of a hard mask, the FLD height, the FLD width, and the etching amount of spacer 182 . If the width of the FLD is bigger than a photo process margin, then wider bottom electrode 121 is not required.
  • an intermediate electrode in accordance with the present invention can be implemented as follows. First, there is a planar intermediate electrode, or planar electrode, method. After electrode material deposition and a CMP operation for planarization, dry etching is performed to provide a planar electrode 123 shown in FIG. 8 a. Patterning of planar electrode 123 can be done before or after the dry etching process. The deposited electrode material is usually thicker than the height of VFLD. Also, at this point in the process, an etch stop layer 122 may be needed on top of the FLD to prevent damage on SOI layer 124 . Etch stop 122 is typically a combination of multiple oxide, nitride, or metal layers. In FIG.
  • a dielectric material 133 a is deposited, planarized, and dry etched in a manner similar to the formation of planar electrode 123 .
  • Dielectric material 133 a reduces parasitic capacitance between bottom electrode 121 and planar electrode 123 .
  • Second is the method using spacer 123 as shown in FIG. 8 b. If the width of spacer intermediate electrode or spacer electrode is wide, it is easy to obtain electrical contact with the spacer electrode. However, it is difficult to achieve high density. If the width is narrow, it is difficult to obtain electrical contact with the spacer electrode.
  • the spacer method doest not require photo or CMP processes.
  • Third method is spacer method using a dummy FLD (i.e., an FLD which does not work as a device).
  • a dummy FLD 124 a is located close to FLD and increases the width of the spacer used for intermediate electrode 123 . Because contact 123 a connected to intermediate electrode 123 could be located on the top of dummy FLD 124 a, the margin for contact formation increases. As shown in FIG. 8 c, the spacing between the FLD and dummy FLD 124 a should be smaller than two times the spacer film thickness.
  • the fourth method is, as shown in FIG. 8 d, thin spacer method which extends intermediate electrode 123 to the top of FLD. After deposition of the intermediate electrode material, covering the area of contact formation on intermediate and etching the rest of the area, we get the structure of FIG. 8 d. This method is good for thin spacer thickness. To reduce parasitic capacitance between top and intermediate electrodes, a thick dielectric layer may be used on the top electrode.
  • Intermediate electrode may surround the entire or part of intermediate region of the VFLD. Also, multiple intermediate electrodes could be formed at one FLD.
  • top electrode could be implemented as shown in FIG. 7 a. If the size of contact 122 a is smaller than the size of top electrode 122 , then conventional semiconductor photo/etch technologies can be used as shown in FIG. 8 d. However, if the FLD width is less than the misalignment margin of the photo process for formation of contact 122 a, or the size of contact 122 a is greater than the area of FLD, then photo/etch processing for contact 122 a may cause a short circuit to the intermediate electrode. Therefore, this disclosure describes several structures in accordance with the present invention that increase process error margin for photo/etch during the formation of contact 122 a.
  • Second one is to use etch stop layer 184 with planar technology as shown in FIG. 9 a.
  • Third one is to use etch stop layer 184 with spacer technology as shown in FIG. 9 b, where the etch stop layer 184 has slow etching rate compared to the dielectric layer 133 c during the contact 122 a formation.
  • dielectric layer 133 c is oxide film
  • etch stop layer 184 could be nitride.
  • VFLD which is implemented at low temperature and has vertical operation, as follows: MOSFET VMFLD, MESFET VMEFLD, diode VDFLD, resistor VRFLD, capacitor VCFLD, bipolar VBFLD, and Thyristor VTFLD.
  • VDFLD could be implemented as vertical p-n or p-i-n junction diodes as shown in FIG. 10 a.
  • FIG. 10 b shows vertical Schottky diode, which has Schottky junction between top electrode 122 and SOI 124 .
  • metal intermediate electrode 123 could be used for 3-D Schottky diode.
  • the VDFLD shown in FIG. 10 c has twice the current driving capability compared to the one in FIG. 10 b, because current follows from anode at intermediate electrode 123 to cathodes at top and bottom electrodes.
  • VCFLDs There are two types of VCFLDs. One is MOS capacitor type or depletion capacitor, which uses a depletion region formed in the single crystalline semiconductor; and the other one, or dielectric capacitor, stores charge at dielectric interface without a depletion region. If the doping concentration of the semiconductor is low, then, depletion exists in semiconductor region. If doping concentration is high, then, it becomes dielectric capacitor, VCFLD without depletion.
  • VCFLDs are shown in FIGS. 11 a and 11 b. In FIG. 11 a, there are gate dielectric which surrounds n-type single crystalline semiconductor and an electrode which connects the n-type semiconductor.
  • the surrounding gate 123 b increases total capacitance of VCFLD.
  • the metal gate forming the Schottky diode could be used as a capacitor with reverse bias.
  • the capacitance of VCFLD increases due to the increased semiconductor and gate interface area.
  • gates 123 b, 123 c and a gate dielectric layer are stacked repeatedly on a VCFLD, and the stacked capacitor and the VCFLD are connected in parallel, and then, capacitance could be increased.
  • This type of capacitor has the same structure of stacking capacitor used in DRAM.
  • the contact 121 a in FIG. 11 b connects gate of the stacked capacitor and bottom electrode 121 .
  • Bipolar type VBFLD is shown in FIG. 12 .
  • the impurity regions which consist of collector 124 c, 124 d, base 124 b, and emitter 124 a, have been implemented at SOI substrate and then transferred.
  • Electrodes, which consist emitter 124 a and collector 124 d, are formed at bottom 121 and top 122 , and base 124 b electrode 123 is formed in middle of the FLD.
  • emitter 124 a could be located at top or bottom of VBFLD, emitter is at the bottom of VBFLD in the illustrative embodiment. In this case, the emitter is implemented at top of the SOI substrate before the single crystalline semiconductor 124 a - 124 d has been transferred.
  • emitter 124 a and base 124 b regions are formed.
  • SiGe heterojunction base is possible and polycrystalline semiconductor can be used as part of emitter region.
  • emitter 124 a is located at the bottom of VBFLD, emitter could be away from thickness variation during planar process after the SOI layer transfer process. If handling substrate is used for SOI layer transfer, then emitter is located at top of the FLD.
  • the VBFLD does not need a buried layer and heavily doped collector region which connects the collector contact and the buried layer.
  • Various embodiments of the present invention provide lower collector series resistance compared to conventional approaches.
  • base series resistance can be low without a heavily doped extrinsic base region because the surrounding base electrode 123 formed in the middle of VBFLD has a wide contact surface at the base region.
  • the VBFLD does not have parasitic capacitors which prevent high speed operation.
  • base-collector-substrate parasitic bipolar transistor does not exist in embodiments of the present invention.
  • VBFLD only needs one isolation structure 135 .
  • the base intermediate electrode 123 is extended from base region to collector region, then the low doped collector region 124 c forms a Schottky diode with the base electrode which enables high speed operation of the VBFLD.
  • MOSFET-type VMFLD are shown in FIGS. 8 a - 8 d and FIGS. 9 a - 9 b.
  • the vertical MOSFET could have high integration density at small space and the channel length is not limited by photo and etching process limit.
  • the VMFLD could have high driving current because channel width could be increased easily with surrounding gate compared to prior arts which have the same channel length.
  • Pillar type SGT Surrounding Gate Transistor shown in U.S. Pat. No. 6,337,247 and U.S. Pat. No. 6,449,186, is difficult to co-exist with optimized horizontal devices and may cause shadow effect during ion implantation due to pillar type transistor. Also, SGT does not have high integration density because it has problems with forming electrodes at source/drain and gate regions. Therefore, these approaches are not suitable for SoC formation.
  • the VMFLD has a directly connected bottom electrode which decreases voltage drop, and current reduction by parasitic resistance. Also VMFLD could be easily full or partial depletion mode with control of FLD width, where the depletion mode could be also controlled by operation voltage and gate dielectric constant. Because the detached surface from the SOI substrate becomes heavily doped source/drain region, even though there are small surface defects, unlike prior arts of horizontal device, there is little effect to gate oxide quality, device operation, and yield.
  • the VMFLD may have gradient impurity distribution in the channel region and electric field could be formed in the channel region due to the graded impurity, where the induced electric field accelerates current flow and graded impurity may reduce Short Channel Effect (SEC).
  • the graded impurity can be formed easily by ion implantation or epitaxial process. Increased impurity concentration in the channel region from source to drain side makes asymmetric operation.
  • LDD Lightly Doped Drain
  • MOSFET-type VFLD has a gate dielectric layer which is implemented at below 650° C. as shown in U.S. Pat. No. 5,330,935 and U.S. Pat. No. 5,443,863.
  • the dielectric layer could be thermal oxide, deposited oxide, oxynitride, or combination of oxide and nitride, such as ONO and NO (Nitride Oxide). Any suitable dielectric material could be used except high temperature processing films requiring more than 650° C.
  • high dielectric constant (high-k) materials in the gate dielectric layer, such as, but not limited to, Al 2 O 3 , ZrO 2 , HfO 2 , Y 2 O 3 , La 2 O 3 , Ta 2 O 5 , TiO 2 , and BST.
  • high-k materials such as, but not limited to, Al 2 O 3 , ZrO 2 , HfO 2 , Y 2 O 3 , La 2 O 3 , Ta 2 O 5 , TiO 2 , and BST.
  • high temperature heat activation operation is required after the source/drain ion implantation.
  • the properties of high-k materials can be altered.
  • the VMFLD process does not need a high temperature process, and so high-k materials could be used at stable condition.
  • ALD Atomic Layer Deposition
  • threshold voltage could be controlled by changing gate dielectric thickness and/or width of FLD. If different gate dielectric thickness is used or different dielectric constant materials are used at VMFLD, then multiple operational voltage and threshold voltage could be implemented at the same SOI layer and it is useful for SoC. Also, because the VMFLD is produced at low temperature, and a surrounding gate is used, it is easy to use a metal gate compared to prior art manufacturing approaches.
  • VMFLD shown in FIG. 13 a could be a multi-Level (ML) VMFLD which has multiple status values with multiple gates sharing one source/drain.
  • Current driving capability of VMFLD is proportional to the gate area. Therefore, simply multiple gates with same gate size could be used for gradual increase of current. Or, multiple gates with same gate size of a VMFLD could be used for ML-VMFLD.
  • FIG. 13 b shows a ML-VMFLD which has two “W” size gates and two “3 W” size gates, where “W” is a constant number and “3 W” means triple the value of “W”.
  • ML-VMFLD could have 9 different current values from “0” to “8”. If the same size gates are used for ML-VMFLD, eight gates are required for nine different values as shown in FIG. 13 a. ML-FLD could be used for memory or digital logic device applications. Intermediate electrodes for multi-level could be used for bipolar transistor as base electrodes.
  • a 3-D IC including FLDs may have not only single device form, such as MOSFET or bipolar transistor, but also multiple devices formed in a single FLD.
  • FIG. 14 shows a single inverter type VFLD.
  • the p-MOSFET and n-MOSFET which make up the inverter do not require different wells, and therefore this inverter has a high integration density.
  • Contact 123 f which connects gates of p-MOSFET and n-MOSFET together, becomes input of the inverter. Drains of p-MOSFET and n-MOSFET are connected to together and connected to electrode 123 g and contact 123 h.
  • p+-p-p+ type p-MOSFET is a depletion mode MOSFET.
  • the p-MOSFET could be a p+-n-p+ type and in this case n-region needs reference voltage.
  • the contact which penetrates the dielectric layer used for FLD isolation structure, could be connected to interconnection lines above or below FLD layer.
  • a FLD inverter could be implemented using two SOI layers as shown in FIG. 5 b; one SOI layer has n-MOSFET and the other SOI layer has p-MOSFET,
  • memory devices could be implemented using multiple FLDs.
  • FIG. 15 b 6 transistor SRAM cell be implemented as shown in FIG. 15 b.
  • the two invertors are VFLD and two transistors, which have word line and bit line, are on the base semiconductor substrate.
  • FIGS. 15 a and 15 b show interconnection lines of top and bottom contacts, respectively.
  • Two FLD invertors are latched with connecting inputs to outputs of each invertors.
  • Counter parts of one VFLD inverter contacts 122 a, 123 h, 123 f, 131 are shown with underlined 122 a, 123 h, 123 f, 131 . Therefore, SRAM cell could have high integration density.
  • the base semiconductor substrate does not need n-well for p-MOSFET in SRAM cell, integration density in the base semiconductor substrate is high. It is noted that if four pass transistors are used, a dual-port SRAM can be implemented.
  • a first way is by using four n-MOSFET on a base semiconductor substrate and two p-MOSFET type FLDs.
  • a second way is by disposing two p-MOSFET on the base semiconductor substrate and four n-MOSFET type FLDs.
  • a third way is by disposing two p-MOSFET type FLDs on a SOI layer and four n-MOSFET type FLDs on another SOI layer.
  • a fourth way is by using four transistor SRAM cell using either four n-MOSFET type FLDs or four n-MOSFET on the base semiconductor substrate, and resistors could be either formed on FLD layer or polycrystalline semiconductor resistors.
  • FIG. 16 a shows a VTFLD SRAM cell having a gate 123 j in accordance the present invention. Intermediate electrode gate 123 j is used for word line 2 and the top electrode is connected to reference voltage. The VTFLD is connected to horizontal access transistor 161 c on base semiconductor substrate, therefore, each device can be optimized, and high density is provided for SoC applications. The gate of the access transistor is used for word line 1 (WL 1 ).
  • FIG. 16 b shows another structure of SRAM cell shown in FIG.
  • a Dynamic Random Access Memory (DRAM) cell in accordance with the present invention has one transistor and one capacitor, where the transistor could be on the base semiconductor substrate or could be a VMFLD on an FLD IC layer, and the floating source of the transistor is connected to a VCFLD on another FLD IC layer.
  • DRAM Dynamic Random Access Memory
  • FIG. 17 a shows a DRAM structure having a MOSFET and a depletion capacitor in serial connection.
  • the top electrode is connected to bit line and intermediate electrode is connected to word line.
  • depletion region which is formed in between the floating n+ source 124 e and p-region connected to bottom electrode, has wider width than the transistor, where the wider semiconductor region could be implemented using spacer technology without additional photo process as shown in FIGS.
  • FIG. 17 b shows that a MOSFET having floating source and a dielectric capacitor are connected in parallel, where the floating source p-region is connected to reference voltage (not shown).
  • bottom electrode 121 is connected to bit line and intermediate electrode is connected to word line.
  • FIGS. 18 a - 18 f A nonvolatile FLD memory structure in accordance with present invention is shown in FIGS. 18 a - 18 f.
  • FIG. 18 a has two gates, where one floating gate surrounds a p-type channel region with gate dielectric layer 183 b and control gate 123 connecting to bias surrounds floating gate 123 k with another gate dielectric layer 183 c.
  • FIG. 18 b shows a split gate nonvolatile memory, where floating gate 123 k surrounds part of p-type channel region, and the rest of channel region and the floating gate 123 k are surrounded by control gate 123 .
  • FIG. 18 c has three gates: a floating gate 123 k, a control gate 123 , and an erase gate 323 which is designed to erase data.
  • FIG. 18 a has two gates, where one floating gate surrounds a p-type channel region with gate dielectric layer 183 b and control gate 123 connecting to bias surrounds floating gate 123 k with
  • FIG. 18 d shows a nonvolatile memory VFLD without a floating gate which has an ONO gate dielectric layer 183 , where information can be stored at different locations 30 depending on current flow.
  • FIG. 18 e shows a flash memory FLD structure with bulk contact 122 c on p-type bulk region 124 .
  • VMFLD could have a bulk contact without gate dielectric layer on one side and gate contact with gate dielectric layer on the other side.
  • nonvolatile memory could be a ML-VMFLD which stores multi bit information in a FLD.
  • an FLD having one source/drain has eight separated gates, and then one FLD has eight multi-bit memory cell.
  • FIG. 18 f has a bulk contact 122 c and rest contacts are connected to source/drain at SOI layer which is forming FLD.
  • dashed line “756” shows the borderline of an exposed FLD bulk region from the top FLD.
  • Rest contacts 122 a on SOI region 124 are connected to source/drain.
  • FLD memory devices may have redundancy on the same or different FLD IC layers.
  • FIGS. 19 a - 19 b are top views of an FLD IC, and each chip 441 is distinguished by a scribeline.
  • one FLD IC layer has four blocks 413 a - 413 d, where a first block has a programmable FPGA, a second block has a flash memory, a third block has bipolar devices, and a fourth block may have an SRAM.
  • Each block may require different impurity junctions for different device types, where the impurity junctions should be formed before SOI layer transfer processing in case of LT-FLD.
  • the block FLD formation needs wafer alignment marks at SOI substrate and base substrate. In this case, it is better to have Overlay Error Compensation Area (OECA) 412 considering wafer misalignment, where the OECA may have a few microns to hundreds micron distance.
  • OECA Overlay Error Compensation Area
  • FIG. 20 a shows a nonvolatile memory cell 700 with a capacitor using a ferroelectric film 710 , and a VFLD connected in series to the capacitor.
  • the nonvolatile memory using ferroelectric film 700 is called an FRAM (Ferroelectric Random Access Memory).
  • FRAM Feroelectric Random Access Memory
  • Conventional ferroelectrics are (PbZr)TiO 3 (referred to as PZT), SrBi 2 Ta 2 O 9 (referred to as SBT), and YMnO 3 . If an electric field is applied to such a ferroelectric, then the ferroelectric has a polarization characteristic.
  • FRAM cell 700 has serially connected a ferroelectric capacitor and a VMFLD.
  • Gate 123 of VMFLD is Word Line (WL) and the drain is Bit Line (BL), and the source is connected to the ferroelectric capacitor and the other electrode 122 a is connected to Drive Line (DL or Plate Line).
  • FIG. 20 b shows an equivalent circuit of FRAM memory cell 700 , where logic devices for sense amp 770 are generally implemented on base substrate and FRAM cell 700 including VMFLD is implemented in SOI layer.
  • FIG. 20 c shows one memory bit using two FRAM cells shown in FIG. 20 a, where logic devices for sense amp 770 are generally implemented on base substrate 103 and FRAM cell 700 including VMFLD is implemented in SOI layer.
  • FIG. 21 a shows a nonvolatile memory cell 730 with a capacitor using ferroelectric film 710 and a VFLD connected in parallel to the capacitor.
  • the parallel connection FRAM operates at higher speed and has lower power consumption compared to a serially connected FRAM cell.
  • One intermediate electrode 123 is the WL.
  • the other intermediate electrode 123 a has an applied reference voltage and keeps constant current status for parallel connecting the ferroelectric capacitor and VFLD.
  • FIG. 21 b is an equivalent circuit of FRAM cell 730 .
  • FRAM cells 730 are chained to form a byte.
  • a capacitor using ferroelectric film 710 is located at the top of the VFLD in FIGS. 20 a and 21 a.
  • the capacitor using ferroelectric film 710 could be located at the bottom of the VFLD.
  • the VFLD could be a MOSFET, bipolar, or other type of transistor.
  • FIGS. 22 a and 22 b show nonvolatile VMFLDs 750 which have ferroelectric film 710 as part of VMFLD structure.
  • a FRAM has ferroelectric film 710 located in between a gate dielectric layer 183 and gate electrode 123 . This is called a Metal Ferroelectric Insulator Silicon (MFIS).
  • MFIS Metal Ferroelectric Insulator Silicon
  • Gate dielectric layer 183 is a typical MOSFET gate dielectric layer and can be formed of silicon dioxide or oxynitride. If there is no gate dielectric layer 183 in FIG. 22 a and ferroelectric film 710 is used as a gate dielectric layer, then it becomes a MFS (Metal Ferroelectric Silicon) type FRAM.
  • MFS Metal Ferroelectric Silicon
  • ferroelectric film 710 is used in between floating gate 123 k and control gate 123 of a VMFLD and it forms MFMIS Metal Ferroelectric Metal Insulator Silicon) type FRAM 760 FLD.
  • Ferroelectric film 710 used in the illustrative embodiments of FIGS. 20-22 should be implemented at below 660° C. for low temperature FLD.
  • FIG. 23 a shows a nonvolatile MRAM Magnetoresistive Random Access Memory, 800 cell structure using VMFLD and serially connected MJT Magnetic Tunnel Junction Stack, 810 .
  • MJT 810 is located formed below ILD 133 and FLD 124 .
  • FIG. 23 b also shows an MRAM cell 850 using MJT 810 .
  • MJT 810 is located formed above FLD 124 .
  • MJT 810 has property of variable electric resistance depending on applied magnetic field, where the electric resistance changes depending on polarization of MJT 810 .
  • MJT 810 consists of multiple thin film layers. In general, one magnetic file is free layer which is polarized by applied magnetic field. The other magnetic film is pinned layer and, in general, used along with exchange layer which is anti-ferromagnetic layer. The pinned layer is polarized by applied magnetic field. Therefore, the film stack is called a Magnetic Tunnel Junction Stack (MJT).
  • the MJT is not limited to a structure which has two magnetic films and a dielectric film. The MJT could have combinations of different thin layers.
  • the MJT could be classified to two types by the stacked layers; one is Giant Magnetroresistance (GMR) using non-magnetic material, and the other one is Tunneling Magnetroresistance (TMR) using dielectric layer, such as oxide layer.
  • Giant Magnetroresistance GMR
  • TMR Tunneling Magnetroresistance
  • the VFLD shown in FIGS. 23 a and 23 b could be MOSFET, bipolar, or MESFET.
  • FIG. 24 shows an Ovonic Unified Memory (OUM) 900 cell structure using a Reversible Structural Phase-Change Film (RSPCF) 910 and a serially connected VFLD.
  • RSPCF 910 is implemented after formation of FLD 124 and placed above FLD 124 .
  • RSPCF 910 could be implemented before formation of FLD 124 and placed below FLD 124 (not shown).
  • RSPCF 910 could have amorphous or polycrystalline phases depending on the amount of current and time, in other words, the temperature applied to RSPCF, where polycrystalline has lower electric resistance.
  • RSPCF 910 could be Chalcogenides and alloy in VI element of Periodic Table. Therefore, RSPCF 910 could be alloy of Ge—Sb—Te, GaSb, InSb, InSe, Sb 2 Te 3 , GeTe, Ge 2 Sb 2 Te 5 , InSbTe, GaSeTe, SbSb 2 Te 4 , InSbGe, AgInSbTe, (GeSn)SbTe, GeSb(SeTe), or Te 81 Ge 15 Sb 2 S 2 . Electrode 910 a connected to RSPCF 910 could be TiAlN or TiW, which is stable at 650° C.
  • the VFLD shown in FIG. 24 could be MOSFET, bipolar, or MESFET.
  • FIG. 25 shows a Programmable Read-Only Memory (PROM) 300 cell structure using fuse or antifuse) layer 310 and a serially connected VFLD.
  • the fuse (or antifuse) layer 310 is formed above FLD 124 after the FLD formation. Or, the fuse (or antifuse) layer 310 is formed below FLD 124 before the FLD formation.
  • Antifuse layer 310 has high electric resistance. However, it could get low electric resistance if programming high voltage/current is applied to the antifuse layer.
  • the PROM is not reprogrammable in general.
  • the PROM can be used for in an Application-Specific Integrated Circuit (ASIC), a Field Programmable Gate Array (FPGA), or a Programmable Logic Array (PLA).
  • ASIC Application-Specific Integrated Circuit
  • FPGA Field Programmable Gate Array
  • PPA Programmable Logic Array
  • the antifuse layer may be formed from an ONO layer, a metallic oxide layer, a chalcogenide layer, or an undoped amorphous silicon layer, but is not limited to these materials.
  • the fuse layer may be formed from nichrome or polycrystalline silicon, but is not limited to these materials.
  • Electrodes 301 a, 301 b for fuse or antifuse may be formed from TiW, which is stable at high temperature.
  • the VFLD may be a MOSFET, a bipolar transistor, a MESFET, or a diode.
  • FIG. 26 shows a DRAM 400 cell having only a VMFLD 124 .
  • VMFLD 124 using SOI layer has floating body p-region, as shown in FIG. 26 , without applied bias, and charges could be accumulated in the floating body for a short time (i.e. refresh time). The charge becomes readable and writable data.
  • FIG. 27 a shows an Electrically Erasable Programmable Read-Only Memory (EEPROM) 500 cell which has a VMFLD and a serially connected nonvolatile VMFLD memory.
  • the nonvolatile memory has dual gates which are a floating gate and a control gate. However, it could be a Silicon Oxide Nitride Oxide Silicon (SONOS) type nonvolatile memory.
  • SONOS Silicon Oxide Nitride Oxide Silicon
  • the MOSFET consisting select line is located above the nonvolatile memory. However, the location of these devices could be reversed.
  • FIG. 27 b shows an equivalent circuit of the one EEPROM cell.
  • FIG. 28 is power VMFLD 600 which is operating at high voltage.
  • the power VMFLD could have from few micrometer to few hundred micrometer range SOI layer thickness and gate dielectric layer thickness may have from tenth of nanometer to few thousands nanometer range.
  • Operation voltage could be from 7 volts to 1000 volts range.
  • the FLD may have a trapezoidal shape which help extension of depletion region and reduction of electric field, and therefore increasing operation voltage.
  • Power VMFLD 600 has many advantages over horizontal MOSFET.
  • Conventional horizontal MOSFET needs to have long channel length in order to increase operation voltage. However, it causes high cost due to low integration density.
  • channel length of power VMFLD 600 dose not change integration density because channel length is determined by vertical height of the SOI layer.
  • the power VMFLD has surrounding gate, it has low on resistance and its current driving capability is more than twice of conventional horizontal MOSFET. Therefore, the power VMFLD of FIG. 28 may replace other conventional power devices, such as Lateral Double-Diffused MOS (LDMOS) and Trench MOS.
  • LDMOS Lateral Double-Diffused MOS
  • Trench MOS Trench MOS
  • the power VMFLD in FIG. 28 has a double-diffused drain, then it becomes the device shown in FIG. 29 .
  • the double-diffused region prevents the expansion of a depletion region to the heavily doped drain region and helps device operate at high voltage.
  • the gate dielectric layer has a combination of “low temperature thermal oxide, high-k dielectric, and CVD dielectric, then device reliability increases, and the interface trap in between semiconductor 124 and gate dielectric layer 183 decreases. Also, current driving capability increases and on-resistance decreases.

Abstract

Vertically oriented semiconductor devices may be added to a separately fabricated substrate that includes electrical devices and/or interconnect. The plurality of vertically oriented semiconductor devices are physically separated from each other, and are not disposed within the same semiconductor body, or semiconductor substrate. The plurality of vertically oriented semiconductor devices may be added to the separately fabricated substrate as a thin layer including several doped semiconductor regions which, subsequent to attachment, are etched to produce individual doped stack structures. Alternatively, the plurality of vertically oriented semiconductor devices may be fabricated prior to attachment to the separately fabricated substrate. The doped stack structures may form the basis for diodes, capacitors, n-MOSFETs, p-MOSFETs, bipolar transistors, and floating gate transistors. Ferroelectric memory devices, Ferromagnetic memory devices, chalcogenide phase change devices, may be formed in a stackable add-on layer for use in conjunction with a separately fabricated substrate. Stackable add-on layers may include interconnect lines.

Description

    FIELD OF THE INVENTION
  • The present invention relates generally to three dimensional integrated circuit (IC) structures and manufacturing methods therefore, and more particularly relates to combining a semiconductor substrate with a thin add-on semiconductor layer in which various active and/or passive devices have been fabricated.
  • As shown in FIG. 1, a prior art 3-D IC might be termed a ‘Hybrid IC’. A conventional Hybrid IC implementation method typically includes; providing a first IC which consists of a base semiconductor substrate 201 and a dielectric layer 202; providing a second IC that also consists of a base semiconductor substrate 203 and a dielectric layer 204; stacking and bonding these ICs, or individual chips; and implementing a deep via 255 such as shown in U.S. Pat. No. 6,600,173 which penetrates the semiconductor substrate, or providing micro bumps as shown in U.S. Pat. No. 6,355,501.
  • Still referring to FIG. 1, it is noted that devices in the stacked semiconductor substrate 203 are usually connected by interconnection lines in dielectric layer 204, which is disposed above substrate 203. Similarly, interconnection lines in dielectric layer 202, which is disposed below stacked substrate 203 are used to connect devices in the base substrate 201. It can be seen that the devices in stacked substrate 203 do not have bottom electrodes, but rather have contacts from the top side.
  • Conventional implementations require wafer or chip alignment marks to be bonded. The wafer alignment marks are different from the alignment marks used in photo processing. Therefore, the ‘hybrid IC technology’ used in 3-D IC is considered to be another type of Multi-Chip Package (MCP). The main purpose of the ‘hybrid IC technology’ is to reduce interconnection lines used in package interconnections to facilitate high speed device operation.
  • Still referring to FIG. 1, prior art 3-D IC implementations are typically characterized as follows: each of the IC layers are implemented by separate processing; each IC is bonded and stacked to become a 3-D IC; each IC layer has a semiconductor substrate (e.g., 201, 203) respectively holding devices (e.g., 211, 212). Also in general the devices share an electrically common substrate 241, 242 or well 243. It is noted that although conventional implementations using SOI do not have an electrically common substrate, such implementations do have a physically common semiconductor substrate. Additionally, conventional implementations stacked ICs have dielectric layers, interconnection lines, and vias only above the devices in each stacked ICs.
  • In another conventional approach, a single crystalline semiconductor layer is formed by melting polycrystalline or amorphous semiconductor layer disposed on a dielectric layer using, for example, a laser. Devices are then formed using the single crystalline semiconductor layer, which was formed from the polycrystalline or amorphous layer. In still another conventional approach, a single crystalline epitaxial layer is grown on a dielectric layer where the dielectric layer has partially exposed holes therethrough to an underlying single crystalline layer.
  • However, both the laser recrystallization and the epitaxial processes described above are have drawbacks, such as requiring high temperature operations, which are incompatible with the low temperature processing required for many semiconductor devices; and further, single crystalline semiconductor layers formed in this way may have many defects, and therefore these methods are not widely used.
  • SUMMARY OF THE INVENTION
  • Briefly, a plurality of vertically oriented semiconductor devices may be added to a separately fabricated substrate that includes electrical devices and or interconnect. The plurality of vertically oriented semiconductor devices are physically separated from each other, and therefore are not disposed within the same semiconductor body, or semiconductor substrate.
  • In one aspect of the present invention, the plurality of vertically oriented semiconductor devices is added to the separately fabricated substrate as a thin layer including several doped semiconductor regions which, subsequent to attachment to the substrate, are etched to produce individual doped stack structures. In other embodiments of the present invention, the plurality of vertically oriented semiconductor devices may be fabricated prior to attachment to the separately fabricated substrate.
  • In another aspect of the present invention, the doped stack structures may form the basis of a wide variety of semiconductor devices, including, but not limited to, diodes, capacitors, n-type MOSFETs, p-type MOSFETs, bipolar transistors, and floating gate transistors.
  • In another aspect of the present invention, ferroelectric memory devices, ferromagnetic memory devices, chalcogenide phase change devices, and similar structures may be formed in a stackable add-on layer for use in conjunction with the separately fabricated substrate.
  • In still further aspects of the present invention, the stackable add-on layers include at least one layer of electrical interconnect lines.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a cross-sectional view of a stacked integrated circuit, referred to as a three-dimensional integrated circuit, in accordance with the prior art.
  • FIG. 2 is a cross-sectional view of an embodiment the present invention that includes a base semiconductor substrate.
  • FIG. 3 is a cross-sectional view of an embodiment of the present invention without a base semiconductor substrate.
  • FIGS. 4 a-4 d illustrate a process flow which forms a 3-D IC structure using SOI layer in accordance with the present invention.
  • FIG. 5 a is a cross-sectional view of an embodiment of the present invention wherein the bottom of SOI layer does not have directly connected electrodes.
  • FIG. 5 b is a cross-sectional view of an embodiment of the present invention that includes multiple stacked SOI layers.
  • FIGS. 6 a-6 b are cross-sectional views of an embodiment of the present invention which has horizontally oriented, rather than vertically oriented, devices incorporated in the SOI layer.
  • FIGS. 7 a-7 c illustrate a process flow of in accordance with the present invention that produces vertically oriented devices incorporated in the SOI layer with those devices having directly connected bottom electrodes.
  • FIG. 8 a is a cross-sectional view of an embodiment of the present invention having a vertical device with a planar middle electrode.
  • FIG. 8 b is a cross-sectional view of an embodiment of the present invention having a vertical device with a spacer middle electrode.
  • FIG. 8 c is a cross-sectional view of an embodiment of the present invention having a vertical device with a spacer middle electrode extended to an adjacent dummy vertical device.
  • FIG. 8 d is a cross-sectional view of an embodiment of the present invention having a vertical device with a middle electrode extended to the top of the vertical device.
  • FIG. 8 e is a top view of the structure shown in FIG. 8 d.
  • FIG. 9 a is a cross-sectional view of an embodiment of the present invention having a vertical device with a planar etch stop layer for a top contact electrode.
  • FIG. 9 b is a cross-sectional view of an embodiment of the present invention having a vertical device with a spacer etch stop layer for a top contact electrode.
  • FIG. 10 a is a cross-sectional view of an embodiment of the present invention having a vertically oriented p-n junction diode.
  • FIG. 10 b is a cross-sectional view of an embodiment of the present invention having a vertically oriented Schottky diode.
  • FIG. 10 c is a cross-sectional view of an embodiment of the present invention having a vertically oriented Schottky diode with Schottky contact disposed at the middle electrode.
  • FIG. 11 a is a cross-sectional view of an embodiment of the present invention having a vertically oriented capacitor formed by a depletion region.
  • FIG. 11 b is a cross-sectional view of an embodiment of the present invention having a vertically oriented capacitor formed by a dielectric layer between electrodes.
  • FIG. 12 is a cross-sectional view of an embodiment of the present invention having a vertically oriented bipolar transistor.
  • FIG. 13 a is a top view of an embodiment of the present invention having a vertical device forming a MOSFET with 8 gates for multi-bit, or variable gate width, operation.
  • FIG. 13 b is a top view of an embodiment of the present invention having a vertical device forming a MOSFET with 4 different size gates for multi-bit, or variable gate width, operation.
  • FIG. 14 is a cross-sectional view of an embodiment of the present invention having a vertically oriented inverter.
  • FIGS. 15 a-15 b illustrate top and bottom layouts of an SRAM cell in accordance with the present invention.
  • FIG. 16 a is a cross-sectional view of an embodiment of the present invention having a vertically oriented Thyristor as part of an SRAM cell.
  • FIG. 16 b is a cross-sectional view of an embodiment of the present invention having a both a Thyristor and a MOSFET vertically disposed and serially connected in the SOI layer.
  • FIG. 17 a is a cross-sectional view of an embodiment of the present invention having a vertical DRAM cell with vertical connection of a depletion capacitor and a MOSFET in the SOI layer.
  • FIG. 17 b is a cross-sectional view of an embodiment of the present invention having a vertical DRAM cell with vertical connection of a dielectric capacitor and a MOSFET in the SOI layer.
  • FIG. 18 a is a cross-sectional view of an embodiment of the present invention having a vertical Non-Volatile Memory (NVM) cell that includes a floating gate and a control gate in the SOI layer.
  • FIG. 18 b is a cross-sectional view of an embodiment of the present invention having a vertical NVM cell that includes a floating gate that partially covers the channel region, and a control gate that covers the floating gate and the rest of the channel region in the SOI layer.
  • FIG. 18 c is a cross-sectional view of an embodiment of the present invention having a vertical NVM cell with a floating gate, a control gate, and a erase gate in a SOI layer.
  • FIG. 18 d is a cross-sectional view of an embodiment of the present invention having a vertical NVM cell with an Oxide-Nitride-Oxide (ONO) gate in the SOI layer.
  • FIG. 18 e is a cross-sectional view of an embodiment of the present invention which has a vertical NVM cell with a floating gate, a control gate, and a bulk contact, all disposed in the SOI layer.
  • FIG. 18 f is top view of the structure of FIG. 18 a with eight gates in the SOI layer.
  • FIGS. 19 a-19 b illustrate layouts of SOI layers that have multiple blocks containing different types of devices.
  • FIG. 20 a is a cross-sectional view of an embodiment of the present invention having a vertical NVM cell that includes a MOSFET serially connected to a capacitor, which uses ferroelectric material, both disposed in the SOI.
  • FIG. 20 b illustrates an exemplary memory circuit formed with devices illustrated in FIG. 20 a.
  • FIG. 20 c illustrates another exemplary memory circuit formed with devices illustrated in FIG. 20 a.
  • FIG. 21 a is a cross-sectional view of an embodiment of the present invention having a vertical NVM cell that includes the structure illustrated in FIG. 20 a.
  • FIG. 21 b illustrates an exemplary memory circuit formed from structures shown in FIG. 21 a.
  • FIG. 22 a is a cross-sectional view of an embodiment of the present invention that includes a vertical NVM cell with a MOSFET in the SOI layer and uses a ferroelectric material between the gate and gate dielectric layers.
  • FIG. 22 b is a cross-sectional view of an embodiment of the present invention that includes a vertical NVM cell with a MOSFET in the SOI layer and uses a ferroelectric material between the floating gate and the control gate.
  • FIG. 23 a is a cross-sectional view of an embodiment of the present invention that includes a vertically oriented NVM cell having a MOSFET in the SOI layer serially connected to a ferromagnetic material at the bottom of the MOSFET.
  • FIG. 23 b is a cross-sectional view of an embodiment of the present invention that includes a vertically oriented NVM cell having a MOSFET in the SOI layer serially connected to a ferromagnetic material at the top of the MOSFET.
  • FIG. 24 is a cross-sectional view of an embodiment of the present invention that includes a vertically oriented NVM cell having a MOSFET disposed in the SOI layer that is serially connected to a resistor formed from a chalcogenide material.
  • FIG. 25 is a cross-sectional view of an embodiment of the present invention that includes a vertically oriented NVM cell having a MOSFET disposed in the SOI layer that is serially connected a structure that depending on the material used may function either as a fuse or an antifuse.
  • FIG. 26 is a cross-sectional view of an embodiment of the present invention that includes a vertical volatile memory cell having a MOSFET in the SOI layer without body contact.
  • FIG. 27 a is a cross-sectional view of an embodiment of the present invention that includes a vertically oriented NVM cell having a MOSFET connected in series with a floating gate transistor such as the one shown in FIG. 18 a.
  • FIG. 27 b is a schematic diagram of an equivalent circuit for the structure shown in FIG. 27 a.
  • FIG. 28 is a cross-sectional view of an embodiment of the present invention that includes a high voltage MOSFET disposed in the SOI layer.
  • FIG. 29 is a cross-sectional view of an embodiment of the present invention that includes a high voltage MOSFET disposed in the SOI layer, the high voltage MOSFET having a low doped channel region.
  • DETAILED DESCRIPTION
  • A 3-D IC in accordance with the present invention is shown in FIG. 2. Embodiments of the present invention provide a device integration technology.
  • Reference herein to “one embodiment”, “an embodiment”, or similar formulations, means that a particular feature, structure, operation, or characteristic described in connection with the embodiment, is included in at least one embodiment of the present invention. Thus, the appearances of such phrases or formulations herein are not necessarily all referring to the same embodiment. Furthermore, various particular features, structures, operations, or characteristics may be combined in any suitable manner in one or more embodiments.
  • Terminology
  • “ASIC” refers to Application Specific Integrated Circuit. “SoC” refers to a System on a Chip, with “SoCs” being the plural of SoC. A SoC may be an ASIC but is not required to be. An ASIC may be a SoC but is not required to be.
  • The expression “back bias”, as used herein, refers to a voltage applied to the substrate, or body, of a field effect transistor (FET). Back bias is alternatively referred to as substrate bias, or reverse bias.
  • The terms chip, semiconductor device, integrated circuit, LSI device, monolithic integrated circuit, ASIC, SoC, microelectronic device, and similar expressions are sometimes used interchangeably in this field. Microelectronic device may be considered to be the broadest term, encompassing the others. With respect to these microelectronic devices, signals are typically coupled between them and other circuit elements via physical, electrically conductive connections. The point of connection is sometimes referred to as an input, output, terminal, line, pin, pad, port, interface, or similar variants and combinations.
  • The term “device”, as used herein, refers to one or more circuit elements that have characteristics that are voltage variant. “Device” includes, but is not limited to, FETs (n-channel and p-channel), diodes, and varactors.
  • FET as used herein, refers to metal-oxide-semiconductor field effect transistors (MOSFETs). These transistors are also known as insulated gate field effect transistors (IGFETs). FETs are generally described as three terminal devices having a gate, a source and a drain. Although FETs may be further described as four terminal devices when the body of the FET is considered.
  • Source and drain terminals refer to the terminals of a FET, between which conduction occurs under the influence of an electric field, subsequent to the inversion of the semiconductor surface under the influence of an electric field resulting from a voltage applied to the gate terminal.
  • The acronym “SOI” generally refers to Silicon-on-Insulator. As will be appreciated by those skilled in this field, SOI layers can be formed in a variety of ways. Unless otherwise noted, “SOI layer” is used herein to refer to a relatively thin, single crystal portion of a semiconductor wafer that can be cleaved and bonded to another previously fabricated wafer, or similar type of substrate, such that a three dimensional stack is formed from the SOI layer and the previously fabricated wafer or similar type of substrate. In this context, the SOI layer may be thought of as an attachment layer, or stackable add-on structure, that itself contains at least devices and/or interconnections, and which is suitable for bonding to a semiconductor substrate already containing devices and/or interconnections. As a stackable add-on layer, the single-crystal layer may have been doped so as to have one or more doped regions vertically adjacent each other. For purposes of this disclosure, doped regions may include intrinsic regions as well as p-type and n-type regions. Individual semiconductor structures may be formed by etching through portions of the doped stack to electrically isolate those structures. The spaces between such individual structures may be filled dielectric material so as to re-form a layer without gaps or voids therein, and thereby provide for mechanical stability, and support for additional stacked layers.
  • The expression “3-D IC”, as used herein, refers to a three-dimensional integrated circuit that includes a semiconductor substrate having devices and/or interconnect structures fabricated thereon, and least one SOI layer, also having devices and/or interconnect, where the semiconductor substrate and the SOI layer are stacked and bonded to each other.
  • The disclosures of U.S. Pat. No. 6,600,173, U.S. Pat. No. 5,563,084, and U.S. Pat. No. 6,355,501, show the formation of 3-D ICs as a packing technology that includes stacking individually working ICs. However, embodiments of the present invention do not use individually working ICs, but rather, as shown in FIG. 2, provide device integration technology using bonded SOI technology and a thin single crystalline semiconductor layer 124 without device formation before layer transfer. Because single crystalline semiconductor layer 124 is formed by SOI technology, it is referred to herein simply as an SOI.
  • The meaning of thin film including semiconductor layer 104 in FIG. 3 is thin film single crystalline semiconductor layer including diffusion layer such as p-type, n-type, or i(intrinsic)-type, and physically distinguishable layers, such as dielectric layer or metal layer. Also the meaning of ‘non-multiple-device formed’ semiconductor layer 124 of FIG. 4 b is that SOI thin layer 124 does not have isolation structures, metal patterns, interconnection used for multiple devices, nor separated impurity regions used for individual devices.
  • As shown in FIG. 2, devices 111, 112, 113 in accordance with the present invention are separated by isolation 135 and have floating structures in the filled dielectric materials 133. As used herein, devices 111, 112, 113 are referred to as floating devices (FLD). Therefore, embodiments of the present invention are different from a conventional bonded IC layer that has a shared well 142 or substrate 143, where an electrically common region is located. Additionally, embodiments of the present invention do not have the physically supporting layer which can be found in a conventional SOI IC substrate where all devices in one IC layer are supported by a substrate under a bottom oxide. In an illustrative embodiment of the present invention, a second IC layer that includes FLD 112, 113 is called FLD IC layer 102, and a first IC layer that includes FLD 113 is called FLD IC layer 101. Inter-layer dielectric (ILD) layers disposed above and below FLD IC layer 101 has interconnection lines 132 and vias, or contacts, 131. Interconnection lines 132 and vias 131 connect FLDs directly or indirectly within an FLD IC layer, or connect devices from a FLD IC layer to another FLD IC layer or to a base substrate 103.
  • As shown in FIG. 2, the substrate bonded to lower dielectric layer 151 is called base substrate 103, the first FLD IC layer above base substrate 103 is called first FLD layer 101, and the next FLD IC layer is called second FLD IC layer 102.
  • FIG. 2 shows multiple FLD IC layers 101,102 and one base semiconductor substrate 103. Dashed line 134 denotes a border, or interface, of two ILD layers. First ILD layer 151 has interconnection lines and vias, and base semiconductor substrate 103 shares these interconnections and vias with first FLD IC layer 101. Some vias may directly connect to second FLD IC layer 102 from first ILD layer 151. Also interconnection lines and vias in second ILD layer 152 are shared by first and second FLD IC layers 101, 102. This sharing scheme of interconnection lines and vias is an advantage of embodiments of the present invention.
  • Still referring to FIG. 2, the formation of electrodes to floating devices 111, 112, 113 is by direct connection to the top and bottom of each floating device 111, 112, 113. Also, in various embodiments of the present invention, floating devices may be constructed that have vertically separated single or more intermediate electrodes 123. These electrodes could be connected to interconnection lines within the ILD layers disposed on the top and/or bottom of the FLD layer.
  • In FIG. 2, if a logic IC is implemented in base semiconductor substrate 103, memory devices are implemented in first FLD IC layer 101, and image sensors are in second FLD IC layer 102, then one semiconductor substrate could integrate different types of individually optimized devices without using a difficult and expensive SoC structure or semiconductor processing.
  • FIG. 3 shows a 3-D IC structure having FLD IC layer without an attached base substrate. In one method of obtaining the structure of FIG. 3, first, ILD layer 153 is placed on the top of base substrate, and then SOI layer 124 is formed on the ILD layer 153, and then devices are implemented using SOI layer 124, and then another ILD layer 154 including interconnection lines 132 and vias 131 is placed on top of the single crystalline semiconductor devices 104, and then the base substrate is detached from ILD layer 153. The base substrate (not shown) could be a flat substrate with even surface, such as plastic, ceramic, glass, metal, or semiconductor materials. The base substrate should be able to withstand processing temperatures in the range of 250° C.˜650° C., which range is considered to be a “non-high temperature semiconductor processing temperature”.
  • Still referring to the FIG. 3, embodiments of the present invention may have pads which are connected to a package (not shown) disposed at the bottom of first ILD layer 153 and/or at the top of second ILD layer 154. Bottom pads 146 could be connected to a package using, for example, solder. Top pads 145 could be connected to a package using, for example, wires. Such pad structures in accordance with the present invention reduce die area and the density of a package.
  • Various embodiments of the present invention do not require a physically supporting substrate for floating devices. Also, without a base substrate, various embodiments could exist along with interconnection lines, vias, and FLDs only.
  • Various embodiments of the present invention provide floating devices that are separated by dielectric isolation regions. These electrically separated structures do not have the parasitic devices which are typically found in prior art approaches.
  • Various embodiments of the present invention provide floating devices that may be connected directly or indirectly.
  • In various embodiments of the present invention, combining the SOI layer with a semiconductor substrate does require the same type of wafer alignment structures as are used in photolithographic processes, rather, the wafer alignment structure may be implemented as a wafer alignment mark, or as a bump-type alignment structure. Alternatively, without a wafer alignment structure, the SOI layer could be transferred along with a simple notch alignment because the transferred SOI layer does not have structures for multiple devices, such as isolation structure or interconnection lines, which are horizontally divided. The transferred SOI layer has only vertically divided several layers.
  • Various embodiments of the present invention provide for interconnection of floating device both above and below the FLD.
  • Conventional technology typically uses horizontally oriented MOSFETs. In the case of conventional vertical MOSFETs, implementation of contacts and interconnection is difficult, and processes are incompatible between vertical and horizontal MOSFETs. However, embodiments of the present invention can easily implement vertical devices, including MOSFETs, and compared to conventional approaches, it is easy to implement interconnections and contacts with low contact resistance.
  • In order to implement logic devices in a conventional manner, individual devices need to be connected. However, in some embodiments of the present invention, FLD logic can be formed using vertically connected individual devices without interconnection lines because embodiments of the present invention include a form of SOI device, and a well is not needed.
  • In various embodiments of the present invention, a FLD can have directly contacted metal electrodes at top, bottom, and intermediate regions. The area of metal electrodes can be the same as the top and bottom size of single crystalline semiconductor of FLD, which is formed by isolation etching. Therefore, voltage drop of the device could be reduced.
  • FIGS. 4 a-d explain a process flow for making a 3-D IC such as the one shown in FIG. 3. In FIG. 4 a, after formation of a mask alignment mark (not shown) on substrate 180, single or multiple ILD layers 133 are formed in dielectric layer 153, and interconnection lines 132 and vias 131 which are conducting materials are formed. At here, borderline of each ILD layer 133, which comprise dielectric layer 153, are shown as dashed lines 134. Base substrate 180 should withstand semiconductor processing temperatures in the range of 250° C.˜650° C. The conductors are formed of low electrical resistance material which conducts voltage/current and could be metals such as aluminum and copper, refractory metal, silicide, or low resistance polycrystalline/amorphous semiconductor materials with heavy doping. Once vias 131 connected to FLD directly or indirectly are formed in dielectric layer 153, metal layer which is to be used as bottom electrode 121 of FLD is deposited and, if necessary, another metal layer which is an intermediate bonding layer 120 could be implemented. The metal used for intermediate bonding layer 120 typically has a lower meting point than the metal layer on dielectric layer 153. Metal 120 is required to have desirable reflow properties at low temperature for surface planarization in order to prevent voids due to surface microroughness at SOI substrate 190 bonding process. If interconnection lines 132 in dielectric layer 153 are aluminum, the metal of intermediate bonding layer 120 needs to have melting point in the range of 250° C.˜650° C., which is below the melting point of aluminum, 660° C. Here is the list and melting points of metals which could be intermediate bonding layer; aluminum alloy 204° C.˜674° C., zinc 420° C., zinc alloy 377° C.-484° C., lead 328° C., thallium 304° C., tellurium 445° C., solder 268° C.-579° C., and tin alloy 223° C.-422° C.).
  • FIG. 4 b shows an SOI substrate 190. To implement FLD, doped layers are formed in single crystal semiconductor layer 124, where the doped layers may be formed by any suitable method including, but not limited to, ion implantation, or impurity mixing during epitaxial layer growth for single crystalline semiconductor layer 124 formation. Metal layer 121 is formed on single crystalline semiconductor layer 124, and intermediate bonding layer 120 is formed on metal layer 121. SOI substrate 190 is a single crystal semiconductor substrate, and a material for FLD single crystal semiconductor layer 124. SOI substrate 190 could be single source semiconductors, such as silicon and germanium, or compound semiconductors, such as SiGe, GaAs, GaP, and InP. Also SOI substrate 190 could be combination of single source semiconductors and compound semiconductors. Before bonding, it is better for the SOI substrate to have an intermediate bonding layer which has high reflow rate with a low temperature melting point in order to remove surface roughness.
  • SOI substrate 190 may have a detach layer 191, which may be a porous or strained layer at a certain desired depth using, for example, SmartCut (U.S. Pat. No. 5,882,987), ELTRAN (U.S. Pat. No. 5,371,037), or SiGen technologies. Detach layer 191 is a defective region in the semiconductor lattice and, after bonding with dielectric layer 153, SOI substrate 190 will be removed except for single crystal layer 124 which forms the FLD.
  • FIG. 4 c is a cross sectional view of the bonding of dielectric layer 153 of FIG. 4 a and SOI substrate of FIG. 4 b. SOI substrate 190 shown in FIG. 4 b is upside-down and bonded on dielectric layer 153 in FIG. 4 a. During the bonding process, pressure is applied with heat treatment in order to increase bonding strength and remove voids between bonding interfaces. Alternatively, eutectic bonding with gold, or thermocompression bonding with a soft metal thin film could be used as the intermediate layer bonding process. Metal layer 121 including intermediate bonding layer 120 used in bonding process may be used as the bottom electrode of the FLD.
  • Referring to FIG. 4 d, SOI substrate 190 has been detached after leaving SOI layer 124, where FLD is implemented, on dielectric layer 153, and then isolation structures 135 are implemented over all or part of the FLD layer. SOI substrate 190 is detached using detach layer 191 and a wafer jet may be used for SOI substrate detachment. Without detach layer 191, using a Bond and Etch-Back method (U.S. Pat. No. 5,013,681), leaving SOI layer 124, where the floating devices are implemented. This SOI layer 124 may also be referred to as a stackable add-on layer. SOI substrate 190 can be removed by etching or polishing. Also, using a handling substrate, SOI substrate 190 is bonded with the handling substrate, SOI substrate 190 is detached from the handling substrate and leaving SOI layer 124, and then the SOI layer could be transferred to dielectric layer from the handling substrate. The handling substrate could be the same kind of substrate used for the base substrate. Also, the handling substrate may use vacuum to hold the single crystalline semiconductor layer temporarily from the SOI substrate, and then transferring the SOI layer to dielectric layer could be easily done by releasing vacuum. The vacuum surface better has thick dielectric layer which protects SOI layer. The role of the handling substrate is to transfer an SOI layer from the SOI substrate to the dielectric layer without damage. Also, as explained in U.S. Pat. No. 6,355,501, the SOI substrate and the handling substrate could be bonded using polyamide. Once the SOI substrate has been detached, Chemical-Mechanical Polishing (CMP) could be used to reduce surface roughness of the transferred SOI layer.
  • Once single crystalline semiconductor layer (i.e., SOI layer) has been transferred, isolation is implemented to make individual floating devices. For isolation formation, trench technology is used. Also at this time, SOI layer on top of scribeline is to be removed because this will facilitate subsequent die saw operations. Bottom electrode 121 of FLD is automatically implemented during the trench isolation process. A method of forming bottom electrode 121 is explained below in conjunction with FIGS. 7 a-7 c. Once the SOI layer has been transferred, a mask alignment mark is exposed on base substrate 180 by removing SOI layer over the mask alignment mark, and then, using the exposed mask alignment mark, the FLD pattern on mask and via 131 pattern on dielectric layer can be aligned. Vertical FLD, where current flows in a vertical direction, may have intermediate electrode. Interconnection lines and contacts which connect with top electrode and interconnection lines which may be formed by conventional semiconductor process methods.
  • Using the method set forth above, multiple FLDs can be stacked and, therefore, IC density can be increased. Various embodiments of the invention, therefore, do not need wafer or chip alignment marks, or micro bumps for wafer alignment when bonding SOI substrate having single crystalline semiconductor layer and dielectric layer having interconnection lines and vias. Various embodiments of the invention can be implemented by mask alignment mark used in conventional photo process. The isolation structure is to be filled by dielectric material and intermediate electrode materials. Formation method of the dielectric and intermediate electrode in the isolation structure is explained in FIGS. 8 a-8 d. After the process of FIGS. 4 a-4 d, according to conventional semiconductor process, ILD, interconnection lines, and vias are implemented and the base substrate is detached, then it becomes the structure shown in FIG. 3.
  • In FIG. 4, n+ layer on the top of SOI substrate 190 is directly connected to metal layer 121. However, as can be seen in FIG. 5 a, another dielectric 189 could be formed in between the top of SOI substrate 190 and metal layer 121, and then transferred to dielectric layer 153. In this case, bottom electrode 121 could be used for a gate electrode having gate dielectric 189. Or, another bottom electrode 121 c could be used to connect the bottom electrode of the FLD.
  • An FLD IC layer could have one or more SOI layers. The FLD IC layer shown in FIGS. 3 and 5 have a single SOI layer. FIG. 5 b shows multiple SOI layers 124, 128 consisting one FLD IC layer 105. FLD IC layer 105 shows the structure before formation of FLD. As shown in FIG. 5 b, there are no vias in between SOI layer 124 and SOI layer 128. If vias exist between multiple SOI layers, as shown in FIG. 2, one FLD IC layer 101 and the other FLD IC layer 102 are separated and distinguishable. Multiple SOI layers are implemented by adding another SOI layer 128 on already transferred SOI layer 124 sequentially. Multiple SOI layers 124, 128 shown in FIG. 5 b have dielectric layer 138 which electrically separates the multiple SOI layers 124, 128. Therefore, multiple SOI layers 124, 128 could have different types of devices which are electrically separated at each SOI layer. For example, one SOI layer could have p-type MOSFET, and the other SOI layer may become memory devices.
  • FLD could be conventional semiconductor devices. MOSFETs, bipolar transistors, diodes, capacitors, and resistors, images sensors (e.g., Charge-Coupled Devices (CCD) or Active Pixel Sensor (APS)), or MicroElectroMechanical System (MEMS). FLD could be a form of circular pillar (see FIG. 13 a), rectangular pillar (see FIG. 13 b), or multi-angle pillar, or cylindrical pillar. If the width of FLD is getting narrow, aspect ratio of the pillar structure increases and could topple or be detached from the bonded dielectric layer. To prevent these phenomenon, FLD could be a trapezoidal format with narrow top width and wide bottom width.
  • FLDs can be divided into High Temperature (HT) and Low Temperature (LT) devices depending on the temperature used in the manufacturing processing. Similarly, depending on the direction of device operation, FLDs can be divided into Vertical (V) and Horizontal (H) devices, where ‘V’ and ‘H’ mean the ‘Vertical’ and ‘Horizontal’ directions of major device current flow.
  • FLD process temperature could be divided into high temperature which is above 800° C. and low temperature which is below 650° C. In this disclosure, we call the devices produced with a high temperature process HT-FLD, and call the devices produced with a low temperature process LT-FLD, or simply FLD, because a benefit in accordance with the present invention is the implementation of a 3-D IC at low process temperatures. HT-FLD can be treated at high temperature for thermal activation of implanted ions and could be vertical or horizontal devices. To implement HT-FLD, the interconnection lines 132 and vias 131 in dielectric layer 153 shown in FIG. 4 should be copper or refractory metals, such as tantalum, molybdenum, or tungsten. Also the base substrate used in HT-FLD should withstand at more than 800° C.
  • LT-FLD or FLD do not need ion implantation, heat treatment, and photo process for ion implantation because the impurity layer required for device operation has been formed in SOI substrate before the transfer to dielectric layer. If high temperature is required during the FLD process, characteristics of the devices existing on other layer could be altered. Device process control along early prediction of the alteration is very difficult. Therefore, embodiments of the invention could be implemented on top of base semiconductor substrate having devices without process change. An advantage of the present invention is that a low cost process is obtained because ion implantation and photo process are not required. Also, because various embodiments of the invention do not require a high temperature process, refractory metal, aluminum, and aluminum which has low melting point and is widely used in semiconductor could be used. Also LT-FLD could use metal gate and high-k dielectric materials more easily than conventional manufacturing processes.
  • A typical form of LT-FLD is VFLD (Vertical FLD) because vertical impurity junctions are formed in SOI substrate already and it is easy to implement a bottom electrode. However, at low temperature, HFLD (Horizontal FLD) can be implemented without ion implantation. HFLD could be a form of MESFET, MOSFET, diode, or horizontal bipolar transistor. As shown in FIG. 6 a, once part of SOI layer has been etched using PR (Photoresist) or hard mask 171, then FIG. 6 is formed. FIG. 6 b shows MESFET-type HFLD with metal gate forming Schottky diode. Or bottom electrode 121 could be used as a gate electrode. FIG. 6 b is a FLD 113 in FIG. 2. If gate 172 has dielectric layer underneath it, the FLD becomes a MOSFET. If gate 172 in FIG. 6 b has an ohmic contact and the n-type region is switched to p-type region, then it becomes a horizontal bipolar transistor. From the horizontal bipolar transistor, if the n+ region is anode and p-type region is cathode, then it becomes a horizontal diode. Also without gate, the FLD could be a resistor using only the n-type region.
  • VFLD (or LT-VFLD) could be a form of MESFET, MOSFET, diode, capacitor, resistor, bipolar, thyristor, or, instead of single device, could be a form of vertical connections of different types of FLD devices to implement circuitry. Combining optimized horizontal devices in the base semiconductor substrate and optimized VFLD, SoC could be optimized in performance and in price.
  • Unlike vertical device 212 at prior art shown in FIG. 1, it is easy to implement electrodes, contacts, and formation and connection of interconnection lines in VFLD. In this disclosure, ‘electrode’ means electrical part which is directly connected to devices or a gate with gate dialectic material. ‘Contact’ means connection part between electrode and interconnection line, which is usually a form of vertical shape. The vertical devices in U.S. Pat. No. 5,414,288, U.S. Pat. No. 6,027,975, U.S. Pat. No. 6,337,247, and U.S. Pat. No. 6,449,186 should have horizontally extended doping region which is used for source/drain and providing space for contact formation. Therefore, in prior arts, the extended source/drain region increases resistance and parasitic capacitors. As shown in FIG. 2, the electrodes are formed at top 122 and bottom 121 of FLD 111. In case of VFLD, intermediate electrode 123 could be connected to interconnection lines at the top or bottom of the FLD. Further, the intermediate electrode could be used for local interconnection. This very flexible interconnection scheme for a 3-D IC is not possible in conventional approaches to forming 3-D ICs.
  • The bottom of FLD is connected to metal layer 121 which is also directly connected to vias 131 in the ILD layer 151. Therefore, bottom of FLD already has pre-formed electrode and contact. To connect bottom electrode 121 of FLD 111 and via 131 in the dielectric layer 151, they need to be aligned. The alignment scheme used in this technology is to be done by conventional photo alignment mark (not shown). However, the photo process has misalignment margin and bottom electrode 121 and via 131 should be aligned within the alignment margin. In general, in order to connect interconnection lines at different level of ILD layers through via 131, as shown in FIG. 2, the width of interconnection lines are needed to be wider than the size of via 131. Photo process with photo mask and etch process are needed for formation of interconnection lines 132 and vias 131.
  • Referring to FIGS. 7 a and 7 b, the formation of bottom electrode 121 used for alignment between bottom portion 124z of FLD and via 131 uses a self-aligning technology and therefore does not require a photo mask type of process. Part of the metal layer used in the SOI substrate bonding process is to be extension of bottom part of FLD and other part of the metal layer becomes a bottom electrode 121. As shown in FIG. 7 a, using etching mask 173, the portion of layers 122 and 124 indicated by the dashed lines is etched away. FIG. 7 b shows spacer type etching mask which enables bottom electrode 121 to be wider than via 131. The width of bottom electrode 121 can be, for example, more than twice the FLD height if the etching mask is deposited taller than FLD and etched by, for example, a dry etching process. The width of bottom electrode 121 can be controlled by the thickness of a hard mask, the FLD height, the FLD width, and the etching amount of spacer 182. If the width of the FLD is bigger than a photo process margin, then wider bottom electrode 121 is not required.
  • Referring to FIGS. 8 a-8 d, an intermediate electrode in accordance with the present invention can be implemented as follows. First, there is a planar intermediate electrode, or planar electrode, method. After electrode material deposition and a CMP operation for planarization, dry etching is performed to provide a planar electrode 123 shown in FIG. 8 a. Patterning of planar electrode 123 can be done before or after the dry etching process. The deposited electrode material is usually thicker than the height of VFLD. Also, at this point in the process, an etch stop layer 122 may be needed on top of the FLD to prevent damage on SOI layer 124. Etch stop 122 is typically a combination of multiple oxide, nitride, or metal layers. In FIG. 8 a, a dielectric material 133 a is deposited, planarized, and dry etched in a manner similar to the formation of planar electrode 123. Dielectric material 133 a reduces parasitic capacitance between bottom electrode 121 and planar electrode 123.
  • Second is the method using spacer 123 as shown in FIG. 8 b. If the width of spacer intermediate electrode or spacer electrode is wide, it is easy to obtain electrical contact with the spacer electrode. However, it is difficult to achieve high density. If the width is narrow, it is difficult to obtain electrical contact with the spacer electrode. The spacer method doest not require photo or CMP processes.
  • Third method is spacer method using a dummy FLD (i.e., an FLD which does not work as a device). As shown in FIG. 8 c, a dummy FLD 124 a is located close to FLD and increases the width of the spacer used for intermediate electrode 123. Because contact 123 a connected to intermediate electrode 123 could be located on the top of dummy FLD 124 a, the margin for contact formation increases. As shown in FIG. 8 c, the spacing between the FLD and dummy FLD 124 a should be smaller than two times the spacer film thickness.
  • The fourth method is, as shown in FIG. 8 d, thin spacer method which extends intermediate electrode 123 to the top of FLD. After deposition of the intermediate electrode material, covering the area of contact formation on intermediate and etching the rest of the area, we get the structure of FIG. 8 d. This method is good for thin spacer thickness. To reduce parasitic capacitance between top and intermediate electrodes, a thick dielectric layer may be used on the top electrode.
  • Intermediate electrode may surround the entire or part of intermediate region of the VFLD. Also, multiple intermediate electrodes could be formed at one FLD.
  • After the SOI layer has been transferred from SOI substrate, electrode material 122 has been deposited on the SOI layer and FLD has been patterned, then top electrode could be implemented as shown in FIG. 7 a. If the size of contact 122 a is smaller than the size of top electrode 122, then conventional semiconductor photo/etch technologies can be used as shown in FIG. 8 d. However, if the FLD width is less than the misalignment margin of the photo process for formation of contact 122 a, or the size of contact 122 a is greater than the area of FLD, then photo/etch processing for contact 122 a may cause a short circuit to the intermediate electrode. Therefore, this disclosure describes several structures in accordance with the present invention that increase process error margin for photo/etch during the formation of contact 122 a. First thing is to increase thickness of top electrode formation material in order to etching process margin. Second one is to use etch stop layer 184 with planar technology as shown in FIG. 9 a. Third one is to use etch stop layer 184 with spacer technology as shown in FIG. 9 b, where the etch stop layer 184 has slow etching rate compared to the dielectric layer 133 c during the contact 122 a formation. For example, if dielectric layer 133 c is oxide film, then etch stop layer 184 could be nitride.
  • In this disclosure, we annotate VFLD, which is implemented at low temperature and has vertical operation, as follows: MOSFET VMFLD, MESFET VMEFLD, diode VDFLD, resistor VRFLD, capacitor VCFLD, bipolar VBFLD, and Thyristor VTFLD.
  • VDFLD could be implemented as vertical p-n or p-i-n junction diodes as shown in FIG. 10 a. Also, FIG. 10 b shows vertical Schottky diode, which has Schottky junction between top electrode 122 and SOI 124. Or, as shown in FIG. 10 c, metal intermediate electrode 123 could be used for 3-D Schottky diode. The VDFLD shown in FIG. 10 c has twice the current driving capability compared to the one in FIG. 10 b, because current follows from anode at intermediate electrode 123 to cathodes at top and bottom electrodes.
  • There are two types of VCFLDs. One is MOS capacitor type or depletion capacitor, which uses a depletion region formed in the single crystalline semiconductor; and the other one, or dielectric capacitor, stores charge at dielectric interface without a depletion region. If the doping concentration of the semiconductor is low, then, depletion exists in semiconductor region. If doping concentration is high, then, it becomes dielectric capacitor, VCFLD without depletion. VCFLDs are shown in FIGS. 11 a and 11 b. In FIG. 11 a, there are gate dielectric which surrounds n-type single crystalline semiconductor and an electrode which connects the n-type semiconductor. Because, in general, the total capacitance is proportional to the electrode area, the surrounding gate 123 b increases total capacitance of VCFLD. Without the gate dielectric layer, the metal gate forming the Schottky diode could be used as a capacitor with reverse bias.
  • If the semiconductor has a pillar structure, the capacitance of VCFLD increases due to the increased semiconductor and gate interface area. Also, as shown in FIG. 11 b, gates 123 b, 123 c and a gate dielectric layer are stacked repeatedly on a VCFLD, and the stacked capacitor and the VCFLD are connected in parallel, and then, capacitance could be increased. This type of capacitor has the same structure of stacking capacitor used in DRAM. The contact 121 a in FIG. 11 b connects gate of the stacked capacitor and bottom electrode 121.
  • Bipolar type VBFLD is shown in FIG. 12. The impurity regions, which consist of collector 124 c, 124 d, base 124 b, and emitter 124 a, have been implemented at SOI substrate and then transferred. Electrodes, which consist emitter 124 a and collector 124 d, are formed at bottom 121 and top 122, and base 124 b electrode 123 is formed in middle of the FLD. Even though emitter 124 a could be located at top or bottom of VBFLD, emitter is at the bottom of VBFLD in the illustrative embodiment. In this case, the emitter is implemented at top of the SOI substrate before the single crystalline semiconductor 124 a-124 d has been transferred. Therefore, accurate junction control is enabled when emitter 124 a and base 124 b regions are formed. Also, SiGe heterojunction base is possible and polycrystalline semiconductor can be used as part of emitter region. In addition, because the emitter 124 a is located at the bottom of VBFLD, emitter could be away from thickness variation during planar process after the SOI layer transfer process. If handling substrate is used for SOI layer transfer, then emitter is located at top of the FLD.
  • In accordance with the present invention, to obtain low collector series resistance, the VBFLD does not need a buried layer and heavily doped collector region which connects the collector contact and the buried layer. Various embodiments of the present invention provide lower collector series resistance compared to conventional approaches. Also, base series resistance can be low without a heavily doped extrinsic base region because the surrounding base electrode 123 formed in the middle of VBFLD has a wide contact surface at the base region. Further, the VBFLD does not have parasitic capacitors which prevent high speed operation. In addition, because the VBFLD does not have a substrate, base-collector-substrate parasitic bipolar transistor does not exist in embodiments of the present invention. Whereas conventional implementations need deep and shallow trench isolations, VBFLD only needs one isolation structure 135. In FIG. 11, if the base intermediate electrode 123 is extended from base region to collector region, then the low doped collector region 124 c forms a Schottky diode with the base electrode which enables high speed operation of the VBFLD.
  • MOSFET-type VMFLD are shown in FIGS. 8 a-8 d and FIGS. 9 a-9 b. The vertical MOSFET could have high integration density at small space and the channel length is not limited by photo and etching process limit. Also, the VMFLD could have high driving current because channel width could be increased easily with surrounding gate compared to prior arts which have the same channel length.
  • However, vertical MOSFET in prior arts are not used often because of many disadvantages. Vertical transistors at U.S. Pat. No. 5,414,288 and U.S. Pat. No. 6,027,975 are formed by epitaxial growth at exposed single crystalline region. Because this technology requires difficult manufacturing technologies and high temperature operation for epitaxial growth, it is not good for low temperature semiconductor processing.
  • Pillar type SGT Surrounding Gate Transistor, shown in U.S. Pat. No. 6,337,247 and U.S. Pat. No. 6,449,186, is difficult to co-exist with optimized horizontal devices and may cause shadow effect during ion implantation due to pillar type transistor. Also, SGT does not have high integration density because it has problems with forming electrodes at source/drain and gate regions. Therefore, these approaches are not suitable for SoC formation.
  • The VMFLD has a directly connected bottom electrode which decreases voltage drop, and current reduction by parasitic resistance. Also VMFLD could be easily full or partial depletion mode with control of FLD width, where the depletion mode could be also controlled by operation voltage and gate dielectric constant. Because the detached surface from the SOI substrate becomes heavily doped source/drain region, even though there are small surface defects, unlike prior arts of horizontal device, there is little effect to gate oxide quality, device operation, and yield.
  • The VMFLD may have gradient impurity distribution in the channel region and electric field could be formed in the channel region due to the graded impurity, where the induced electric field accelerates current flow and graded impurity may reduce Short Channel Effect (SEC). The graded impurity can be formed easily by ion implantation or epitaxial process. Increased impurity concentration in the channel region from source to drain side makes asymmetric operation. In addition, LDD (Lightly Doped Drain) could be selectively formed at drain side only. It is difficult to implement the graded channel in horizontal MOSFET in the prior arts, because of difficulties in high tilt ion implantation and device layout.
  • MOSFET-type VFLD, or VMFLD, has a gate dielectric layer which is implemented at below 650° C. as shown in U.S. Pat. No. 5,330,935 and U.S. Pat. No. 5,443,863. The dielectric layer could be thermal oxide, deposited oxide, oxynitride, or combination of oxide and nitride, such as ONO and NO (Nitride Oxide). Any suitable dielectric material could be used except high temperature processing films requiring more than 650° C. Another advantage of embodiments of the present invention is that it is easy to use high dielectric constant (high-k) materials in the gate dielectric layer, such as, but not limited to, Al2O3, ZrO2, HfO2, Y2O3, La2O3, Ta2O5, TiO2, and BST. In conventional manufacturing of MOSFETs, a high temperature heat activation operation is required after the source/drain ion implantation. At this time, the properties of high-k materials can be altered. However, the VMFLD process does not need a high temperature process, and so high-k materials could be used at stable condition. Also, if ALD (Atomic Layer Deposition) is used to provide the gate dielectric layer, then a substantially uniform layer can be obtained.
  • In accordance with the present invention, threshold voltage could be controlled by changing gate dielectric thickness and/or width of FLD. If different gate dielectric thickness is used or different dielectric constant materials are used at VMFLD, then multiple operational voltage and threshold voltage could be implemented at the same SOI layer and it is useful for SoC. Also, because the VMFLD is produced at low temperature, and a surrounding gate is used, it is easy to use a metal gate compared to prior art manufacturing approaches.
  • In the prior art, for digital application, a MOSFET is in either an “Off” or an “On” state depending on voltage or current status. VMFLD shown in FIG. 13 a could be a multi-Level (ML) VMFLD which has multiple status values with multiple gates sharing one source/drain. Current driving capability of VMFLD is proportional to the gate area. Therefore, simply multiple gates with same gate size could be used for gradual increase of current. Or, multiple gates with same gate size of a VMFLD could be used for ML-VMFLD. FIG. 13 b shows a ML-VMFLD which has two “W” size gates and two “3 W” size gates, where “W” is a constant number and “3 W” means triple the value of “W”. Using combination of these 4 different gates, ML-VMFLD could have 9 different current values from “0” to “8”. If the same size gates are used for ML-VMFLD, eight gates are required for nine different values as shown in FIG. 13 a. ML-FLD could be used for memory or digital logic device applications. Intermediate electrodes for multi-level could be used for bipolar transistor as base electrodes.
  • A 3-D IC including FLDs may have not only single device form, such as MOSFET or bipolar transistor, but also multiple devices formed in a single FLD. FIG. 14 shows a single inverter type VFLD. The p-MOSFET and n-MOSFET which make up the inverter do not require different wells, and therefore this inverter has a high integration density. Contact 123 f, which connects gates of p-MOSFET and n-MOSFET together, becomes input of the inverter. Drains of p-MOSFET and n-MOSFET are connected to together and connected to electrode 123 g and contact 123 h. In FIG. 14, p+-p-p+ type p-MOSFET is a depletion mode MOSFET. Or the p-MOSFET could be a p+-n-p+ type and in this case n-region needs reference voltage. As shown in FIG. 14, the contact, which penetrates the dielectric layer used for FLD isolation structure, could be connected to interconnection lines above or below FLD layer.
  • In addition to the FLD inverter shown in FIG. 14, which uses only one SOI layer, a FLD inverter could be implemented using two SOI layers as shown in FIG. 5 b; one SOI layer has n-MOSFET and the other SOI layer has p-MOSFET,
  • In accordance with the present invention, memory devices could be implemented using multiple FLDs.
  • Using two invertors and two pass transistors on base semiconductor substrate, 6 transistor SRAM cell be implemented as shown in FIG. 15 b. The two invertors are VFLD and two transistors, which have word line and bit line, are on the base semiconductor substrate. FIGS. 15 a and 15 b show interconnection lines of top and bottom contacts, respectively. Two FLD invertors are latched with connecting inputs to outputs of each invertors. Counter parts of one VFLD inverter contacts 122 a, 123 h, 123 f, 131 are shown with underlined 122 a, 123 h, 123 f, 131. Therefore, SRAM cell could have high integration density. Especially, because the base semiconductor substrate does not need n-well for p-MOSFET in SRAM cell, integration density in the base semiconductor substrate is high. It is noted that if four pass transistors are used, a dual-port SRAM can be implemented.
  • There are many ways to implement SRAM cells in accordance with the present invention. A first way is by using four n-MOSFET on a base semiconductor substrate and two p-MOSFET type FLDs. A second way is by disposing two p-MOSFET on the base semiconductor substrate and four n-MOSFET type FLDs. A third way is by disposing two p-MOSFET type FLDs on a SOI layer and four n-MOSFET type FLDs on another SOI layer. A fourth way is by using four transistor SRAM cell using either four n-MOSFET type FLDs or four n-MOSFET on the base semiconductor substrate, and resistors could be either formed on FLD layer or polycrystalline semiconductor resistors.
  • A prior art SRAM cell using a Thyristor has a complicated structure which has a vertical Thyristor and a horizontal MOSFET on same semiconductor substrate. Therefore, this SRAM has process incompatibility with other devices and it is not good for SoC applications. FIG. 16 a shows a VTFLD SRAM cell having a gate 123 j in accordance the present invention. Intermediate electrode gate 123 j is used for word line 2 and the top electrode is connected to reference voltage. The VTFLD is connected to horizontal access transistor 161 c on base semiconductor substrate, therefore, each device can be optimized, and high density is provided for SoC applications. The gate of the access transistor is used for word line 1 (WL1). FIG. 16 b shows another structure of SRAM cell shown in FIG. 16 a, which vertically connects the access transistor 161 c and Thyristor and eventually forms a VFLD SRAM cell. The Thyristor gate 123 j and access transistor gate 123 i are all intermediate electrodes. VTFLD in FIG. 16 a could be the same SRAM cells shown in U.S. Pat. No. 6,225,165B and U.S. Pat. No. 6,172,899. A Dynamic Random Access Memory (DRAM) cell in accordance with the present invention has one transistor and one capacitor, where the transistor could be on the base semiconductor substrate or could be a VMFLD on an FLD IC layer, and the floating source of the transistor is connected to a VCFLD on another FLD IC layer. VCFLDs are shown in FIGS. 11 a-11 b. Or, from the multiple SOI layers consisting one FLD IC layer, one SOI layer having a transistor and the other SOI layer having a capacitor are connected to form a DRAM structure. Another VFLD DRAM structure has serial connection of a transistor and a capacitor in a SOI layer. FIG. 17 a shows a DRAM structure having a MOSFET and a depletion capacitor in serial connection. The top electrode is connected to bit line and intermediate electrode is connected to word line. In FIG. 17 a, depletion region, which is formed in between the floating n+ source 124 e and p-region connected to bottom electrode, has wider width than the transistor, where the wider semiconductor region could be implemented using spacer technology without additional photo process as shown in FIGS. 7 a-7 c. FIG. 17 b shows that a MOSFET having floating source and a dielectric capacitor are connected in parallel, where the floating source p-region is connected to reference voltage (not shown). In FIG. 17 b, bottom electrode 121 is connected to bit line and intermediate electrode is connected to word line.
  • A nonvolatile FLD memory structure in accordance with present invention is shown in FIGS. 18 a-18 f. FIG. 18 a has two gates, where one floating gate surrounds a p-type channel region with gate dielectric layer 183 b and control gate 123 connecting to bias surrounds floating gate 123 k with another gate dielectric layer 183 c. FIG. 18 b shows a split gate nonvolatile memory, where floating gate 123 k surrounds part of p-type channel region, and the rest of channel region and the floating gate 123 k are surrounded by control gate 123. FIG. 18 c has three gates: a floating gate 123 k, a control gate 123, and an erase gate 323 which is designed to erase data. FIG. 18 d shows a nonvolatile memory VFLD without a floating gate which has an ONO gate dielectric layer 183, where information can be stored at different locations 30 depending on current flow. FIG. 18 e shows a flash memory FLD structure with bulk contact 122 c on p-type bulk region 124. VMFLD could have a bulk contact without gate dielectric layer on one side and gate contact with gate dielectric layer on the other side.
  • One of advantages of embodiments of the present invention is that nonvolatile memory could be a ML-VMFLD which stores multi bit information in a FLD. As shown in FIG. 18 f, an FLD having one source/drain has eight separated gates, and then one FLD has eight multi-bit memory cell. FIG. 18 f has a bulk contact 122 c and rest contacts are connected to source/drain at SOI layer which is forming FLD. In FIG. 18 e, dashed line “756” shows the borderline of an exposed FLD bulk region from the top FLD. Rest contacts 122 a on SOI region 124 are connected to source/drain. If the nonvolatile memories in FIGS. 18 a-18 c, source and drain have different doping concentration, multi bit nonvolatile memory could be achieved depending on device operation similar to ETOX.
  • In one embodiment of the present invention, FLD memory devices may have redundancy on the same or different FLD IC layers.
  • One embodiment of the present invention may have block regions in a FLD IC layer as shown in FIGS. 19 a-19 b, where each block has different type of FLDs. FIGS. 19 a and 19 b are top views of an FLD IC, and each chip 441 is distinguished by a scribeline. For example, one FLD IC layer has four blocks 413 a-413 d, where a first block has a programmable FPGA, a second block has a flash memory, a third block has bipolar devices, and a fourth block may have an SRAM. Each block may require different impurity junctions for different device types, where the impurity junctions should be formed before SOI layer transfer processing in case of LT-FLD. The block FLD formation needs wafer alignment marks at SOI substrate and base substrate. In this case, it is better to have Overlay Error Compensation Area (OECA) 412 considering wafer misalignment, where the OECA may have a few microns to hundreds micron distance.
  • FIG. 20 a shows a nonvolatile memory cell 700 with a capacitor using a ferroelectric film 710, and a VFLD connected in series to the capacitor. The nonvolatile memory using ferroelectric film 700 is called an FRAM (Ferroelectric Random Access Memory). Conventional ferroelectrics are (PbZr)TiO3 (referred to as PZT), SrBi2Ta2O9 (referred to as SBT), and YMnO3. If an electric field is applied to such a ferroelectric, then the ferroelectric has a polarization characteristic. In FIG. 20 a, FRAM cell 700 has serially connected a ferroelectric capacitor and a VMFLD. Gate 123 of VMFLD is Word Line (WL) and the drain is Bit Line (BL), and the source is connected to the ferroelectric capacitor and the other electrode 122 a is connected to Drive Line (DL or Plate Line).
  • FIG. 20 b shows an equivalent circuit of FRAM memory cell 700, where logic devices for sense amp 770 are generally implemented on base substrate and FRAM cell 700 including VMFLD is implemented in SOI layer.
  • FIG. 20 c shows one memory bit using two FRAM cells shown in FIG. 20 a, where logic devices for sense amp 770 are generally implemented on base substrate 103 and FRAM cell 700 including VMFLD is implemented in SOI layer.
  • FIG. 21 a shows a nonvolatile memory cell 730 with a capacitor using ferroelectric film 710 and a VFLD connected in parallel to the capacitor. The parallel connection FRAM operates at higher speed and has lower power consumption compared to a serially connected FRAM cell. One intermediate electrode 123 is the WL. The other intermediate electrode 123 a has an applied reference voltage and keeps constant current status for parallel connecting the ferroelectric capacitor and VFLD.
  • FIG. 21 b is an equivalent circuit of FRAM cell 730. FRAM cells 730 are chained to form a byte.
  • A capacitor using ferroelectric film 710 is located at the top of the VFLD in FIGS. 20 a and 21 a. However, the capacitor using ferroelectric film 710 could be located at the bottom of the VFLD. Also the VFLD could be a MOSFET, bipolar, or other type of transistor.
  • FIGS. 22 a and 22 b show nonvolatile VMFLDs 750 which have ferroelectric film 710 as part of VMFLD structure. In FIG. 22 a, a FRAM has ferroelectric film 710 located in between a gate dielectric layer 183 and gate electrode 123. This is called a Metal Ferroelectric Insulator Silicon (MFIS). Gate dielectric layer 183 is a typical MOSFET gate dielectric layer and can be formed of silicon dioxide or oxynitride. If there is no gate dielectric layer 183 in FIG. 22 a and ferroelectric film 710 is used as a gate dielectric layer, then it becomes a MFS (Metal Ferroelectric Silicon) type FRAM.
  • In FIG. 22 b, ferroelectric film 710 is used in between floating gate 123 k and control gate 123 of a VMFLD and it forms MFMIS Metal Ferroelectric Metal Insulator Silicon) type FRAM 760 FLD.
  • Ferroelectric film 710 used in the illustrative embodiments of FIGS. 20-22 should be implemented at below 660° C. for low temperature FLD.
  • FIG. 23 a shows a nonvolatile MRAM Magnetoresistive Random Access Memory, 800 cell structure using VMFLD and serially connected MJT Magnetic Tunnel Junction Stack, 810. In FIG. 23 a, MJT 810 is located formed below ILD 133 and FLD 124.
  • FIG. 23 b also shows an MRAM cell 850 using MJT 810. MJT 810 is located formed above FLD 124.
  • MJT 810 has property of variable electric resistance depending on applied magnetic field, where the electric resistance changes depending on polarization of MJT 810. MJT 810 consists of multiple thin film layers. In general, one magnetic file is free layer which is polarized by applied magnetic field. The other magnetic film is pinned layer and, in general, used along with exchange layer which is anti-ferromagnetic layer. The pinned layer is polarized by applied magnetic field. Therefore, the film stack is called a Magnetic Tunnel Junction Stack (MJT). The MJT is not limited to a structure which has two magnetic films and a dielectric film. The MJT could have combinations of different thin layers. The MJT could be classified to two types by the stacked layers; one is Giant Magnetroresistance (GMR) using non-magnetic material, and the other one is Tunneling Magnetroresistance (TMR) using dielectric layer, such as oxide layer. The VFLD shown in FIGS. 23 a and 23 b could be MOSFET, bipolar, or MESFET.
  • FIG. 24 shows an Ovonic Unified Memory (OUM) 900 cell structure using a Reversible Structural Phase-Change Film (RSPCF) 910 and a serially connected VFLD. In FIG. 24, RSPCF 910 is implemented after formation of FLD 124 and placed above FLD 124. Or RSPCF 910 could be implemented before formation of FLD 124 and placed below FLD 124 (not shown). RSPCF 910 could have amorphous or polycrystalline phases depending on the amount of current and time, in other words, the temperature applied to RSPCF, where polycrystalline has lower electric resistance.
  • RSPCF 910 could be Chalcogenides and alloy in VI element of Periodic Table. Therefore, RSPCF 910 could be alloy of Ge—Sb—Te, GaSb, InSb, InSe, Sb2Te3, GeTe, Ge2Sb2Te5, InSbTe, GaSeTe, SbSb2Te4, InSbGe, AgInSbTe, (GeSn)SbTe, GeSb(SeTe), or Te81Ge15Sb2S2. Electrode 910 a connected to RSPCF 910 could be TiAlN or TiW, which is stable at 650° C. The VFLD shown in FIG. 24 could be MOSFET, bipolar, or MESFET.
  • FIG. 25 shows a Programmable Read-Only Memory (PROM) 300 cell structure using fuse or antifuse) layer 310 and a serially connected VFLD. In FIG. 25, the fuse (or antifuse) layer 310 is formed above FLD 124 after the FLD formation. Or, the fuse (or antifuse) layer 310 is formed below FLD 124 before the FLD formation. Antifuse layer 310 has high electric resistance. However, it could get low electric resistance if programming high voltage/current is applied to the antifuse layer. The PROM is not reprogrammable in general.
  • The PROM can be used for in an Application-Specific Integrated Circuit (ASIC), a Field Programmable Gate Array (FPGA), or a Programmable Logic Array (PLA).
  • The antifuse layer may be formed from an ONO layer, a metallic oxide layer, a chalcogenide layer, or an undoped amorphous silicon layer, but is not limited to these materials. The fuse layer may be formed from nichrome or polycrystalline silicon, but is not limited to these materials. Electrodes 301 a, 301 b for fuse or antifuse may be formed from TiW, which is stable at high temperature.
  • In FIG. 25, the VFLD may be a MOSFET, a bipolar transistor, a MESFET, or a diode.
  • FIG. 26 shows a DRAM 400 cell having only a VMFLD 124. VMFLD 124 using SOI layer has floating body p-region, as shown in FIG. 26, without applied bias, and charges could be accumulated in the floating body for a short time (i.e. refresh time). The charge becomes readable and writable data.
  • FIG. 27 a shows an Electrically Erasable Programmable Read-Only Memory (EEPROM) 500 cell which has a VMFLD and a serially connected nonvolatile VMFLD memory. The nonvolatile memory has dual gates which are a floating gate and a control gate. However, it could be a Silicon Oxide Nitride Oxide Silicon (SONOS) type nonvolatile memory. In FIG. 27 a, the MOSFET consisting select line is located above the nonvolatile memory. However, the location of these devices could be reversed.
  • FIG. 27 b shows an equivalent circuit of the one EEPROM cell.
  • FIG. 28 is power VMFLD 600 which is operating at high voltage. Compared to conventional low power VMFLD, the power VMFLD could have from few micrometer to few hundred micrometer range SOI layer thickness and gate dielectric layer thickness may have from tenth of nanometer to few thousands nanometer range. Operation voltage could be from 7 volts to 1000 volts range. Also the FLD may have a trapezoidal shape which help extension of depletion region and reduction of electric field, and therefore increasing operation voltage.
  • Power VMFLD 600 has many advantages over horizontal MOSFET. Conventional horizontal MOSFET needs to have long channel length in order to increase operation voltage. However, it causes high cost due to low integration density. However, channel length of power VMFLD 600 dose not change integration density because channel length is determined by vertical height of the SOI layer. Also, because the power VMFLD has surrounding gate, it has low on resistance and its current driving capability is more than twice of conventional horizontal MOSFET. Therefore, the power VMFLD of FIG. 28 may replace other conventional power devices, such as Lateral Double-Diffused MOS (LDMOS) and Trench MOS. Also, combining low voltage devices in the base substrate and power VMFLD into one chip, we could achieve SmartPower or SmartMOS chips that handle analog and digital signals in a chip.
  • If the power VMFLD in FIG. 28 has a double-diffused drain, then it becomes the device shown in FIG. 29. The double-diffused region prevents the expansion of a depletion region to the heavily doped drain region and helps device operate at high voltage.
  • In FIGS. 28 and 29, if the gate dielectric layer has a combination of “low temperature thermal oxide, high-k dielectric, and CVD dielectric, then device reliability increases, and the interface trap in between semiconductor 124 and gate dielectric layer 183 decreases. Also, current driving capability increases and on-resistance decreases.
  • Conclusion
  • It is to be understood that the present invention is not limited to the embodiments described above, but encompasses any and all embodiments within the scope of the subjoined Claims.

Claims (28)

1-20. (canceled)
21. A method, comprising:
forming a detach layer below a surface of a first substrate; and
forming at least one pn junction between the detach layer and surface of the first substrate.
22. The method of claim 21, wherein the pn junction includes single crystal semiconductor material.
23. The method of claim 21, further including forming a conductive layer on the surface of the first substrate.
24. The method of claim 23, further including providing an inter-layer dielectric layer with a second conductive layer on its surface.
25. The method of claim 24, further including bonding the first and second conductive layers together.
26. The method of claim 25, further including removing a portion of the first substrate between the detach layer and an opposed surface of the first substrate.
27. The method of claim 21, wherein the detach layer includes an oxide or porous semiconductor region.
28. The method of claim 21, wherein the pn junction is formed between blanket layers of oppositely doped semiconductors.
29. A method, comprising:
providing a first substrate having a detach layer below its surface and a first plurality of differently doped semiconductor layers between the detach layer and surface of the first substrate;
providing a second substrate which carries an inter-layer dielectric layer having a via and interconnection line; and
bonding the inter-layer dielectric layer to the first substrate.
30. The method of claim 29, further including providing a first conductive layer on the substrate so that the inter-layer dielectric layer and first substrate are bonded through the first conductive line.
31. The method of claim 29, further including removing a portion of the first substrate so that the first plurality of differently doped semiconductor layers are carried by the second substrate.
32. The method of claim 31, further including providing a second conductive layer on an exposed surface of the first plurality of differently doped semiconductor layers.
33. The method of claim 32, further including providing a third substrate having a detach layer below its surface and a second plurality of differently doped semiconductor layers between the detach layer and surface of the third substrate.
34. The method of claim 33, wherein the first and second plurality of differently doped semiconductor layers are blanket layers.
35. The method of claim 33, further including providing a third conductive layer on the surface of the third substrate.
36. The method of claim 35, further including bonding the third substrate to the first plurality of differently doped semiconductor layers through the second and third conductive layers.
37. The method of claim 36, further including removing a portion of the third substrate so that the second plurality of differently doped semiconductor layers is carried by the second substrate.
38. The method of claim 37, wherein the first and second plurality of differently doped semiconductor layers include single crystal semiconductor material.
39. The method of claim 29, wherein the detach layer is formed by implanting hydrogen.
40. A method, comprising:
providing a substrate which carries a first inter-layer dielectric layer;
providing a first stackable add-on layer;
bonding the first stackable add-on layer to the first inter-layer dielectric layer; and
processing the first stackable add-on layer to form a first vertically oriented semiconductor device.
41. The method of claim 40, wherein the first stackable add-on layer includes single crystalline semiconductor material.
42. The method of claim 40, wherein the first vertically oriented semiconductor device includes a stack of differently doped semiconductor layers.
43. The method of claim 42, further including forming a gate dielectric around the stack of differently doped semiconductor layers, and forming a gate electrode around the gate dielectric.
44. The method of claim 43, wherein the gate dielectric is formed at a temperature below about 650° C.
45. The method of claim 43, wherein the gate electrode includes a metal.
46. The method of claim 43, wherein the stack of differently doped semiconductor layers, gate dielectric, and gate electrode operate as a memory device.
47. The method of claim 43, wherein the gate dielectric includes an oxide-nitride-oxide layer stack of materials.
US11/378,059 1996-11-04 2006-03-17 Three-dimensional integrated circuit structure and method of making same Abandoned US20060275962A1 (en)

Priority Applications (13)

Application Number Priority Date Filing Date Title
US11/378,059 US20060275962A1 (en) 2003-06-24 2006-03-17 Three-dimensional integrated circuit structure and method of making same
US11/606,523 US7888764B2 (en) 2003-06-24 2006-11-30 Three-dimensional integrated circuit structure
US12/040,642 US7800199B2 (en) 2003-06-24 2008-02-29 Semiconductor circuit
US12/397,309 US7863748B2 (en) 2003-06-24 2009-03-03 Semiconductor circuit and method of fabricating the same
US12/470,344 US8058142B2 (en) 1996-11-04 2009-05-21 Bonded semiconductor structure and method of making the same
US12/475,294 US7799675B2 (en) 2003-06-24 2009-05-29 Bonded semiconductor structure and method of fabricating the same
US12/581,722 US8471263B2 (en) 2003-06-24 2009-10-19 Information storage system which includes a bonded semiconductor structure
US12/618,542 US7867822B2 (en) 2003-06-24 2009-11-13 Semiconductor memory device
US12/637,559 US20100133695A1 (en) 2003-01-12 2009-12-14 Electronic circuit with embedded memory
US12/731,087 US20100190334A1 (en) 2003-06-24 2010-03-24 Three-dimensional semiconductor structure and method of manufacturing the same
US12/874,866 US8071438B2 (en) 2003-06-24 2010-09-02 Semiconductor circuit
US12/881,961 US8367524B2 (en) 2005-03-29 2010-09-14 Three-dimensional integrated circuit structure
US12/881,628 US20110001172A1 (en) 2005-03-29 2010-09-14 Three-dimensional integrated circuit structure

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
KR20030040920 2003-06-24
KR10-2003-0040920 2003-06-24
KR1020030047515A KR100904771B1 (en) 2003-06-24 2003-07-12 3-Dimensional Integrated Circuit Structure and Method of Making the Same
KR10-2003-0047515 2003-07-12
US10/873,969 US7052941B2 (en) 2003-06-24 2004-06-21 Method for making a three-dimensional integrated circuit structure
WOPCT/US04/20122 2004-06-23
PCT/US2004/020122 WO2005010934A2 (en) 2003-06-24 2004-06-23 Three-dimensional integrated circuit structure and method of making same
US11/378,059 US20060275962A1 (en) 2003-06-24 2006-03-17 Three-dimensional integrated circuit structure and method of making same

Related Parent Applications (3)

Application Number Title Priority Date Filing Date
US10/873,969 Division US7052941B2 (en) 1996-11-04 2004-06-21 Method for making a three-dimensional integrated circuit structure
US11/180,286 Continuation-In-Part US8779597B2 (en) 1996-11-04 2005-07-12 Semiconductor device with base support structure
US11/606,523 Continuation-In-Part US7888764B2 (en) 1996-11-04 2006-11-30 Three-dimensional integrated circuit structure

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US11/180,286 Continuation-In-Part US8779597B2 (en) 1996-11-04 2005-07-12 Semiconductor device with base support structure
US11/606,523 Continuation-In-Part US7888764B2 (en) 1996-11-04 2006-11-30 Three-dimensional integrated circuit structure

Publications (1)

Publication Number Publication Date
US20060275962A1 true US20060275962A1 (en) 2006-12-07

Family

ID=33543620

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/873,969 Expired - Fee Related US7052941B2 (en) 1996-11-04 2004-06-21 Method for making a three-dimensional integrated circuit structure
US11/378,059 Abandoned US20060275962A1 (en) 1996-11-04 2006-03-17 Three-dimensional integrated circuit structure and method of making same

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/873,969 Expired - Fee Related US7052941B2 (en) 1996-11-04 2004-06-21 Method for making a three-dimensional integrated circuit structure

Country Status (4)

Country Link
US (2) US7052941B2 (en)
EP (1) EP1636831B1 (en)
JP (1) JP5202842B2 (en)
WO (1) WO2005010934A2 (en)

Cited By (241)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050280154A1 (en) * 2004-06-21 2005-12-22 Sang-Yun Lee Semiconductor memory device
US20060237725A1 (en) * 2005-04-20 2006-10-26 Samsung Electronics Co., Ltd. Semiconductor devices having thin film transistors and methods of fabricating the same
US20060278895A1 (en) * 2005-06-14 2006-12-14 International Business Machines Corporation Reprogrammable fuse structure and method
US20080078998A1 (en) * 2006-09-28 2008-04-03 Sanyo Electric Co., Ltd. Semiconductor device
US20080185595A1 (en) * 2007-02-06 2008-08-07 Samsung Electro-Mechanics Co., Ltd. Light emitting device for alternating current source
US20090020800A1 (en) * 2007-07-18 2009-01-22 Georg Tempel Semiconductor Device and Method of Making Same
DE102007052219A1 (en) * 2007-08-20 2009-02-26 Northern Lights Semiconductor Corp., Saint Paul Integrated circuit chip with magnetic devices
US20090057746A1 (en) * 2007-09-05 2009-03-05 Renesas Technology Corp. Semiconductor device
US20090109582A1 (en) * 2007-10-30 2009-04-30 Jack Michael D Method of protecting circuits using integrated array fuse elements and process for fabrication
US20090176354A1 (en) * 2008-01-07 2009-07-09 International Business Machines Corporation Method for fabrication of single crystal diodes for resistive memories
US20090267233A1 (en) * 1996-11-04 2009-10-29 Sang-Yun Lee Bonded semiconductor structure and method of making the same
US20100079639A1 (en) * 2008-09-30 2010-04-01 Joon Hwang Image Sensor and Method for Manufacturing the Same
US20100155803A1 (en) * 2008-12-18 2010-06-24 Micron Technology, Inc. Method and structure for integrating capacitor-less memory cell with logic
CN101834152A (en) * 2010-04-20 2010-09-15 中国科学院上海微系统与信息技术研究所 Method for manufacturing three-dimensionally stacked resistance conversion memory
US20110068400A1 (en) * 2009-09-18 2011-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and Apparatus for SRAM Bit Cell with Low Standby Current, Low Supply Voltage and High Speed
US20110068413A1 (en) * 2009-09-18 2011-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded SRAM Memory for Low Power Applications
US7936580B2 (en) 2008-10-20 2011-05-03 Seagate Technology Llc MRAM diode array and access method
US7936583B2 (en) 2008-10-30 2011-05-03 Seagate Technology Llc Variable resistive memory punchthrough access method
US7935619B2 (en) 2008-11-07 2011-05-03 Seagate Technology Llc Polarity dependent switch for resistive sense memory
US7968876B2 (en) 2009-05-22 2011-06-28 Macronix International Co., Ltd. Phase change memory cell having vertical channel access transistor
US7974119B2 (en) 2008-07-10 2011-07-05 Seagate Technology Llc Transmission gate-based spin-transfer torque memory unit
US8071438B2 (en) 2003-06-24 2011-12-06 Besang Inc. Semiconductor circuit
US20120012896A1 (en) * 2005-05-16 2012-01-19 Ramnath Venkatraman Integrated Circuit Cell Architecture Configurable for Memory or Logic Elements
WO2012015550A2 (en) 2010-07-30 2012-02-02 Monolithic 3D, Inc. Semiconductor device and structure
US8114757B1 (en) * 2010-10-11 2012-02-14 Monolithic 3D Inc. Semiconductor device and structure
US8115258B2 (en) 2009-04-07 2012-02-14 Samsung Electronics Co., Ltd. Memory devices having diodes and resistors electrically connected in series
US20120088355A1 (en) * 2010-10-11 2012-04-12 Monolithic 3D Inc. Semiconductor device and structure
US8159856B2 (en) 2009-07-07 2012-04-17 Seagate Technology Llc Bipolar select device for resistive sense memory
US8158964B2 (en) 2009-07-13 2012-04-17 Seagate Technology Llc Schottky diode switch and memory units containing the same
US8163581B1 (en) 2010-10-13 2012-04-24 Monolith IC 3D Semiconductor and optoelectronic devices
US8178864B2 (en) 2008-11-18 2012-05-15 Seagate Technology Llc Asymmetric barrier diode
US8183126B2 (en) 2009-07-13 2012-05-22 Seagate Technology Llc Patterning embedded control lines for vertically stacked semiconductor elements
US8203869B2 (en) 2008-12-02 2012-06-19 Seagate Technology Llc Bit line charge accumulation sensing for resistive changing memory
US20120196409A1 (en) * 2009-04-14 2012-08-02 Zvi Or-Bach 3d semiconductor device
US8237228B2 (en) 2009-10-12 2012-08-07 Monolithic 3D Inc. System comprising a semiconductor device and structure
US8258810B2 (en) 2010-09-30 2012-09-04 Monolithic 3D Inc. 3D semiconductor device
US8273610B2 (en) 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US8283215B2 (en) 2010-10-13 2012-10-09 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US8294159B2 (en) 2009-10-12 2012-10-23 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8298875B1 (en) 2011-03-06 2012-10-30 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8350316B2 (en) 2009-05-22 2013-01-08 Macronix International Co., Ltd. Phase change memory cells having vertical channel access transistor and memory plane
US8362482B2 (en) 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US8362800B2 (en) 2010-10-13 2013-01-29 Monolithic 3D Inc. 3D semiconductor device including field repairable logics
US8367524B2 (en) 2005-03-29 2013-02-05 Sang-Yun Lee Three-dimensional integrated circuit structure
US8373230B1 (en) 2010-10-13 2013-02-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8373439B2 (en) 2009-04-14 2013-02-12 Monolithic 3D Inc. 3D semiconductor device
US8378494B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8378715B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method to construct systems
US8379458B1 (en) 2010-10-13 2013-02-19 Monolithic 3D Inc. Semiconductor device and structure
US8384426B2 (en) 2009-04-14 2013-02-26 Monolithic 3D Inc. Semiconductor device and structure
US8405420B2 (en) 2009-04-14 2013-03-26 Monolithic 3D Inc. System comprising a semiconductor device and structure
US8450804B2 (en) 2011-03-06 2013-05-28 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8461035B1 (en) * 2010-09-30 2013-06-11 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8476145B2 (en) 2010-10-13 2013-07-02 Monolithic 3D Inc. Method of fabricating a semiconductor device and structure
US8492886B2 (en) 2010-02-16 2013-07-23 Monolithic 3D Inc 3D integrated circuit with logic
US8536023B2 (en) 2010-11-22 2013-09-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device and structure
US8541819B1 (en) 2010-12-09 2013-09-24 Monolithic 3D Inc. Semiconductor device and structure
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8574929B1 (en) 2012-11-16 2013-11-05 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US8581349B1 (en) 2011-05-02 2013-11-12 Monolithic 3D Inc. 3D memory semiconductor device and structure
TWI418027B (en) * 2008-11-28 2013-12-01 Powerchip Technology Corp Phase-change memory devices and methods for fabricating the same
US8617952B2 (en) 2010-09-28 2013-12-31 Seagate Technology Llc Vertical transistor with hardening implatation
US8642416B2 (en) 2010-07-30 2014-02-04 Monolithic 3D Inc. Method of forming three dimensional integrated circuit devices using layer transfer technique
US8648426B2 (en) 2010-12-17 2014-02-11 Seagate Technology Llc Tunneling transistors
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US8686428B1 (en) 2012-11-16 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US8687399B2 (en) 2011-10-02 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US8709880B2 (en) 2010-07-30 2014-04-29 Monolithic 3D Inc Method for fabrication of a semiconductor device and structure
US8742476B1 (en) 2012-11-27 2014-06-03 Monolithic 3D Inc. Semiconductor device and structure
US8754533B2 (en) 2009-04-14 2014-06-17 Monolithic 3D Inc. Monolithic three-dimensional semiconductor device and structure
US8803206B1 (en) 2012-12-29 2014-08-12 Monolithic 3D Inc. 3D semiconductor device and structure
US8837204B2 (en) 2009-02-15 2014-09-16 NDEP Technologies Ltd. Four-transistor and five-transistor BJT-CMOS asymmetric SRAM cells
US8901613B2 (en) 2011-03-06 2014-12-02 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US8937868B2 (en) 2011-07-18 2015-01-20 Samsung Electronics Co., Ltd. Method for and apparatus for feeding back channel information in wireless communication system
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US9000557B2 (en) 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
US9012292B2 (en) 2010-07-02 2015-04-21 Sang-Yun Lee Semiconductor memory device and method of fabricating the same
US9029173B2 (en) 2011-10-18 2015-05-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9030867B2 (en) 2008-10-20 2015-05-12 Seagate Technology Llc Bipolar CMOS select device for resistive sense memory
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
US9153588B2 (en) 2012-02-02 2015-10-06 Renesas Electronics Corporation Semiconductor device and a method for manufacturing a semiconductor device
US9197804B1 (en) 2011-10-14 2015-11-24 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US9219005B2 (en) 2011-06-28 2015-12-22 Monolithic 3D Inc. Semiconductor system and device
US20160104676A1 (en) * 2014-10-08 2016-04-14 Nxp B.V. Metallisation for semiconductor device
US20160118404A1 (en) * 2014-10-09 2016-04-28 Haibing Peng Three-dimensional non-volatile ferroelectric random access memory
US9368403B2 (en) 2012-02-02 2016-06-14 Renesas Electronics Corporation Method for manufacturing a semiconductor device
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US9577642B2 (en) 2009-04-14 2017-02-21 Monolithic 3D Inc. Method to form a 3D semiconductor device
CN106463406A (en) * 2014-06-16 2017-02-22 英特尔公司 Embedded memory in interconnect stack on silicon die
US9589979B2 (en) * 2014-11-19 2017-03-07 Macronix International Co., Ltd. Vertical and 3D memory devices and methods of manufacturing the same
US9711407B2 (en) 2009-04-14 2017-07-18 Monolithic 3D Inc. Method of manufacturing a three dimensional integrated circuit by transfer of a mono-crystalline layer
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10127344B2 (en) 2013-04-15 2018-11-13 Monolithic 3D Inc. Automation for monolithic 3D devices
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
CN109155311A (en) * 2016-08-31 2019-01-04 美光科技公司 memory cell and memory array
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
WO2019133484A1 (en) * 2017-12-28 2019-07-04 Spin Memory, Inc. Methods of forming perpendicular magnetic tunnel junction memory cells having vertical channels
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US10460778B2 (en) 2017-12-29 2019-10-29 Spin Memory, Inc. Perpendicular magnetic tunnel junction memory cells having shared source contacts
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US10515981B2 (en) 2015-09-21 2019-12-24 Monolithic 3D Inc. Multilevel semiconductor device and structure with memory
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US10658425B2 (en) 2017-12-28 2020-05-19 Spin Memory, Inc. Methods of forming perpendicular magnetic tunnel junction memory cells having vertical channels
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US10840254B2 (en) 2018-05-22 2020-11-17 Macronix International Co., Ltd. Pitch scalable 3D NAND
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US10896979B2 (en) * 2017-09-28 2021-01-19 International Business Machines Corporation Compact vertical injection punch through floating gate analog memory and a manufacture thereof
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US10971447B2 (en) * 2019-06-24 2021-04-06 International Business Machines Corporation BEOL electrical fuse
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11222970B2 (en) 2017-12-28 2022-01-11 Integrated Silicon Solution, (Cayman) Inc. Perpendicular magnetic tunnel junction memory cells having vertical channels
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US11956952B2 (en) 2016-08-22 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure

Families Citing this family (226)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8779597B2 (en) * 2004-06-21 2014-07-15 Sang-Yun Lee Semiconductor device with base support structure
US7470598B2 (en) * 2004-06-21 2008-12-30 Sang-Yun Lee Semiconductor layer structure and method of making the same
US20050280155A1 (en) * 2004-06-21 2005-12-22 Sang-Yun Lee Semiconductor bonding and layer transfer method
EP2988331B1 (en) * 2000-08-14 2019-01-09 SanDisk Technologies LLC Semiconductor memory device
US20060249753A1 (en) * 2005-05-09 2006-11-09 Matrix Semiconductor, Inc. High-density nonvolatile memory array fabricated at low temperature comprising semiconductor diodes
US7632738B2 (en) * 2003-06-24 2009-12-15 Sang-Yun Lee Wafer bonding method
US20100190334A1 (en) * 2003-06-24 2010-07-29 Sang-Yun Lee Three-dimensional semiconductor structure and method of manufacturing the same
US8471263B2 (en) 2003-06-24 2013-06-25 Sang-Yun Lee Information storage system which includes a bonded semiconductor structure
US7473596B2 (en) 2003-12-19 2009-01-06 Micron Technology, Inc. Methods of forming memory cells
US7190616B2 (en) * 2004-07-19 2007-03-13 Micron Technology, Inc. In-service reconfigurable DRAM and flash memory device
US7060592B2 (en) * 2004-09-15 2006-06-13 United Microelectronics Corp. Image sensor and fabricating method thereof
US20060102963A1 (en) * 2004-11-15 2006-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Passive device and method for forming the same
WO2006079952A1 (en) * 2005-01-25 2006-08-03 Nxp B.V. Fabrication of phase-change resistor using a backend process
US20060177173A1 (en) * 2005-02-04 2006-08-10 Sioptical, Inc. Vertical stacking of multiple integrated circuits including SOI-based optical components
US7470991B2 (en) * 2005-02-24 2008-12-30 Texas Instruments Incorporated Integrated high voltage capacitor having capacitance uniformity structures and a method of manufacture therefor
US20060186450A1 (en) * 2005-02-24 2006-08-24 Texas Instruments Inc. Integrated high voltage capacitor and a method of manufacture therefor
US20110001172A1 (en) * 2005-03-29 2011-01-06 Sang-Yun Lee Three-dimensional integrated circuit structure
US8455978B2 (en) 2010-05-27 2013-06-04 Sang-Yun Lee Semiconductor circuit structure and method of making the same
EP1717861B1 (en) * 2005-04-27 2010-08-25 STMicroelectronics Srl Vertical MOSFET transistor operating as a selector in nonvolatile memory devices
US7638855B2 (en) * 2005-05-06 2009-12-29 Macronix International Co., Ltd. Anti-fuse one-time-programmable nonvolatile memory
US20060273298A1 (en) * 2005-06-02 2006-12-07 Matrix Semiconductor, Inc. Rewriteable memory cell comprising a transistor and resistance-switching material in series
US20060273370A1 (en) * 2005-06-07 2006-12-07 Micron Technology, Inc. NROM flash memory with vertical transistors and surrounding gates
US7851348B2 (en) 2005-06-14 2010-12-14 Abhay Misra Routingless chip architecture
JP5253158B2 (en) * 2005-06-14 2013-07-31 キューファー アセット リミテッド. エル.エル.シー. Post and penetration interconnection
US7215032B2 (en) * 2005-06-14 2007-05-08 Cubic Wafer, Inc. Triaxial through-chip connection
US7884483B2 (en) 2005-06-14 2011-02-08 Cufer Asset Ltd. L.L.C. Chip connector
US7687400B2 (en) 2005-06-14 2010-03-30 John Trezza Side stacking apparatus and method
US7560813B2 (en) 2005-06-14 2009-07-14 John Trezza Chip-based thermo-stack
US7781886B2 (en) 2005-06-14 2010-08-24 John Trezza Electronic chip contact structure
US7786592B2 (en) 2005-06-14 2010-08-31 John Trezza Chip capacitive coupling
US7838997B2 (en) 2005-06-14 2010-11-23 John Trezza Remote chip attachment
US8456015B2 (en) 2005-06-14 2013-06-04 Cufer Asset Ltd. L.L.C. Triaxial through-chip connection
US7453755B2 (en) * 2005-07-01 2008-11-18 Sandisk 3D Llc Memory cell with high-K antifuse for reverse bias programming
US7426128B2 (en) * 2005-07-11 2008-09-16 Sandisk 3D Llc Switchable resistive memory with opposite polarity write pulses
US7482615B2 (en) * 2005-07-21 2009-01-27 International Business Machines Corporation High performance MOSFET comprising stressed phase change material
US7776715B2 (en) * 2005-07-26 2010-08-17 Micron Technology, Inc. Reverse construction memory cell
US7579615B2 (en) * 2005-08-09 2009-08-25 Micron Technology, Inc. Access transistor for memory device
US20070034922A1 (en) * 2005-08-11 2007-02-15 Micron Technology, Inc. Integrated surround gate multifunctional memory device
JP2007087548A (en) * 2005-09-26 2007-04-05 Nec Lcd Technologies Ltd Memory circuit
US8513066B2 (en) * 2005-10-25 2013-08-20 Freescale Semiconductor, Inc. Method of making an inverted-T channel transistor
US7494849B2 (en) * 2005-11-03 2009-02-24 Cswitch Inc. Methods for fabricating multi-terminal phase change devices
CN1992173B (en) * 2005-11-30 2010-04-21 硅起源股份有限公司 Method and structure for implanting bonded substrates for electrical conductivity
US7345899B2 (en) * 2006-04-07 2008-03-18 Infineon Technologies Ag Memory having storage locations within a common volume of phase change material
US7687397B2 (en) 2006-06-06 2010-03-30 John Trezza Front-end processed wafer having through-chip connections
US7781797B2 (en) * 2006-06-29 2010-08-24 International Business Machines Corporation One-transistor static random access memory with integrated vertical PNPN device
KR100791071B1 (en) * 2006-07-04 2008-01-02 삼성전자주식회사 One time programmable device, electronic system including the same and operating method of the same
DE102006037510B3 (en) * 2006-08-10 2008-04-10 Infineon Technologies Austria Ag A method for producing a trench structure, the use of this method for producing a semiconductor device and semiconductor device having a trench structure
US7582549B2 (en) 2006-08-25 2009-09-01 Micron Technology, Inc. Atomic layer deposited barium strontium titanium oxide films
JP5341327B2 (en) * 2006-09-28 2013-11-13 セミコンダクター・コンポーネンツ・インダストリーズ・リミテッド・ライアビリティ・カンパニー Semiconductor device
CN101553903B (en) * 2006-10-17 2012-08-29 丘费尔资产股份有限公司 Wafer via formation
US20080113505A1 (en) * 2006-11-13 2008-05-15 Sparks Terry G Method of forming a through-substrate via
US7593248B2 (en) * 2006-11-16 2009-09-22 Aptina Imaging Corporation Method, apparatus and system providing a one-time programmable memory device
US7875840B2 (en) * 2006-11-16 2011-01-25 Aptina Imaging Corporation Imager device with anti-fuse pixels and recessed color filter array
US8283718B2 (en) * 2006-12-16 2012-10-09 Spansion Llc Integrated circuit system with metal and semi-conducting gate
US7705613B2 (en) * 2007-01-03 2010-04-27 Abhay Misra Sensitivity capacitive sensor
US7803693B2 (en) * 2007-02-15 2010-09-28 John Trezza Bowed wafer hybridization compensation
US7598163B2 (en) * 2007-02-15 2009-10-06 John Callahan Post-seed deposition process
US7705632B2 (en) * 2007-02-15 2010-04-27 Wyman Theodore J Ted Variable off-chip drive
US7670874B2 (en) * 2007-02-16 2010-03-02 John Trezza Plated pillar package formation
JP5114968B2 (en) * 2007-02-20 2013-01-09 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof
KR100819560B1 (en) * 2007-03-26 2008-04-08 삼성전자주식회사 Phase change memory device and method of fabricating the same
US7850060B2 (en) * 2007-04-05 2010-12-14 John Trezza Heat cycle-able connection
US7748116B2 (en) * 2007-04-05 2010-07-06 John Trezza Mobile binding in an electronic connection
US7704788B2 (en) * 2007-04-06 2010-04-27 Samsung Electronics Co., Ltd. Methods of fabricating multi-bit phase-change memory devices and devices formed thereby
US7960210B2 (en) * 2007-04-23 2011-06-14 Cufer Asset Ltd. L.L.C. Ultra-thin chip packaging
US20080261392A1 (en) * 2007-04-23 2008-10-23 John Trezza Conductive via formation
US7842999B2 (en) * 2007-05-17 2010-11-30 Elpida Memory, Inc. Semiconductor memory device and method of manufacturing the same
JP4690438B2 (en) * 2007-05-31 2011-06-01 エルピーダメモリ株式会社 Semiconductor memory device, manufacturing method thereof, and data processing system
US7932167B2 (en) * 2007-06-29 2011-04-26 International Business Machines Corporation Phase change memory cell with vertical transistor
JP5298470B2 (en) * 2007-07-11 2013-09-25 三菱電機株式会社 Semiconductor device and method for manufacturing semiconductor device
US20090026524A1 (en) * 2007-07-27 2009-01-29 Franz Kreupl Stacked Circuits
US20090086521A1 (en) * 2007-09-28 2009-04-02 Herner S Brad Multiple antifuse memory cells and methods to form, program, and sense the same
US8415783B1 (en) 2007-10-04 2013-04-09 Xilinx, Inc. Apparatus and methodology for testing stacked die
US7518398B1 (en) * 2007-10-04 2009-04-14 Xilinx, Inc. Integrated circuit with through-die via interface for die stacking
US8133745B2 (en) * 2007-10-17 2012-03-13 Magic Technologies, Inc. Method of magnetic tunneling layer processes for spin-transfer torque MRAM
US8183628B2 (en) 2007-10-29 2012-05-22 Unisantis Electronics Singapore Pte Ltd. Semiconductor structure and method of fabricating the semiconductor structure
US8035126B2 (en) * 2007-10-29 2011-10-11 International Business Machines Corporation One-transistor static random access memory with integrated vertical PNPN device
KR100855403B1 (en) * 2007-11-27 2008-08-29 주식회사 동부하이텍 Image sensor and method for manufacturing the same
US8217380B2 (en) * 2008-01-09 2012-07-10 International Business Machines Corporation Polysilicon emitter BJT access device for PCRAM
JP5317343B2 (en) 2009-04-28 2013-10-16 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド Semiconductor device and manufacturing method thereof
WO2009095998A1 (en) * 2008-01-29 2009-08-06 Unisantis Electronics (Japan) Ltd. Semiconductor storage device
US8598650B2 (en) * 2008-01-29 2013-12-03 Unisantis Electronics Singapore Pte Ltd. Semiconductor device and production method therefor
US8378425B2 (en) * 2008-01-29 2013-02-19 Unisantis Electronics Singapore Pte Ltd. Semiconductor storage device
US7906818B2 (en) * 2008-03-13 2011-03-15 Micron Technology, Inc. Memory array with a pair of memory-cell strings to a single conductive pillar
US7973555B1 (en) 2008-05-28 2011-07-05 Xilinx, Inc. Configuration interface to stacked FPGA
JP4751432B2 (en) * 2008-09-26 2011-08-17 シャープ株式会社 Semiconductor memory device
US8395206B2 (en) * 2008-10-09 2013-03-12 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
KR101502585B1 (en) * 2008-10-09 2015-03-24 삼성전자주식회사 Vertical type semiconductor device and forming method of the same
US7964916B2 (en) 2009-04-14 2011-06-21 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
JP4487221B1 (en) * 2009-04-17 2010-06-23 日本ユニサンティスエレクトロニクス株式会社 Semiconductor device
US8208285B2 (en) * 2009-07-13 2012-06-26 Seagate Technology Llc Vertical non-volatile switch with punchthrough access and method of fabrication therefor
US8063654B2 (en) * 2009-07-17 2011-11-22 Xilinx, Inc. Apparatus and method for testing of stacked die structure
JP4987926B2 (en) * 2009-09-16 2012-08-01 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド Semiconductor device
JP5356970B2 (en) * 2009-10-01 2013-12-04 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド Semiconductor device
US9892972B2 (en) * 2009-10-12 2018-02-13 Monolithic 3D Inc. 3D semiconductor device and structure
US8841777B2 (en) * 2010-01-12 2014-09-23 International Business Machines Corporation Bonded structure employing metal semiconductor alloy bonding
KR20220070577A (en) * 2010-02-05 2022-05-31 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Semiconductor device and method for manufacturing semiconductor device
WO2011099342A1 (en) * 2010-02-10 2011-08-18 Semiconductor Energy Laboratory Co., Ltd. Field effect transistor
US8513722B2 (en) * 2010-03-02 2013-08-20 Micron Technology, Inc. Floating body cell structures, devices including same, and methods for forming same
US8507966B2 (en) 2010-03-02 2013-08-13 Micron Technology, Inc. Semiconductor cells, arrays, devices and systems having a buried conductive line and methods for forming the same
US9646869B2 (en) * 2010-03-02 2017-05-09 Micron Technology, Inc. Semiconductor devices including a diode structure over a conductive strap and methods of forming such semiconductor devices
US9608119B2 (en) 2010-03-02 2017-03-28 Micron Technology, Inc. Semiconductor-metal-on-insulator structures, methods of forming such structures, and semiconductor devices including such structures
US8288795B2 (en) 2010-03-02 2012-10-16 Micron Technology, Inc. Thyristor based memory cells, devices and systems including the same and methods for forming the same
KR101211442B1 (en) 2010-03-08 2012-12-12 유니산티스 일렉트로닉스 싱가포르 프라이빗 리미티드 Solid state imaging device
US8487357B2 (en) 2010-03-12 2013-07-16 Unisantis Electronics Singapore Pte Ltd. Solid state imaging device having high sensitivity and high pixel density
US8723335B2 (en) 2010-05-20 2014-05-13 Sang-Yun Lee Semiconductor circuit structure and method of forming the same using a capping layer
KR101669244B1 (en) 2010-06-08 2016-10-25 삼성전자주식회사 Sram devices and methods for fabricating the same
JP5066590B2 (en) * 2010-06-09 2012-11-07 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド Semiconductor device and manufacturing method thereof
JP5087655B2 (en) 2010-06-15 2012-12-05 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド Semiconductor device and manufacturing method thereof
US8455919B2 (en) * 2010-07-19 2013-06-04 Micron Technology, Inc. High density thyristor random access memory device and method
JP5075959B2 (en) * 2010-09-14 2012-11-21 株式会社東芝 Resistance change memory
US9613844B2 (en) * 2010-11-18 2017-04-04 Monolithic 3D Inc. 3D semiconductor device having two layers of transistors
US11217472B2 (en) * 2010-12-16 2022-01-04 Monolithic 3D Inc. 3D semiconductor device and structure with multiple isolation layers
US10825864B2 (en) * 2010-10-11 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US11133351B2 (en) * 2010-10-11 2021-09-28 Monolithic 3D Inc. 3D semiconductor device and structure
US11335731B1 (en) * 2010-10-11 2022-05-17 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US8361856B2 (en) 2010-11-01 2013-01-29 Micron Technology, Inc. Memory cells, arrays of memory cells, and methods of forming memory cells
US8329567B2 (en) 2010-11-03 2012-12-11 Micron Technology, Inc. Methods of forming doped regions in semiconductor substrates
US11342214B1 (en) * 2010-11-18 2022-05-24 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
CN102487087B (en) * 2010-12-01 2014-08-13 张家港丽恒光微电子科技有限公司 Thin-film transistor applied to three-dimensional on-chip integration system and manufacturing method of thin film transistor
JP6000560B2 (en) * 2011-02-02 2016-09-28 株式会社半導体エネルギー研究所 Semiconductor memory device
US8598621B2 (en) 2011-02-11 2013-12-03 Micron Technology, Inc. Memory cells, memory arrays, methods of forming memory cells, and methods of forming a shared doped semiconductor region of a vertically oriented thyristor and a vertically oriented access transistor
US8975680B2 (en) 2011-02-17 2015-03-10 Semiconductor Energy Laboratory Co., Ltd. Semiconductor memory device and method manufacturing semiconductor memory device
US8450175B2 (en) 2011-02-22 2013-05-28 Micron Technology, Inc. Methods of forming a vertical transistor and at least a conductive line electrically coupled therewith
US8952418B2 (en) 2011-03-01 2015-02-10 Micron Technology, Inc. Gated bipolar junction transistors
US8519431B2 (en) 2011-03-08 2013-08-27 Micron Technology, Inc. Thyristors
US9673102B2 (en) * 2011-04-01 2017-06-06 Micron Technology, Inc. Methods of forming vertical field-effect transistor with self-aligned contacts for memory devices with planar periphery/array and intermediate structures formed thereby
US8148174B1 (en) * 2011-05-03 2012-04-03 Avalanche Technology, Inc. Magnetic tunnel junction (MTJ) formation with two-step process
US8313960B1 (en) * 2011-05-03 2012-11-20 Avalanche Technology, Inc. Magnetic tunnel junction (MTJ) formation using multiple etching processes
TWI571058B (en) 2011-05-18 2017-02-11 半導體能源研究所股份有限公司 Semiconductor device and method of driving semiconductor device
EP3534399A1 (en) * 2011-05-24 2019-09-04 Sony Corporation Semiconductor device
JP5383744B2 (en) * 2011-05-24 2014-01-08 株式会社日立製作所 Magnetic memory
US8569831B2 (en) 2011-05-27 2013-10-29 Micron Technology, Inc. Integrated circuit arrays and semiconductor constructions
US8772848B2 (en) 2011-07-26 2014-07-08 Micron Technology, Inc. Circuit structures, memory circuitry, and methods
US8609492B2 (en) * 2011-07-27 2013-12-17 Micron Technology, Inc. Vertical memory cell
US8866121B2 (en) 2011-07-29 2014-10-21 Sandisk 3D Llc Current-limiting layer and a current-reducing layer in a memory device
US8659001B2 (en) 2011-09-01 2014-02-25 Sandisk 3D Llc Defect gradient to boost nonvolatile memory performance
US8564034B2 (en) 2011-09-08 2013-10-22 Unisantis Electronics Singapore Pte. Ltd. Solid-state imaging device
JP2013065638A (en) 2011-09-15 2013-04-11 Elpida Memory Inc Semiconductor device
US8669601B2 (en) 2011-09-15 2014-03-11 Unisantis Electronics Singapore Pte. Ltd. Method for producing semiconductor device and semiconductor device having pillar-shaped semiconductor
CN102306655B (en) * 2011-09-29 2013-03-06 清华大学 Three-dimensional storage device array structure and manufacturing method thereof
US8637413B2 (en) 2011-12-02 2014-01-28 Sandisk 3D Llc Nonvolatile resistive memory element with a passivated switching layer
US8916478B2 (en) 2011-12-19 2014-12-23 Unisantis Electronics Singapore Pte. Ltd. Method for manufacturing semiconductor device and semiconductor device
US8772175B2 (en) 2011-12-19 2014-07-08 Unisantis Electronics Singapore Pte. Ltd. Method for manufacturing semiconductor device and semiconductor device
US8698119B2 (en) 2012-01-19 2014-04-15 Sandisk 3D Llc Nonvolatile memory device using a tunnel oxide as a current limiter element
US8686386B2 (en) 2012-02-17 2014-04-01 Sandisk 3D Llc Nonvolatile memory device using a varistor as a current limiter element
US8748938B2 (en) 2012-02-20 2014-06-10 Unisantis Electronics Singapore Pte. Ltd. Solid-state imaging device
US8754421B2 (en) 2012-02-24 2014-06-17 Raytheon Company Method for processing semiconductors using a combination of electron beam and optical lithography
US9312257B2 (en) 2012-02-29 2016-04-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
JP6100559B2 (en) 2012-03-05 2017-03-22 株式会社半導体エネルギー研究所 Semiconductor memory device
US9036391B2 (en) 2012-03-06 2015-05-19 Micron Technology, Inc. Arrays of vertically-oriented transistors, memory arrays including vertically-oriented transistors, and memory cells
CN102751436A (en) * 2012-06-12 2012-10-24 清华大学 Vertical selection pipe, storage unit, three-dimensional memory array and operation method thereof
US9006060B2 (en) 2012-08-21 2015-04-14 Micron Technology, Inc. N-type field effect transistors, arrays comprising N-type vertically-oriented transistors, methods of forming an N-type field effect transistor, and methods of forming an array comprising vertically-oriented N-type transistors
US9129896B2 (en) 2012-08-21 2015-09-08 Micron Technology, Inc. Arrays comprising vertically-oriented transistors, integrated circuitry comprising a conductive line buried in silicon-comprising semiconductor material, methods of forming a plurality of conductive lines buried in silicon-comprising semiconductor material, and methods of forming an array comprising vertically-oriented transistors
US9478550B2 (en) 2012-08-27 2016-10-25 Micron Technology, Inc. Arrays of vertically-oriented transistors, and memory arrays including vertically-oriented transistors
JP6128787B2 (en) 2012-09-28 2017-05-17 キヤノン株式会社 Semiconductor device
US8951893B2 (en) 2013-01-03 2015-02-10 International Business Machines Corporation Fabricating polysilicon MOS devices and passive ESD devices
US8928142B2 (en) * 2013-02-22 2015-01-06 Fairchild Semiconductor Corporation Apparatus related to capacitance reduction of a signal port
US9112047B2 (en) 2013-02-28 2015-08-18 Freescale Semiconductor, Inc. Split gate non-volatile memory (NVM) cell and method therefor
US20140241031A1 (en) 2013-02-28 2014-08-28 Sandisk 3D Llc Dielectric-based memory cells having multi-level one-time programmable and bi-level rewriteable operating modes and methods of forming the same
US8865530B2 (en) 2013-03-08 2014-10-21 International Business Machines Corporation Extremely thin semiconductor on insulator (ETSOI) logic and memory hybrid chip
US9111853B2 (en) 2013-03-15 2015-08-18 Micron Technology, Inc. Methods of forming doped elements of semiconductor device structures
CN103137646A (en) * 2013-03-15 2013-06-05 中国科学院微电子研究所 Strobing device unit used for cross array integration way of double-pole type resistance change storage
US9006816B2 (en) 2013-03-28 2015-04-14 Stmicroelectronics, Inc. Memory device having multiple dielectric gate stacks and related methods
US8860123B1 (en) 2013-03-28 2014-10-14 Stmicroelectronics, Inc. Memory device having multiple dielectric gate stacks with first and second dielectric layers and related methods
EP2884542A3 (en) * 2013-12-10 2015-09-02 IMEC vzw Integrated circuit device with power gating switch in back end of line
US9129956B2 (en) * 2013-12-11 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Device having multiple-layer pins in memory MUX1 layout
US9379246B2 (en) * 2014-03-05 2016-06-28 Sandisk Technologies Inc. Vertical thin film transistor selection devices and methods of fabrication
US10006899B2 (en) 2014-03-25 2018-06-26 Genia Technologies, Inc. Nanopore-based sequencing chips using stacked wafer technology
JP6635670B2 (en) * 2014-04-11 2020-01-29 株式会社半導体エネルギー研究所 Semiconductor device
US9412736B2 (en) * 2014-06-05 2016-08-09 Globalfoundries Inc. Embedding semiconductor devices in silicon-on-insulator wafers connected using through silicon vias
US9985026B2 (en) * 2014-08-15 2018-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor, integrated circuit and method of fabricating the same
JP5989238B2 (en) 2014-08-28 2016-09-07 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. Semiconductor device and method for manufacturing semiconductor device
JP6065190B2 (en) * 2014-09-05 2017-01-25 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. Semiconductor device
US10950722B2 (en) * 2014-12-31 2021-03-16 Stmicroelectronics, Inc. Vertical gate all-around transistor
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
WO2016163045A1 (en) 2015-04-06 2016-10-13 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド Columnar semiconductor device having sgt, and method for manufacturing same
WO2016162927A1 (en) 2015-04-06 2016-10-13 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド Columnar semiconductor memory device and method for manufacturing same
JP6175196B2 (en) 2015-07-08 2017-08-02 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. Columnar semiconductor memory device and manufacturing method thereof
US9460770B1 (en) 2015-09-01 2016-10-04 Micron Technology, Inc. Methods of operating ferroelectric memory cells, and related ferroelectric memory cells
JP6089081B1 (en) * 2015-09-16 2017-03-01 株式会社東芝 Magnetic memory
US10229916B2 (en) 2015-10-09 2019-03-12 Unisantis Electronics Singapore Pte. Ltd. Method for producing pillar-shaped semiconductor device
US10410932B2 (en) 2015-10-09 2019-09-10 Unisantis Electronics Singapore Pte. Ltd. Method for producing pillar-shaped semiconductor device
US9754660B2 (en) 2015-11-19 2017-09-05 Samsung Electronics Co., Ltd. Semiconductor device
WO2017104066A1 (en) * 2015-12-18 2017-06-22 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド Sgt-including semiconductor device and method for producing same
US10128253B2 (en) 2016-01-29 2018-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Two-port SRAM structure
US10043796B2 (en) 2016-02-01 2018-08-07 Qualcomm Incorporated Vertically stacked nanowire field effect transistors
FR3049761B1 (en) * 2016-03-31 2018-10-05 Soitec METHOD FOR MANUFACTURING A STRUCTURE FOR FORMING A THREE DIMENSIONAL MONOLITHIC INTEGRATED CIRCUIT
JP6097434B2 (en) * 2016-04-28 2017-03-15 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method of semiconductor device
US10438838B2 (en) * 2016-09-01 2019-10-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and related method
KR101928629B1 (en) 2016-12-01 2018-12-12 한양대학교 산학협력단 Two-terminal vertical 1-t dram and manufacturing method thereof
US10361128B2 (en) 2017-01-11 2019-07-23 International Business Machines Corporation 3D vertical FET with top and bottom gate contacts
US9875784B1 (en) * 2017-04-13 2018-01-23 Qualcomm Incorporated Three-dimensional (3D) ferroelectric dipole metal-oxide semiconductor ferroelectric field-effect transistor (MOSFeFET) system, and related methods and systems
US20180358352A1 (en) * 2017-06-08 2018-12-13 Silicet, LLC Structure, method, and circuit for electrostatic discharge protection utilizing a rectifying contact
CN110603640B (en) * 2017-07-17 2023-06-27 美光科技公司 Memory circuit system
JP2019057554A (en) * 2017-09-20 2019-04-11 東芝メモリ株式会社 Storage device
US10833078B2 (en) * 2017-12-04 2020-11-10 Tokyo Electron Limited Semiconductor apparatus having stacked gates and method of manufacture thereof
US10283411B1 (en) * 2018-01-02 2019-05-07 International Business Machines Corporation Stacked vertical transistor device for three-dimensional monolithic integration
US10790271B2 (en) * 2018-04-17 2020-09-29 International Business Machines Corporation Perpendicular stacked field-effect transistor device
WO2019226341A1 (en) 2018-05-25 2019-11-28 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
EP3821457A4 (en) 2018-07-09 2022-04-13 Lam Research Corporation Electron excitation atomic layer etch
JP2020047642A (en) 2018-09-14 2020-03-26 キオクシア株式会社 Semiconductor storage device
US10607938B1 (en) * 2018-10-26 2020-03-31 International Business Machines Corporation Power distribution networks for monolithic three-dimensional semiconductor integrated circuit devices
CN111293137A (en) * 2018-12-07 2020-06-16 中国科学院上海微系统与信息技术研究所 Three-dimensional MRAM storage structure based on two-dimensional CMOS and manufacturing method thereof
CN111435658B (en) * 2019-01-14 2023-05-23 联华电子股份有限公司 Method for forming memory stacking structure
US11107827B2 (en) 2019-02-28 2021-08-31 International Business Machines Corporation Integration of split gate metal-oxide-nitride-oxide-semiconductor memory with vertical FET
CN111755445A (en) * 2019-03-29 2020-10-09 长鑫存储技术有限公司 Method for manufacturing semiconductor structure
US11228174B1 (en) 2019-05-30 2022-01-18 Silicet, LLC Source and drain enabled conduction triggers and immunity tolerance for integrated circuits
KR20210012710A (en) 2019-07-26 2021-02-03 에스케이하이닉스 주식회사 Vertical memory device and method for fabricating vertical memory device
US11411025B2 (en) 2019-10-23 2022-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3D ferroelectric memory
DE102020119199A1 (en) 2019-10-23 2021-04-29 Taiwan Semiconductor Manufacturing Co. Ltd. 3D FERROELECTRIC MEMORY
KR20210052928A (en) * 2019-11-01 2021-05-11 에스케이하이닉스 주식회사 Semiconductor memory device and manufacturing method thereof
US10892362B1 (en) 2019-11-06 2021-01-12 Silicet, LLC Devices for LDMOS and other MOS transistors with hybrid contact
FR3105748B1 (en) * 2019-12-26 2022-09-02 Aledia Device for laser treatment and laser treatment method
US11476248B2 (en) * 2019-12-26 2022-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Three dimensional integrated circuit and fabrication thereof
US11302865B2 (en) * 2019-12-26 2022-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Phase-change memory with two-portioned phase-change layer
KR102226206B1 (en) * 2020-02-06 2021-03-11 포항공과대학교 산학협력단 Memory device including double PN junctions and driving method thereof
US11508817B2 (en) * 2020-05-28 2022-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Passivation layer for epitaxial semiconductor process
DE102020125995A1 (en) * 2020-05-28 2021-12-02 Taiwan Semiconductor Manufacturing Co. Ltd. PASSIVATION LAYER FOR EPITACTIC SEMICONDUCTOR PROCESS
US11430797B2 (en) 2020-06-30 2022-08-30 Qualcomm Incorporated Package embedded programmable resistor for voltage droop mitigation
US11527623B2 (en) * 2020-07-28 2022-12-13 Micron Technology, Inc. Integrated assemblies and methods of forming integrated assemblies
EP4200911A1 (en) 2020-12-04 2023-06-28 Amplexia, LLC Ldmos with self-aligned body and hybrid source
CN113053900B (en) * 2021-03-22 2023-01-20 长鑫存储技术有限公司 Semiconductor structure and manufacturing method thereof
CN113078625B (en) * 2021-03-24 2023-02-17 重庆邮电大学 Surge protection array based on chalcogenide compound and preparation method
CN113206099A (en) * 2021-05-06 2021-08-03 长江先进存储产业创新中心有限责任公司 Semiconductor device and method for manufacturing the same

Citations (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4740826A (en) * 1985-09-25 1988-04-26 Texas Instruments Incorporated Vertical inverter
US5311050A (en) * 1990-11-30 1994-05-10 Kabushiki Kaisha Toshiba Semiconductor vertical MOSFET inverter circuit
US5317170A (en) * 1990-11-29 1994-05-31 Xerox Corporation High density, independently addressable, surface emitting semiconductor laser/light emitting diode arrays without a substrate
US5882987A (en) * 1997-08-26 1999-03-16 International Business Machines Corporation Smart-cut process for the production of thin semiconductor material films
US5998808A (en) * 1997-06-27 1999-12-07 Sony Corporation Three-dimensional integrated circuit device and its manufacturing method
US6057212A (en) * 1998-05-04 2000-05-02 International Business Machines Corporation Method for making bonded metal back-plane substrates
US6103597A (en) * 1996-04-11 2000-08-15 Commissariat A L'energie Atomique Method of obtaining a thin film of semiconductor material
US6187110B1 (en) * 1997-05-12 2001-02-13 Silicon Genesis Corporation Device for patterned films
US6191007B1 (en) * 1997-04-28 2001-02-20 Denso Corporation Method for manufacturing a semiconductor substrate
US6225192B1 (en) * 1996-05-15 2001-05-01 Commissariat A L'energie Atomique Method of producing a thin layer of semiconductor material
US6225651B1 (en) * 1997-06-25 2001-05-01 Commissariat A L'energie Atomique Structure with a micro-electronic component made of a semi-conductor material difficult to etch and with metallized holes
US6242324B1 (en) * 1999-08-10 2001-06-05 The United States Of America As Represented By The Secretary Of The Navy Method for fabricating singe crystal materials over CMOS devices
US6316293B1 (en) * 1997-12-18 2001-11-13 Advanced Micro Devices, Inc. Method of forming a nand-type flash memory device having a non-stacked gate transistor structure
US6391658B1 (en) * 1999-10-26 2002-05-21 International Business Machines Corporation Formation of arrays of microelectronic elements
US6423614B1 (en) * 1998-06-30 2002-07-23 Intel Corporation Method of delaminating a thin film using non-thermal techniques
US6429484B1 (en) * 2000-08-07 2002-08-06 Advanced Micro Devices, Inc. Multiple active layer structure and a method of making such a structure
US6503778B1 (en) * 1999-09-28 2003-01-07 Sony Corporation Thin film device and method of manufacturing the same
US6600173B2 (en) * 2000-08-30 2003-07-29 Cornell Research Foundation, Inc. Low temperature semiconductor layering and three-dimensional electronic circuits using the layering
US6638834B2 (en) * 2000-06-12 2003-10-28 Micron Technology, Inc. Methods of forming semiconductor constructions
US6661085B2 (en) * 2002-02-06 2003-12-09 Intel Corporation Barrier structure against corrosion and contamination in three-dimensional (3-D) wafer-to-wafer vertical stack
US20040113207A1 (en) * 2002-12-11 2004-06-17 International Business Machines Corporation Vertical MOSFET SRAM cell
US6943067B2 (en) * 2002-01-08 2005-09-13 Advanced Micro Devices, Inc. Three-dimensional integrated semiconductor devices
US7470598B2 (en) * 2004-06-21 2008-12-30 Sang-Yun Lee Semiconductor layer structure and method of making the same

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4296428A (en) * 1979-06-28 1981-10-20 Rockwell International Corporation Merged field effect transistor circuit and fabrication process
US4400715A (en) * 1980-11-19 1983-08-23 International Business Machines Corporation Thin film semiconductor device and method for manufacture
JPH04192368A (en) * 1990-11-23 1992-07-10 Sony Corp Longitudinal channel fet
US5943574A (en) * 1998-02-23 1999-08-24 Motorola, Inc. Method of fabricating 3D multilayer semiconductor circuits
US6194290B1 (en) * 1998-03-09 2001-02-27 Intersil Corporation Methods for making semiconductor devices by low temperature direct bonding
EP1234332B1 (en) * 1999-11-15 2007-01-17 Infineon Technologies AG Dram cell structure with tunnel barrier
JP2001250913A (en) * 1999-12-28 2001-09-14 Mitsumasa Koyanagi Three-dimensional semiconductor integrated circuit device and its manufacturing method
US6525415B2 (en) 1999-12-28 2003-02-25 Fuji Xerox Co., Ltd. Three-dimensional semiconductor integrated circuit apparatus and manufacturing method therefor
US6871396B2 (en) * 2000-02-09 2005-03-29 Matsushita Electric Industrial Co., Ltd. Transfer material for wiring substrate
JP3735855B2 (en) * 2000-02-17 2006-01-18 日本電気株式会社 Semiconductor integrated circuit device and driving method thereof
US6355501B1 (en) 2000-09-21 2002-03-12 International Business Machines Corporation Three-dimensional chip stacking assembly
JP3940596B2 (en) * 2001-05-24 2007-07-04 松下電器産業株式会社 Illumination light source
US6821826B1 (en) * 2003-09-30 2004-11-23 International Business Machines Corporation Three dimensional CMOS integrated circuits having device layers built on different crystal oriented wafers

Patent Citations (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4740826A (en) * 1985-09-25 1988-04-26 Texas Instruments Incorporated Vertical inverter
US5317170A (en) * 1990-11-29 1994-05-31 Xerox Corporation High density, independently addressable, surface emitting semiconductor laser/light emitting diode arrays without a substrate
US5311050A (en) * 1990-11-30 1994-05-10 Kabushiki Kaisha Toshiba Semiconductor vertical MOSFET inverter circuit
US6103597A (en) * 1996-04-11 2000-08-15 Commissariat A L'energie Atomique Method of obtaining a thin film of semiconductor material
US6225192B1 (en) * 1996-05-15 2001-05-01 Commissariat A L'energie Atomique Method of producing a thin layer of semiconductor material
US6191007B1 (en) * 1997-04-28 2001-02-20 Denso Corporation Method for manufacturing a semiconductor substrate
US6187110B1 (en) * 1997-05-12 2001-02-13 Silicon Genesis Corporation Device for patterned films
US6225651B1 (en) * 1997-06-25 2001-05-01 Commissariat A L'energie Atomique Structure with a micro-electronic component made of a semi-conductor material difficult to etch and with metallized holes
US5998808A (en) * 1997-06-27 1999-12-07 Sony Corporation Three-dimensional integrated circuit device and its manufacturing method
US5882987A (en) * 1997-08-26 1999-03-16 International Business Machines Corporation Smart-cut process for the production of thin semiconductor material films
US6316293B1 (en) * 1997-12-18 2001-11-13 Advanced Micro Devices, Inc. Method of forming a nand-type flash memory device having a non-stacked gate transistor structure
US6057212A (en) * 1998-05-04 2000-05-02 International Business Machines Corporation Method for making bonded metal back-plane substrates
US6423614B1 (en) * 1998-06-30 2002-07-23 Intel Corporation Method of delaminating a thin film using non-thermal techniques
US6242324B1 (en) * 1999-08-10 2001-06-05 The United States Of America As Represented By The Secretary Of The Navy Method for fabricating singe crystal materials over CMOS devices
US6503778B1 (en) * 1999-09-28 2003-01-07 Sony Corporation Thin film device and method of manufacturing the same
US6391658B1 (en) * 1999-10-26 2002-05-21 International Business Machines Corporation Formation of arrays of microelectronic elements
US6638834B2 (en) * 2000-06-12 2003-10-28 Micron Technology, Inc. Methods of forming semiconductor constructions
US6429484B1 (en) * 2000-08-07 2002-08-06 Advanced Micro Devices, Inc. Multiple active layer structure and a method of making such a structure
US6600173B2 (en) * 2000-08-30 2003-07-29 Cornell Research Foundation, Inc. Low temperature semiconductor layering and three-dimensional electronic circuits using the layering
US6943067B2 (en) * 2002-01-08 2005-09-13 Advanced Micro Devices, Inc. Three-dimensional integrated semiconductor devices
US6661085B2 (en) * 2002-02-06 2003-12-09 Intel Corporation Barrier structure against corrosion and contamination in three-dimensional (3-D) wafer-to-wafer vertical stack
US20040113207A1 (en) * 2002-12-11 2004-06-17 International Business Machines Corporation Vertical MOSFET SRAM cell
US7470598B2 (en) * 2004-06-21 2008-12-30 Sang-Yun Lee Semiconductor layer structure and method of making the same

Cited By (319)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090267233A1 (en) * 1996-11-04 2009-10-29 Sang-Yun Lee Bonded semiconductor structure and method of making the same
US8058142B2 (en) 1996-11-04 2011-11-15 Besang Inc. Bonded semiconductor structure and method of making the same
US8071438B2 (en) 2003-06-24 2011-12-06 Besang Inc. Semiconductor circuit
US8018058B2 (en) 2004-06-21 2011-09-13 Besang Inc. Semiconductor memory device
US20050280154A1 (en) * 2004-06-21 2005-12-22 Sang-Yun Lee Semiconductor memory device
US8367524B2 (en) 2005-03-29 2013-02-05 Sang-Yun Lee Three-dimensional integrated circuit structure
US20060237725A1 (en) * 2005-04-20 2006-10-26 Samsung Electronics Co., Ltd. Semiconductor devices having thin film transistors and methods of fabricating the same
US7719033B2 (en) * 2005-04-20 2010-05-18 Samsung Electronics Co., Ltd. Semiconductor devices having thin film transistors and methods of fabricating the same
US20120012896A1 (en) * 2005-05-16 2012-01-19 Ramnath Venkatraman Integrated Circuit Cell Architecture Configurable for Memory or Logic Elements
US8178909B2 (en) * 2005-05-16 2012-05-15 Lsi Corporation Integrated circuit cell architecture configurable for memory or logic elements
US8278155B2 (en) 2005-06-14 2012-10-02 International Business Machines Corporation Reprogrammable fuse structure and method
US7960808B2 (en) 2005-06-14 2011-06-14 International Business Machines Corporation Reprogrammable fuse structure and method
US20060278895A1 (en) * 2005-06-14 2006-12-14 International Business Machines Corporation Reprogrammable fuse structure and method
US7388273B2 (en) * 2005-06-14 2008-06-17 International Business Machines Corporation Reprogrammable fuse structure and method
US20080078998A1 (en) * 2006-09-28 2008-04-03 Sanyo Electric Co., Ltd. Semiconductor device
US8866194B2 (en) 2006-09-28 2014-10-21 Semiconductor Components Industries, Llc Semiconductor device
US20080185595A1 (en) * 2007-02-06 2008-08-07 Samsung Electro-Mechanics Co., Ltd. Light emitting device for alternating current source
US20090020800A1 (en) * 2007-07-18 2009-01-22 Georg Tempel Semiconductor Device and Method of Making Same
US8247861B2 (en) * 2007-07-18 2012-08-21 Infineon Technologies Ag Semiconductor device and method of making same
US8940603B2 (en) 2007-07-18 2015-01-27 Infineon Technologies Ag Method of making semiconductor device
US7847586B2 (en) * 2007-08-20 2010-12-07 Northern Lights Semiconductor Corp. Integrate circuit chip with magnetic devices
US20090051386A1 (en) * 2007-08-20 2009-02-26 Northern Lights Semiconductor Corp. Integrate Circuit Chip with Magnetic Devices
DE102007052219A1 (en) * 2007-08-20 2009-02-26 Northern Lights Semiconductor Corp., Saint Paul Integrated circuit chip with magnetic devices
US20090057746A1 (en) * 2007-09-05 2009-03-05 Renesas Technology Corp. Semiconductor device
WO2009059015A2 (en) * 2007-10-30 2009-05-07 Raytheon Company Method of protecting circuits using integrated array fuse elements and process for fabrication
WO2009059015A3 (en) * 2007-10-30 2009-09-24 Raytheon Company Method of protecting circuits using integrated array fuse elements and process for fabrication
US20090109582A1 (en) * 2007-10-30 2009-04-30 Jack Michael D Method of protecting circuits using integrated array fuse elements and process for fabrication
US20090176354A1 (en) * 2008-01-07 2009-07-09 International Business Machines Corporation Method for fabrication of single crystal diodes for resistive memories
US7902051B2 (en) * 2008-01-07 2011-03-08 International Business Machines Corporation Method for fabrication of single crystal diodes for resistive memories
US7974119B2 (en) 2008-07-10 2011-07-05 Seagate Technology Llc Transmission gate-based spin-transfer torque memory unit
US8199563B2 (en) 2008-07-10 2012-06-12 Seagate Technology Llc Transmission gate-based spin-transfer torque memory unit
US8416615B2 (en) 2008-07-10 2013-04-09 Seagate Technology Llc Transmission gate-based spin-transfer torque memory unit
US20100079639A1 (en) * 2008-09-30 2010-04-01 Joon Hwang Image Sensor and Method for Manufacturing the Same
US8339492B2 (en) * 2008-09-30 2012-12-25 Dongbu Hitek Co., Ltd. Image sensor inhibiting electrical shorts in a contract plug penetrating an image sensing device and method for manufacturing the same
US9030867B2 (en) 2008-10-20 2015-05-12 Seagate Technology Llc Bipolar CMOS select device for resistive sense memory
US8289746B2 (en) 2008-10-20 2012-10-16 Seagate Technology Llc MRAM diode array and access method
US8514605B2 (en) 2008-10-20 2013-08-20 Seagate Technology Llc MRAM diode array and access method
US7936580B2 (en) 2008-10-20 2011-05-03 Seagate Technology Llc MRAM diode array and access method
US8508981B2 (en) 2008-10-30 2013-08-13 Seagate Technology Llc Apparatus for variable resistive memory punchthrough access method
US7936583B2 (en) 2008-10-30 2011-05-03 Seagate Technology Llc Variable resistive memory punchthrough access method
US8098510B2 (en) 2008-10-30 2012-01-17 Seagate Technology Llc Variable resistive memory punchthrough access method
US7961497B2 (en) 2008-10-30 2011-06-14 Seagate Technology Llc Variable resistive memory punchthrough access method
US8199558B2 (en) 2008-10-30 2012-06-12 Seagate Technology Llc Apparatus for variable resistive memory punchthrough access method
US8508980B2 (en) 2008-11-07 2013-08-13 Seagate Technology Llc Polarity dependent switch for resistive sense memory
US7935619B2 (en) 2008-11-07 2011-05-03 Seagate Technology Llc Polarity dependent switch for resistive sense memory
US8072014B2 (en) 2008-11-07 2011-12-06 Seagate Technology Llc Polarity dependent switch for resistive sense memory
US8178864B2 (en) 2008-11-18 2012-05-15 Seagate Technology Llc Asymmetric barrier diode
TWI418027B (en) * 2008-11-28 2013-12-01 Powerchip Technology Corp Phase-change memory devices and methods for fabricating the same
US8203869B2 (en) 2008-12-02 2012-06-19 Seagate Technology Llc Bit line charge accumulation sensing for resistive changing memory
US8638597B2 (en) 2008-12-02 2014-01-28 Seagate Technology Llc Bit line charge accumulation sensing for resistive changing memory
US9129848B2 (en) 2008-12-18 2015-09-08 Micron Technology, Inc. Method and structure for integrating capacitor-less memory cell with logic
US8704286B2 (en) 2008-12-18 2014-04-22 Micron Technology, Inc. Method and structure for integrating capacitor-less memory cell with logic
US20100155803A1 (en) * 2008-12-18 2010-06-24 Micron Technology, Inc. Method and structure for integrating capacitor-less memory cell with logic
US8278167B2 (en) * 2008-12-18 2012-10-02 Micron Technology, Inc. Method and structure for integrating capacitor-less memory cell with logic
WO2010092555A3 (en) * 2009-02-15 2016-05-26 Gil Asa Four-transistor and five-transistor bjt-cmos asymmetric sram cells
US8837204B2 (en) 2009-02-15 2014-09-16 NDEP Technologies Ltd. Four-transistor and five-transistor BJT-CMOS asymmetric SRAM cells
US8115258B2 (en) 2009-04-07 2012-02-14 Samsung Electronics Co., Ltd. Memory devices having diodes and resistors electrically connected in series
US20120196409A1 (en) * 2009-04-14 2012-08-02 Zvi Or-Bach 3d semiconductor device
US8378494B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
US8987079B2 (en) 2009-04-14 2015-03-24 Monolithic 3D Inc. Method for developing a custom device
US8427200B2 (en) * 2009-04-14 2013-04-23 Monolithic 3D Inc. 3D semiconductor device
US8405420B2 (en) 2009-04-14 2013-03-26 Monolithic 3D Inc. System comprising a semiconductor device and structure
US9577642B2 (en) 2009-04-14 2017-02-21 Monolithic 3D Inc. Method to form a 3D semiconductor device
US8384426B2 (en) 2009-04-14 2013-02-26 Monolithic 3D Inc. Semiconductor device and structure
US9412645B1 (en) 2009-04-14 2016-08-09 Monolithic 3D Inc. Semiconductor devices and structures
US8378715B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method to construct systems
US8362482B2 (en) 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US9711407B2 (en) 2009-04-14 2017-07-18 Monolithic 3D Inc. Method of manufacturing a three dimensional integrated circuit by transfer of a mono-crystalline layer
US8754533B2 (en) 2009-04-14 2014-06-17 Monolithic 3D Inc. Monolithic three-dimensional semiconductor device and structure
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US8373439B2 (en) 2009-04-14 2013-02-12 Monolithic 3D Inc. 3D semiconductor device
US8624236B2 (en) 2009-05-22 2014-01-07 Macronix International Co., Ltd. Phase change memory cell having vertical channel access transistor
US7968876B2 (en) 2009-05-22 2011-06-28 Macronix International Co., Ltd. Phase change memory cell having vertical channel access transistor
US8313979B2 (en) 2009-05-22 2012-11-20 Macronix International Co., Ltd. Phase change memory cell having vertical channel access transistor
US8350316B2 (en) 2009-05-22 2013-01-08 Macronix International Co., Ltd. Phase change memory cells having vertical channel access transistor and memory plane
US8159856B2 (en) 2009-07-07 2012-04-17 Seagate Technology Llc Bipolar select device for resistive sense memory
US8514608B2 (en) 2009-07-07 2013-08-20 Seagate Technology Llc Bipolar select device for resistive sense memory
US8198181B1 (en) 2009-07-13 2012-06-12 Seagate Technology Llc Schottky diode switch and memory units containing the same
US8896070B2 (en) 2009-07-13 2014-11-25 Seagate Technology Llc Patterning embedded control lines for vertically stacked semiconductor elements
US8183126B2 (en) 2009-07-13 2012-05-22 Seagate Technology Llc Patterning embedded control lines for vertically stacked semiconductor elements
US8288749B2 (en) 2009-07-13 2012-10-16 Seagate Technology Llc Schottky diode switch and memory units containing the same
US8158964B2 (en) 2009-07-13 2012-04-17 Seagate Technology Llc Schottky diode switch and memory units containing the same
US20110068400A1 (en) * 2009-09-18 2011-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and Apparatus for SRAM Bit Cell with Low Standby Current, Low Supply Voltage and High Speed
US8294212B2 (en) * 2009-09-18 2012-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for SRAM bit cell with low standby current, low supply voltage and high speed
US8399935B2 (en) 2009-09-18 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded SRAM memory for low power applications
US20110068413A1 (en) * 2009-09-18 2011-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded SRAM Memory for Low Power Applications
US8237228B2 (en) 2009-10-12 2012-08-07 Monolithic 3D Inc. System comprising a semiconductor device and structure
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US8907442B2 (en) 2009-10-12 2014-12-09 Monolthic 3D Inc. System comprising a semiconductor device and structure
US9406670B1 (en) 2009-10-12 2016-08-02 Monolithic 3D Inc. System comprising a semiconductor device and structure
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US8395191B2 (en) 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US8664042B2 (en) 2009-10-12 2014-03-04 Monolithic 3D Inc. Method for fabrication of configurable systems
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US8294159B2 (en) 2009-10-12 2012-10-23 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US9564432B2 (en) 2010-02-16 2017-02-07 Monolithic 3D Inc. 3D semiconductor device and structure
US8846463B1 (en) 2010-02-16 2014-09-30 Monolithic 3D Inc. Method to construct a 3D semiconductor device
US8492886B2 (en) 2010-02-16 2013-07-23 Monolithic 3D Inc 3D integrated circuit with logic
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
CN101834152A (en) * 2010-04-20 2010-09-15 中国科学院上海微系统与信息技术研究所 Method for manufacturing three-dimensionally stacked resistance conversion memory
US9012292B2 (en) 2010-07-02 2015-04-21 Sang-Yun Lee Semiconductor memory device and method of fabricating the same
US8709880B2 (en) 2010-07-30 2014-04-29 Monolithic 3D Inc Method for fabrication of a semiconductor device and structure
US8642416B2 (en) 2010-07-30 2014-02-04 Monolithic 3D Inc. Method of forming three dimensional integrated circuit devices using layer transfer technique
US8912052B2 (en) 2010-07-30 2014-12-16 Monolithic 3D Inc. Semiconductor device and structure
WO2012015550A2 (en) 2010-07-30 2012-02-02 Monolithic 3D, Inc. Semiconductor device and structure
US8617952B2 (en) 2010-09-28 2013-12-31 Seagate Technology Llc Vertical transistor with hardening implatation
US8703597B1 (en) * 2010-09-30 2014-04-22 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8461035B1 (en) * 2010-09-30 2013-06-11 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8258810B2 (en) 2010-09-30 2012-09-04 Monolithic 3D Inc. 3D semiconductor device
US9419031B1 (en) 2010-10-07 2016-08-16 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US8114757B1 (en) * 2010-10-11 2012-02-14 Monolithic 3D Inc. Semiconductor device and structure
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US20120088355A1 (en) * 2010-10-11 2012-04-12 Monolithic 3D Inc. Semiconductor device and structure
US8203148B2 (en) 2010-10-11 2012-06-19 Monolithic 3D Inc. Semiconductor device and structure
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US9818800B2 (en) 2010-10-11 2017-11-14 Monolithic 3D Inc. Self aligned semiconductor device and structure
US8956959B2 (en) * 2010-10-11 2015-02-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device with two monocrystalline layers
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US8440542B2 (en) 2010-10-11 2013-05-14 Monolithic 3D Inc. Semiconductor device and structure
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US8476145B2 (en) 2010-10-13 2013-07-02 Monolithic 3D Inc. Method of fabricating a semiconductor device and structure
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US8163581B1 (en) 2010-10-13 2012-04-24 Monolith IC 3D Semiconductor and optoelectronic devices
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US8823122B2 (en) 2010-10-13 2014-09-02 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US8379458B1 (en) 2010-10-13 2013-02-19 Monolithic 3D Inc. Semiconductor device and structure
US8753913B2 (en) 2010-10-13 2014-06-17 Monolithic 3D Inc. Method for fabricating novel semiconductor and optoelectronic devices
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11374042B1 (en) 2010-10-13 2022-06-28 Monolithic 3D Inc. 3D micro display semiconductor device and structure
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US8373230B1 (en) 2010-10-13 2013-02-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8362800B2 (en) 2010-10-13 2013-01-29 Monolithic 3D Inc. 3D semiconductor device including field repairable logics
US8283215B2 (en) 2010-10-13 2012-10-09 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US8273610B2 (en) 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US9136153B2 (en) 2010-11-18 2015-09-15 Monolithic 3D Inc. 3D semiconductor device and structure with back-bias
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US8536023B2 (en) 2010-11-22 2013-09-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device and structure
US8541819B1 (en) 2010-12-09 2013-09-24 Monolithic 3D Inc. Semiconductor device and structure
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US8648426B2 (en) 2010-12-17 2014-02-11 Seagate Technology Llc Tunneling transistors
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8298875B1 (en) 2011-03-06 2012-10-30 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8450804B2 (en) 2011-03-06 2013-05-28 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8901613B2 (en) 2011-03-06 2014-12-02 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8581349B1 (en) 2011-05-02 2013-11-12 Monolithic 3D Inc. 3D memory semiconductor device and structure
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
US9219005B2 (en) 2011-06-28 2015-12-22 Monolithic 3D Inc. Semiconductor system and device
US8937868B2 (en) 2011-07-18 2015-01-20 Samsung Electronics Co., Ltd. Method for and apparatus for feeding back channel information in wireless communication system
US8687399B2 (en) 2011-10-02 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US9030858B2 (en) 2011-10-02 2015-05-12 Monolithic 3D Inc. Semiconductor device and structure
US9197804B1 (en) 2011-10-14 2015-11-24 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US9029173B2 (en) 2011-10-18 2015-05-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9368403B2 (en) 2012-02-02 2016-06-14 Renesas Electronics Corporation Method for manufacturing a semiconductor device
US9153588B2 (en) 2012-02-02 2015-10-06 Renesas Electronics Corporation Semiconductor device and a method for manufacturing a semiconductor device
US9000557B2 (en) 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US9305867B1 (en) 2012-04-09 2016-04-05 Monolithic 3D Inc. Semiconductor devices and structures
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8836073B1 (en) 2012-04-09 2014-09-16 Monolithic 3D Inc. Semiconductor device and structure
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US8686428B1 (en) 2012-11-16 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US8574929B1 (en) 2012-11-16 2013-11-05 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US8742476B1 (en) 2012-11-27 2014-06-03 Monolithic 3D Inc. Semiconductor device and structure
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US9252134B2 (en) 2012-12-22 2016-02-02 Monolithic 3D Inc. Semiconductor device and structure
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US8921970B1 (en) 2012-12-22 2014-12-30 Monolithic 3D Inc Semiconductor device and structure
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US9460991B1 (en) 2012-12-29 2016-10-04 Monolithic 3D Inc. Semiconductor device and structure
US9911627B1 (en) 2012-12-29 2018-03-06 Monolithic 3D Inc. Method of processing a semiconductor device
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US9460978B1 (en) 2012-12-29 2016-10-04 Monolithic 3D Inc. Semiconductor device and structure
US8803206B1 (en) 2012-12-29 2014-08-12 Monolithic 3D Inc. 3D semiconductor device and structure
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11121246B2 (en) 2013-03-11 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US11515413B2 (en) 2013-03-11 2022-11-29 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US10964807B2 (en) 2013-03-11 2021-03-30 Monolithic 3D Inc. 3D semiconductor device with memory
US10355121B2 (en) 2013-03-11 2019-07-16 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US9496271B2 (en) 2013-03-11 2016-11-15 Monolithic 3D Inc. 3DIC system with a two stable state memory and back-bias region
US11004967B1 (en) 2013-03-11 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US10127344B2 (en) 2013-04-15 2018-11-13 Monolithic 3D Inc. Automation for monolithic 3D devices
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US20170077389A1 (en) * 2014-06-16 2017-03-16 Intel Corporation Embedded memory in interconnect stack on silicon die
CN106463406A (en) * 2014-06-16 2017-02-22 英特尔公司 Embedded memory in interconnect stack on silicon die
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US10748847B2 (en) * 2014-10-08 2020-08-18 Nexperia B.V. Metallisation for semiconductor device
US20160104676A1 (en) * 2014-10-08 2016-04-14 Nxp B.V. Metallisation for semiconductor device
US20160118404A1 (en) * 2014-10-09 2016-04-28 Haibing Peng Three-dimensional non-volatile ferroelectric random access memory
US9589979B2 (en) * 2014-11-19 2017-03-07 Macronix International Co., Ltd. Vertical and 3D memory devices and methods of manufacturing the same
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US10515981B2 (en) 2015-09-21 2019-12-24 Monolithic 3D Inc. Multilevel semiconductor device and structure with memory
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US11956952B2 (en) 2016-08-22 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure
CN109155311A (en) * 2016-08-31 2019-01-04 美光科技公司 memory cell and memory array
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US10896979B2 (en) * 2017-09-28 2021-01-19 International Business Machines Corporation Compact vertical injection punch through floating gate analog memory and a manufacture thereof
WO2019133484A1 (en) * 2017-12-28 2019-07-04 Spin Memory, Inc. Methods of forming perpendicular magnetic tunnel junction memory cells having vertical channels
US11222970B2 (en) 2017-12-28 2022-01-11 Integrated Silicon Solution, (Cayman) Inc. Perpendicular magnetic tunnel junction memory cells having vertical channels
US10468293B2 (en) 2017-12-28 2019-11-05 Spin Memory, Inc. Methods of forming perpendicular magnetic tunnel junction memory cells having vertical channels
US10658425B2 (en) 2017-12-28 2020-05-19 Spin Memory, Inc. Methods of forming perpendicular magnetic tunnel junction memory cells having vertical channels
US10460778B2 (en) 2017-12-29 2019-10-29 Spin Memory, Inc. Perpendicular magnetic tunnel junction memory cells having shared source contacts
US10840254B2 (en) 2018-05-22 2020-11-17 Macronix International Co., Ltd. Pitch scalable 3D NAND
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US10971447B2 (en) * 2019-06-24 2021-04-06 International Business Machines Corporation BEOL electrical fuse
US11961827B1 (en) 2023-12-23 2024-04-16 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers

Also Published As

Publication number Publication date
EP1636831A4 (en) 2008-12-31
US7052941B2 (en) 2006-05-30
EP1636831A2 (en) 2006-03-22
JP5202842B2 (en) 2013-06-05
WO2005010934A2 (en) 2005-02-03
EP1636831B1 (en) 2015-04-01
WO2005010934A3 (en) 2005-05-06
JP2007525004A (en) 2007-08-30
US20040262635A1 (en) 2004-12-30

Similar Documents

Publication Publication Date Title
US7052941B2 (en) Method for making a three-dimensional integrated circuit structure
JP5294517B2 (en) Add-on layer forming method
JP7331119B2 (en) Integration of three-dimensional NAND memory devices with multiple functional chips
JP7242908B2 (en) Three-dimensional memory device with backside isolation structure
US7378702B2 (en) Vertical memory device structures
US10896931B1 (en) 3D semiconductor device and structure
JP2022534538A (en) 3D memory device with deep isolation structure
US11569215B2 (en) Three-dimensional memory device with vertical field effect transistors and method of making thereof
US11018191B1 (en) 3D semiconductor device and structure
TW201813060A (en) Nonvolatile memory structure and forming method thereof
US11424221B2 (en) Pad structures for semiconductor devices
US11133351B2 (en) 3D semiconductor device and structure
US11462586B1 (en) Method to produce 3D semiconductor devices and structures with memory
US10290682B2 (en) 3D IC semiconductor device and structure with stacked memory
US11257867B1 (en) 3D semiconductor device and structure with oxide bonds
US11024673B1 (en) 3D semiconductor device and structure
US11963352B2 (en) Three-dimensional memory device with vertical field effect transistors and method of making thereof
US11158674B2 (en) Method to produce a 3D semiconductor device and structure
US10825864B2 (en) 3D semiconductor device and structure
US11469271B2 (en) Method to produce 3D semiconductor devices and structures with memory
US11956976B2 (en) 3D semiconductor devices and structures with transistors
US11227897B2 (en) Method for producing a 3D semiconductor memory device and structure
US20230299042A1 (en) Memory Device and Method of Forming The Same

Legal Events

Date Code Title Description
AS Assignment

Owner name: BESANG, INC., OREGON

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:LEE, SANG-YUN;REEL/FRAME:025695/0105

Effective date: 20101215

AS Assignment

Owner name: DAEHONG TECHNEW CORPORATION, KOREA, REPUBLIC OF

Free format text: SECURITY AGREEMENT;ASSIGNOR:BESANG INC.;REEL/FRAME:030373/0668

Effective date: 20130507

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: BESANG INC., OREGON

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:DAEHONG TECHNEW CORPORATION;REEL/FRAME:045658/0353

Effective date: 20180427