US20060266997A1 - Methods for forming semiconductor structures with differential surface layer thicknesses - Google Patents

Methods for forming semiconductor structures with differential surface layer thicknesses Download PDF

Info

Publication number
US20060266997A1
US20060266997A1 US11/498,521 US49852106A US2006266997A1 US 20060266997 A1 US20060266997 A1 US 20060266997A1 US 49852106 A US49852106 A US 49852106A US 2006266997 A1 US2006266997 A1 US 2006266997A1
Authority
US
United States
Prior art keywords
layer
surface layer
thickness
region
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/498,521
Inventor
Matthew Currie
Anthony Lochtefeld
Eugene Fitzgerald
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Amber Wave Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Amber Wave Systems Inc filed Critical Amber Wave Systems Inc
Priority to US11/498,521 priority Critical patent/US20060266997A1/en
Publication of US20060266997A1 publication Critical patent/US20060266997A1/en
Assigned to AMBERWAVE SYSTEMS CORPORATION reassignment AMBERWAVE SYSTEMS CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CURRIE, MATTHEW T., FITZGERALD, EUGENE A., LOCHTEFELD, ANTHONY J.
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: AMBERWAVE SYSTEMS CORPORATION
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS

Definitions

  • the present invention relates generally to semiconductor structures and particularly to semiconductor structures formed on strained semiconductor layers.
  • NMOS metal-oxide-semiconductor
  • MOSFET heterojunction metal-oxide-semiconductor field effect transistors
  • NMOS devices with strained silicon surface channels therefore, have improved performance with higher switching speeds.
  • Hole mobilities are enhanced in tensilely-strained silicon as well, but to a lesser extent for strain levels less than approximately 1.5%.
  • PMOS p-type metal-oxide-semiconductor
  • a structure that incorporates a compressively strained SiGe layer in tandem with a tensilely strained Si layer can provide greatly enhanced electron and hole mobilities.
  • electron transport typically occurs within a surface tensilely strained Si channel and hole transport occurs within the compressively strained SiGe layer below the Si layer.
  • the surface tensilely strained Si layer has a typical thickness of 50-200 ⁇ ngstroms ( ⁇ ) for providing a channel for conduction of electrons. If this layer is thinner than 50 ⁇ , the beneficial mobility enhancement is significantly reduced because the electrons are no longer completely confined within the strained Si layer.
  • NMOS devices are operational with a strained silicon surface channel of only 50 ⁇ , even this strained silicon layer thickness may be too thick to allow modulation of p-type carriers in a buried SiGe layer by an operating voltage applied to the gate of a PMOS transistor.
  • CMOS Complementary metal-oxide silicon circuit design is simplified if carrier mobilities are enhanced equally for both NMOS and PMOS devices.
  • CMOS Complementary metal-oxide silicon
  • electron mobilities are approximately two times greater than hole mobilities.
  • electron mobilities have been substantially increased with strained silicon.
  • Methods for equally increasing hole and electron mobilities by forming dual-channel NMOS and PMOS devices on the same substrate are problematic, in part because of different surface strained-silicon thickness requirements for the two types of devices.
  • a surface channel e.g., a strained silicon layer with a thickness greater than 5 nanometers (nm).
  • Hole transport occurs either in a buried channel, such as a buried compressed SiGe channel, or in both the strained silicon surface layer and the buried compressed SiGe layer.
  • Hole mobility in this type of structure is improved because of a reduction in hole scattering due to sub-band splitting, and because of a reduction in hole effective mass, both of which are associated with transport in strained SiGe and strained Si.
  • a thinned strained silicon layer above a PMOS channel facilitates control of hole transport by a voltage applied to a gate above the PMOS channel. If the strained silicon layer over the PMOS channel is too thick, the majority of carriers will be pulled closer to the surface from the buried channel. This configuration will result in a lack of device performance enhancement by the buried channel. Selectively thinning the strained silicon layer above a PMOS channel while maintaining a greater strained silicon thickness as an NMOS channel enables better control of hole transport in p-channel devices while simultaneously providing an adequate channel for electron transport in n-channel devices.
  • the invention features a semiconductor structure having a surface layer having strained silicon disposed over a substrate, the surface layer including a first region having a first thickness and a second region having a second thickness, the first thickness being less than the second thickness.
  • the structure also includes a gate dielectric disposed over a portion of at least the first region of the surface layer.
  • the gate dielectric layer may be disposed over a portion of the second region of the surface layer.
  • the gate dielectric layer thickness may be approximately 10-100 ⁇ .
  • the first thickness may be approximately 7-20 ⁇ .
  • the invention features a semiconductor structure having a surface layer with strained silicon disposed over a substrate.
  • a portion of the surface layer has a minimum thickness necessary for growing a silicon dioxide layer having satisfactory integrity.
  • the minimum surface layer thickness may be approximately 10-20 ⁇ .
  • the surface layer may be disposed over the underlying layer and the underlying layer may induce strain in the surface layer.
  • the underlying layer may include germanium and/or silicon.
  • the underlying layer may be disposed over an insulator layer.
  • a surface layer including strained silicon is disposed over a substrate, the surface layer including a first region having a first thickness and a second region having a second thickness, the first thickness being less than the second thickness.
  • the first region has a first source and a first drain, with the first source and the first drain including a first type of dopant.
  • the second region has a second source and a second drain, with the second source and the second drain including a second type of dopant.
  • the surface layer may include tensilely strained silicon.
  • the first type of dopant may be p-type and the second type of dopant may be n-type.
  • the substrate may include a region under compressive strain sharing an interface with the surface layer, the tensilely strained surface layer enhancing mobility of electrons and the compressively strained substrate region enhancing mobility of holes.
  • a gate may be disposed above the surface layer, with the first thickness being sufficiently small such that application of an operating voltage to the gate modulates movement of charge carriers within the compressively strained substrate region, and a majority of the charge carriers populate the compressively strained substrate region.
  • An insulator may be provided between the gate and the surface layer.
  • the compressively strained substrate region may include silicon and/or germanium.
  • the invention features a method for forming a semiconductor structure.
  • the method includes providing a substrate having a surface layer disposed thereon, the surface layer including strained silicon.
  • a sacrificial layer is selectively formed in a portion of the surface layer.
  • the sacrificial layer is selectively removed to define a first region of the surface layer having a first thickness proximate a second region of the surface layer having a second thickness, with the first thickness being less than the second thickness.
  • a masking layer Prior to forming the sacrificial layer, a masking layer may be formed over the surface layer, and a portion of the masking layer removed to expose the portion of the surface layer. The sacrificial layer may subsequently be selectively formed in the portion of the surface layer exposed by the masking layer.
  • Forming the masking layer may include forming a masking silicon nitride layer. Forming the masking layer may also include forming a pad silicon dioxide layer prior to forming the masking silicon nitride layer.
  • a first source and a first drain may be formed in the first region of the surface layer, the first source and the first drain including a first type of dopant.
  • a second source and a second drain may be formed in the second region of the surface layer, the second source and the second drain including a second type of dopant.
  • the first type of dopant may be n-type and the second type of dopant may be p-type.
  • the surface layer may be disposed over a relaxed layer.
  • the relaxed layer may comprise germanium and/or silicon.
  • FIGS. 1-8 are a series of schematic cross-sectional views of a semiconductor substrate illustrating a process for fabricating a semiconductor structure on the substrate;
  • FIGS. 9-10 are schematic cross-sectional views of an alternative embodiment of a semiconductor structure fabricated on a substrate.
  • a substrate 10 is made of a semiconductor, such as silicon.
  • a graded SiGe layer 12 is disposed over substrate 10 .
  • Graded SiGe layer 12 has a grading rate of, for example, 10% Ge per micron of thickness, and a thickness T 1 of, for example, 2-5 microns.
  • a relaxed SiGe layer 14 is disposed over graded SiGe layer 12 .
  • Relaxed SiGe layer 14 contains, for example, 20-50% Ge and has a thickness T 2 of, e.g., 0.2-2 microns.
  • a compressed SiGe layer 16 under compressive strain, is disposed over relaxed SiGe layer 14 .
  • Compressed SiGe layer 16 has a Ge content higher than the Ge content of relaxed SiGe layer 14 .
  • Compressed SiGe layer 16 contains, for example, 40-100% Ge and has a thickness T 3 of, e.g., 10-200 ⁇ ngstroms ( ⁇ ). In an embodiment, compressed SiGe layer 16 thickness T 3 is approximately 100 ⁇ .
  • a tensilely strained silicon surface layer 18 is disposed over compressed SiGe layer 16 , sharing an interface 19 with compressed SiGe layer 16 .
  • Strained silicon surface layer 18 has a starting thickness T 4 of, for example, 50-300 ⁇ . In an embodiment, starting thickness T 4 is approximately 200 ⁇ .
  • a suitable substrate 10 with layers 11 can be readily obtained from, e.g., IQE Silicon Compounds, Ltd., UK.
  • a first masking layer 20 such as a pad silicon dioxide layer, hereinafter referred to as pad oxide 20
  • pad oxide 20 is deposited over strained silicon surface layer 18 by a deposition method such as low-pressure chemical vapor deposition (LPCVD).
  • Pad oxide 20 has a thickness T 5 of, e.g., 100 ⁇ .
  • a second masking layer 22 such as a masking silicon nitride layer, hereinafter referred to as masking nitride 22 , is deposited over pad oxide 20 by a deposition method such as plasma enhanced chemical vapor deposition (PECVD).
  • PECVD plasma enhanced chemical vapor deposition
  • Masking nitride 22 has a thickness T 6 of, for example, 500-1000 ⁇ .
  • a photoresist layer is deposited over a top surface 24 of masking nitride 22 and patterned to form a photoresist mask 26 .
  • Photoresist mask 26 exposes top surface 24 of a first portion 28 of masking nitride 22 disposed over a first region 30 of substrate 10 and layers 11 .
  • a device such as a PMOS transistor will be formed in first region 30 with subsequent processing (see, e.g., PMOS transistor 59 in FIG. 8 ).
  • Photoresist mask 26 covers top surface 24 of a second portion 32 of masking nitride 22 disposed over a second region 34 of substrate 10 and layers 11 , including strained silicon surface layer 18 .
  • a device, such as an NMOS transistor will be formed in second region 34 with subsequent processing (see, e.g., NMOS transistor 64 in FIG. 8 ).
  • first masking nitride portion 28 and a first portion 38 of pad oxide 20 underneath first masking nitride portion 28 are both removed, leaving behind second masking nitride portion 32 and a second portion 40 of pad oxide 20 that are protected by photoresist mask 26 .
  • exposed first masking nitride portion 28 may be removed by a removal process such as a reactive ion etch (RIE) using gases such as a combination of nitrogen trifluoride, ammonia, and oxygen, or a combination of hydrogen bromide, chlorine, and oxygen.
  • RIE reactive ion etch
  • First pad oxide portion 38 may be removed by a wet etch that is selective to silicon, such as a hydrofluoric acid etch.
  • pad oxide portion 38 exposes a portion 41 of strained silicon surface layer 18 .
  • Ions are introduced into areas not covered by photoresist mask 26 , including first region 30 , to form a well 36 , defined, for purposes of illustration, by the boundary 36 b .
  • n-type ions such as phosphorus
  • the dosage and energy of the phosphorus ion implantation is, for example, 400 keV with 1.5 ⁇ 10 13 atoms/cm 2 .
  • a sacrificial layer 44 is formed on portion 41 of strained silicon surface layer 18 .
  • Sacrificial layer 44 is, for example, silicon dioxide grown by thermal oxidation. Thermal oxidation parameters may include, for example, an oxygen ambient at atmospheric pressure at 900° C. for 30 minutes.
  • sacrificial layer 44 is silicon dioxide grown using a mixture of oxygen and hydrogen. During formation of sacrificial layer 44 , this layer consumes a part of the thickness of portion 41 of strained silicon surface layer 18 in region 30 .
  • sacrificial layer 44 typically builds up to a thickness T 7 of slightly more than twice a thickness T 8 of strained silicon surface layer 18 that is removed in region 30 by the growth of sacrificial layer 44 .
  • a thickness T 8 of 180 ⁇ of strained silicon surface layer 18 needs to be removed in first region 30 .
  • This strained silicon thickness T 8 can be consumed by growing sacrificial layer 44 having thickness T 7 of approximately 400 ⁇ .
  • portion 32 of masking nitride layer 22 in region 34 is removed by, for example, an RIE process.
  • portion 40 of pad oxide 20 and substantially all of sacrificial layer 44 are removed with an oxide etch selective to silicon, such as a hydrofluoric acid etch.
  • the removal of sacrificial layer 44 exposes thinned first region 41 of strained silicon surface layer 18 with initial thickness T 9 disposed over first substrate region 30 .
  • Thinned strained silicon surface layer first region 41 is proximate a second (unthinned) region 47 of strained silicon surface layer 18 in second substrate region 34 .
  • Initial thickness T 9 of thinned surface layer first region 41 is less than starting thickness T 4 of surface layer second region 47 , which remains substantially unmodified.
  • Initial thickness T 9 is selected to be relatively thin so as to, in a PMOS transistor, facilitate control by a gate voltage of hole transport in SiGe layer 16 and possibly in strained silicon surface layer 18 (see, e.g., PMOS transistor 59 in FIG. 8 ).
  • initial thickness T 9 of strained silicon surface layer 18 also has a minimum limit.
  • Strained silicon surface layer 18 including both thinned first region 41 and unthinned second region 47 , must be thick enough to enable subsequent growth of a gate dielectric 48 , such as a gate oxide, having satisfactory integrity.
  • a gate oxide with satisfactory integrity is one that has, for example, a relatively low interface state density, e.g., less than 1 ⁇ 10 11 eV ⁇ 1 cm ⁇ 2 , and/or a relatively low leakage current, e.g., ⁇ 10 nanoamperes/square micrometer (nA/ ⁇ m 2 ) to 1 microampere/square micron ( ⁇ A/ ⁇ m ) or even 10 ⁇ A/ ⁇ m 2 , preferably approximately 10-100 nA/ ⁇ m 2 at 100° C.
  • the leakage current may range from approximately 10-100 nA/ ⁇ m 2 .
  • Thermal oxidation of SiGe or deposition of oxide films on SiGe results in high interface state density (>1 ⁇ 10 11 ⁇ 1 ⁇ 10 12 eV ⁇ 1 cm ⁇ 2 ).
  • High interface state density at the semiconductor-insulator interface leads to undesirable shifts in threshold voltage and in extreme cases unacceptably large subthreshold slope. It is preferable, therefore, to grow gate oxide layers on silicon, rather than on SiGe.
  • a thin gate oxide layer with satisfactory integrity can be grown on strained silicon surface layer 18 having a thickness T 9 of approximately 10-20 ⁇ . In an embodiment, strained silicon surface layer 18 thickness T 9 is approximately 15 ⁇ .
  • initial thickness T 9 of thinned strained silicon surface layer 41 can therefore be approximately 15 ⁇ when the desired gate dielectric thickness is approximately 15 ⁇ .
  • T 9 can be selected as the final desired thickness, and gate dielectric layer 48 can be deposited rather than grown.
  • a gate dielectric layer 48 is formed on a top surface 50 of strained silicon surface layer 18 .
  • Gate dielectric layer 48 is, for example, a gate oxide with satisfactory integrity having a thickness T 10 of approximately 10-100 ⁇ . In an embodiment, gate dielectric layer 48 thickness T 10 is approximately 15 ⁇ . If the initial thickness T 9 of thinned strained silicon surface layer first region 41 is 15 ⁇ after removal of sacrificial layer 44 (see FIGS. 5 and 6 ), thinned strained silicon surface layer 41 has a lower final thickness T 11 after growth of dielectric layer 48 ; once again, an oxide layer grown on strained silicon surface layer 18 typically builds up to a thickness of slightly more than twice a thickness of strained silicon surface layer 18 that is removed in region 30 by the growth of the oxide layer.
  • Thinned strained silicon surface layer first region 41 final thickness T 11 is, for example, less than 10 ⁇ when gate dielectric layer 48 has a thickness T 10 of approximately 15 ⁇ and thinned strained silicon surface layer first region 41 initial thickness T 9 is 15 ⁇ .
  • Second strained silicon surface layer region 47 is also thinner after gate dielectric layer 48 growth. If strained silicon surface layer region 47 initial thickness T 4 is 200 ⁇ (see FIG. 1 ), after growth of gate dielectric layer 48 with thickness T 10 of, e.g., 15 ⁇ , strained silicon surface layer region 47 final thickness T 12 is approximately 192 ⁇ .
  • a conducting layer such as doped polysilicon, is deposited over gate dielectric layer 48 .
  • the conducting layer is patterned by, for example, photolithography and etching, to define a first gate 52 in first region 30 and a second gate 54 in second region 34 .
  • First gate 52 is, for example, a gate for a PMOS transistor 59 and second gate 54 is, for example, a gate for an NMOS transistor 64 .
  • a first source 56 and a first drain 58 (defined for purposes of illustration, by the interior boundaries) are formed in first region 30 , proximate first gate 52 .
  • First source 56 and first drain 58 can be formed by the implantation of p-type ions, such as boron.
  • PMOS transistor 59 includes first source 56 , first drain 58 , first gate 52 and a first dielectric layer portion 48 a .
  • a second source 60 and a second drain 62 are formed in second region 34 , proximate second gate 54 .
  • Second source 60 and second drain 62 can be formed by the implantation of n-type ions, such as phosphorus.
  • NMOS transistor 64 includes second source 60 , second drain 62 , second gate 54 , and a second dielectric layer portion 48 b.
  • an operating voltage bias 52 v is applied to first gate 52 .
  • the operating voltage 52 v modulates the movement of charge carriers in PMOS transistor 59 . More specifically, charge carriers 67 , e.g., holes travel through a compressed channel 66 in compressed SiGe layer 16 from first source 56 to first drain 58 .
  • the compressive strain of compressed SiGe layer 16 enhances the mobility of holes.
  • Final thickness T 11 of strained silicon surface layer first region 41 is sufficiently small so that the operating voltage 52 v applied to first gate 52 can modulate the movement of charge carriers 67 within compressed SiGe layer 16 , and without drawing a majority of the charge carriers into tensilely strained silicon surface layer first region 41 between first source 56 and first drain 58 .
  • the majority of carriers 67 remain in compressed channel 66 in compressed SiGe layer 16 , thereby retaining the benefits of enhanced performance resulting from greater carrier mobilities.
  • NMOS transistor 64 During operation of NMOS transistor 64 , an operating voltage 54 v is applied to second gate 54 .
  • Charge carriers 67 e.g., electrons travel through a strained channel 68 in strained silicon surface layer second region 47 from second source 60 to second drain 62 .
  • the strain of surface layer 18 enhances the mobility of electrons, and final thickness T 12 of strained silicon surface layer second region 47 is sufficiently high to confine the electrons in channel 68 .
  • a dual-channel CMOS device 70 includes PMOS transistor 59 and NMOS transistor 64 .
  • PMOS transistor 58 thinner thickness T 11 of strained silicon surface layer first region 41 allows modulation of carriers 67 , e.g., holes, in compressed channel 66 by bias 52 v applied to first gate 52 .
  • thicker thickness T 12 of strained silicon surface layer second region 47 provides an adequate volume for confinement of carriers 67 , e.g. electrons, in strained channel 68 .
  • an alternative layer structure 111 is provided on a substrate 100 .
  • Substrate 100 is a semiconductor, such as silicon.
  • An insulator layer 120 is disposed over substrate 100 .
  • Insulator layer 120 is made of an insulating material such as glass or silicon dioxide, and has a thickness T 13 of, e.g., 500-1500 ⁇ .
  • a relaxed SiGe layer 140 is disposed over insulator layer 120 .
  • Relaxed SiGe layer 140 contains, for example, 30% Ge and has a thickness T 14 of, e.g., 500 ⁇ .
  • a compressed SiGe layer 160 is disposed over relaxed SiGe layer 140 .
  • Compressed SiGe layer 160 contains, for example, 60% Ge and has a thickness T 15 within a range of, e.g., 20-200 ⁇ . In an embodiment, compressed SiGe layer 160 thickness T 15 is 100 ⁇ .
  • a strained silicon surface layer 180 is disposed over compressed SiGe layer 160 . Strained silicon surface layer 180 has a thickness T 16 within a range of, for example, 50-200 ⁇ . In an embodiment, strained silicon surface layer 180 thickness T 16 is 150 ⁇ .
  • a suitable substrate 100 with layers 111 can be produced using a combination of wafer bonding and ultrahigh vacuum chemical vapor deposition, as described, for example, by Cheng, et al., PCT Application No. PCT/JUS01/41680, International Publication Number WO 02/15244 A2, 2002, incorporated herein by reference, and Cheng et al., Journal of Electronic Materials, Vol. 30, No. 12, 2001, incorporated herein by reference.
  • Relaxed SiGe layer 140 is optional.
  • compressed SiGe layer 160 and strained silicon surface layer 180 can be provided directly onto insulator layer 120 by, e.g., wafer bonding.
  • strained silicon surface layer 180 is selectively thinned by, e.g., formation of a sacrificial oxide (not shown), as described above with reference to FIGS. 2-6 .
  • Strained silicon surface layer 180 has a first region 200 with a thickness T 17 , that is less than a thickness T 18 of a second region 210 of strained silicon surface layer 180 .
  • Substrate 100 with layers 111 is subsequently processed, as described above with reference to FIGS. 7-8 , to form, for example, a PMOS transistor (not shown) in first region 220 with thinned strained silicon surface layer 200 and an NMOS transistor (not shown) in second region 230 .
  • the described semiconductor structures can be fabricated on a substrate without a graded SiGe layer.
  • PMOS well formation can be performed either before or after patterning of pad oxide and masking nitride layers, either before or after the formation of the sacrificial oxide, and either before or after the removal of the sacrificial oxide.
  • Masking nitride can be removed by a wet etch, such as by a heated phosphoric acid bath.
  • Strained silicon layer can be selectively thinned by methods other than growth of a sacrificial oxide, such as by etching.
  • processing sequences such as cleaning steps can remove a thickness of exposed strained silicon.
  • the final thickness of thinned strained silicon surface layer first region and the final thickness of strained silicon surface layer region may, therefore, be affected by these additional process steps. These steps can be taken into consideration when calculating appropriate initial and final strained silicon thicknesses to obtain desired final thicknesses after the gate dielectric layer is formed.
  • Gate dielectric can be a material that is deposited, e.g., a high-k dielectric. In this embodiment, the exposed strained silicon layer will not be consumed during the gate dielectric formation process.
  • An NMOS device can be formed in a region having a thinner strained silicon layer than the strained silicon layer thickness in a region where a PMOS device is formed.
  • First source and first drain can be n-type, and second source and second drain can be p-type.
  • PMOS and NMOS devices can be fabricated on various alternative substrates, using methods described above.

Abstract

A semiconductor structure having a substrate with a surface layer including strained silicon. The surface layer has a first region with a first thickness less than a second thickness of a second region. A gate dielectric layer is disposed over a portion of at least the first surface layer region.

Description

    FIELD OF THE INVENTION
  • The present invention relates generally to semiconductor structures and particularly to semiconductor structures formed on strained semiconductor layers.
  • BACKGROUND
  • The recent development of silicon (Si) substrates with strained layers has increased the options available for design and fabrication of field-effect transistors (FETs). Enhanced performance of n-type metal-oxide-semiconductor (NMOS) transistors has been demonstrated with heterojunction metal-oxide-semiconductor field effect transistors (MOSFETs) built on substrates having strained silicon and relaxed silicon-germanium (SiGe) layers. Tensilely-strained silicon greatly enhances electron mobilities. NMOS devices with strained silicon surface channels, therefore, have improved performance with higher switching speeds. Hole mobilities are enhanced in tensilely-strained silicon as well, but to a lesser extent for strain levels less than approximately 1.5%. Equivalent enhancement of p-type metal-oxide-semiconductor (PMOS) device performance, therefore, in such surface-channel devices presents a challenge.
  • A structure that incorporates a compressively strained SiGe layer in tandem with a tensilely strained Si layer can provide greatly enhanced electron and hole mobilities. In this structure, electron transport typically occurs within a surface tensilely strained Si channel and hole transport occurs within the compressively strained SiGe layer below the Si layer. To support the fabrication of NMOS transistors as well as PMOS transistors on this structure, the surface tensilely strained Si layer has a typical thickness of 50-200 Ångstroms (Å) for providing a channel for conduction of electrons. If this layer is thinner than 50 Å, the beneficial mobility enhancement is significantly reduced because the electrons are no longer completely confined within the strained Si layer. Although some NMOS devices are operational with a strained silicon surface channel of only 50 Å, even this strained silicon layer thickness may be too thick to allow modulation of p-type carriers in a buried SiGe layer by an operating voltage applied to the gate of a PMOS transistor.
  • Complementary metal-oxide silicon (CMOS) circuit design is simplified if carrier mobilities are enhanced equally for both NMOS and PMOS devices. In conventional silicon-based devices, electron mobilities are approximately two times greater than hole mobilities. As noted, electron mobilities have been substantially increased with strained silicon. Methods for equally increasing hole and electron mobilities by forming dual-channel NMOS and PMOS devices on the same substrate are problematic, in part because of different surface strained-silicon thickness requirements for the two types of devices.
  • SUMMARY
  • In a dual-channel CMOS structure, electron transport takes place in a surface channel, e.g., a strained silicon layer with a thickness greater than 5 nanometers (nm). Hole transport occurs either in a buried channel, such as a buried compressed SiGe channel, or in both the strained silicon surface layer and the buried compressed SiGe layer. Hole mobility in this type of structure is improved because of a reduction in hole scattering due to sub-band splitting, and because of a reduction in hole effective mass, both of which are associated with transport in strained SiGe and strained Si.
  • In a MOSFET, having carriers such as holes close to the gate improves switching speeds. A thinned strained silicon layer above a PMOS channel facilitates control of hole transport by a voltage applied to a gate above the PMOS channel. If the strained silicon layer over the PMOS channel is too thick, the majority of carriers will be pulled closer to the surface from the buried channel. This configuration will result in a lack of device performance enhancement by the buried channel. Selectively thinning the strained silicon layer above a PMOS channel while maintaining a greater strained silicon thickness as an NMOS channel enables better control of hole transport in p-channel devices while simultaneously providing an adequate channel for electron transport in n-channel devices.
  • In an aspect, the invention features a semiconductor structure having a surface layer having strained silicon disposed over a substrate, the surface layer including a first region having a first thickness and a second region having a second thickness, the first thickness being less than the second thickness. The structure also includes a gate dielectric disposed over a portion of at least the first region of the surface layer.
  • One or more of the following features may also be included. The gate dielectric layer may be disposed over a portion of the second region of the surface layer. The gate dielectric layer thickness may be approximately 10-100 Å. The first thickness may be approximately 7-20 Å.
  • In another aspect, the invention features a semiconductor structure having a surface layer with strained silicon disposed over a substrate. A portion of the surface layer has a minimum thickness necessary for growing a silicon dioxide layer having satisfactory integrity.
  • One or more of the following features may also be included. The minimum surface layer thickness may be approximately 10-20 Å. The surface layer may be disposed over the underlying layer and the underlying layer may induce strain in the surface layer. The underlying layer may include germanium and/or silicon. The underlying layer may be disposed over an insulator layer.
  • In yet another aspect, a surface layer including strained silicon is disposed over a substrate, the surface layer including a first region having a first thickness and a second region having a second thickness, the first thickness being less than the second thickness. The first region has a first source and a first drain, with the first source and the first drain including a first type of dopant. The second region has a second source and a second drain, with the second source and the second drain including a second type of dopant.
  • One or more of the following features may also be included. The surface layer may include tensilely strained silicon. The first type of dopant may be p-type and the second type of dopant may be n-type. The substrate may include a region under compressive strain sharing an interface with the surface layer, the tensilely strained surface layer enhancing mobility of electrons and the compressively strained substrate region enhancing mobility of holes. A gate may be disposed above the surface layer, with the first thickness being sufficiently small such that application of an operating voltage to the gate modulates movement of charge carriers within the compressively strained substrate region, and a majority of the charge carriers populate the compressively strained substrate region. An insulator may be provided between the gate and the surface layer. The compressively strained substrate region may include silicon and/or germanium.
  • In another aspect, the invention features a method for forming a semiconductor structure. The method includes providing a substrate having a surface layer disposed thereon, the surface layer including strained silicon. A sacrificial layer is selectively formed in a portion of the surface layer. The sacrificial layer is selectively removed to define a first region of the surface layer having a first thickness proximate a second region of the surface layer having a second thickness, with the first thickness being less than the second thickness.
  • One or more of the following features may also be included. Prior to forming the sacrificial layer, a masking layer may be formed over the surface layer, and a portion of the masking layer removed to expose the portion of the surface layer. The sacrificial layer may subsequently be selectively formed in the portion of the surface layer exposed by the masking layer. Forming the masking layer may include forming a masking silicon nitride layer. Forming the masking layer may also include forming a pad silicon dioxide layer prior to forming the masking silicon nitride layer. A first source and a first drain may be formed in the first region of the surface layer, the first source and the first drain including a first type of dopant. A second source and a second drain may be formed in the second region of the surface layer, the second source and the second drain including a second type of dopant. The first type of dopant may be n-type and the second type of dopant may be p-type. The surface layer may be disposed over a relaxed layer. The relaxed layer may comprise germanium and/or silicon.
  • BRIEF DESCRIPTION OF DRAWINGS
  • FIGS. 1-8 are a series of schematic cross-sectional views of a semiconductor substrate illustrating a process for fabricating a semiconductor structure on the substrate; and
  • FIGS. 9-10 are schematic cross-sectional views of an alternative embodiment of a semiconductor structure fabricated on a substrate.
  • Like referenced features identify common features in corresponding drawings.
  • DETAILED DESCRIPTION
  • Referring to FIG. 1, which illustrates a structure amenable to use with the present invention, a substrate 10 is made of a semiconductor, such as silicon. Several layers collectively indicated at 11 are formed on substrate 10. In particular, a graded SiGe layer 12 is disposed over substrate 10. Graded SiGe layer 12 has a grading rate of, for example, 10% Ge per micron of thickness, and a thickness T1 of, for example, 2-5 microns. A relaxed SiGe layer 14 is disposed over graded SiGe layer 12. Relaxed SiGe layer 14 contains, for example, 20-50% Ge and has a thickness T2 of, e.g., 0.2-2 microns. A compressed SiGe layer 16, under compressive strain, is disposed over relaxed SiGe layer 14. Compressed SiGe layer 16 has a Ge content higher than the Ge content of relaxed SiGe layer 14. Compressed SiGe layer 16 contains, for example, 40-100% Ge and has a thickness T3 of, e.g., 10-200 Ångstroms (Å). In an embodiment, compressed SiGe layer 16 thickness T3 is approximately 100 Å. A tensilely strained silicon surface layer 18 is disposed over compressed SiGe layer 16, sharing an interface 19 with compressed SiGe layer 16. Strained silicon surface layer 18 has a starting thickness T4 of, for example, 50-300 Å. In an embodiment, starting thickness T4 is approximately 200 Å. A suitable substrate 10 with layers 11 can be readily obtained from, e.g., IQE Silicon Compounds, Ltd., UK.
  • Referring to FIG. 2, a first masking layer 20, such as a pad silicon dioxide layer, hereinafter referred to as pad oxide 20, is deposited over strained silicon surface layer 18 by a deposition method such as low-pressure chemical vapor deposition (LPCVD). Pad oxide 20 has a thickness T5 of, e.g., 100 Å. Subsequently, a second masking layer 22, such as a masking silicon nitride layer, hereinafter referred to as masking nitride 22, is deposited over pad oxide 20 by a deposition method such as plasma enhanced chemical vapor deposition (PECVD). Masking nitride 22 has a thickness T6 of, for example, 500-1000 Å.
  • Referring to FIG. 3, a photoresist layer is deposited over a top surface 24 of masking nitride 22 and patterned to form a photoresist mask 26. Photoresist mask 26 exposes top surface 24 of a first portion 28 of masking nitride 22 disposed over a first region 30 of substrate 10 and layers 11. A device such as a PMOS transistor will be formed in first region 30 with subsequent processing (see, e.g., PMOS transistor 59 in FIG. 8). Photoresist mask 26 covers top surface 24 of a second portion 32 of masking nitride 22 disposed over a second region 34 of substrate 10 and layers 11, including strained silicon surface layer 18. A device, such as an NMOS transistor, will be formed in second region 34 with subsequent processing (see, e.g., NMOS transistor 64 in FIG. 8).
  • Referring to FIG. 3 and also to FIG. 4, first masking nitride portion 28 and a first portion 38 of pad oxide 20 underneath first masking nitride portion 28 are both removed, leaving behind second masking nitride portion 32 and a second portion 40 of pad oxide 20 that are protected by photoresist mask 26. Specifically, exposed first masking nitride portion 28 may be removed by a removal process such as a reactive ion etch (RIE) using gases such as a combination of nitrogen trifluoride, ammonia, and oxygen, or a combination of hydrogen bromide, chlorine, and oxygen. First pad oxide portion 38 may be removed by a wet etch that is selective to silicon, such as a hydrofluoric acid etch. The removal of pad oxide portion 38 exposes a portion 41 of strained silicon surface layer 18. Ions are introduced into areas not covered by photoresist mask 26, including first region 30, to form a well 36, defined, for purposes of illustration, by the boundary 36 b. For example, n-type ions, such as phosphorus, are implanted to form well 36 for a PMOS transistor. The dosage and energy of the phosphorus ion implantation is, for example, 400 keV with 1.5×1013 atoms/cm2. After the selective removal of first portions 28, 38 of masking nitride 22 and pad oxide 20 and the formation of well 36, photoresist mask 26 is removed by a stripping process such as a dry strip in an oxygen plasma.
  • Referring to FIG. 5, a sacrificial layer 44 is formed on portion 41 of strained silicon surface layer 18. Sacrificial layer 44 is, for example, silicon dioxide grown by thermal oxidation. Thermal oxidation parameters may include, for example, an oxygen ambient at atmospheric pressure at 900° C. for 30 minutes. In an alternative embodiment, sacrificial layer 44 is silicon dioxide grown using a mixture of oxygen and hydrogen. During formation of sacrificial layer 44, this layer consumes a part of the thickness of portion 41 of strained silicon surface layer 18 in region 30. In an embodiment in which sacrificial layer 44 is silicon dioxide, sacrificial layer 44 typically builds up to a thickness T7 of slightly more than twice a thickness T8 of strained silicon surface layer 18 that is removed in region 30 by the growth of sacrificial layer 44. For example, if strained silicon surface layer 18 has a starting thickness T4 of 200 Å, and thinned first region 41 of strained silicon surface layer 18 with an initial thickness T9 of, for example, 20 Å, is desired in first region 30, a thickness T8 of 180 Å of strained silicon surface layer 18 needs to be removed in first region 30. This strained silicon thickness T8 can be consumed by growing sacrificial layer 44 having thickness T7 of approximately 400 Å.
  • Referring to FIG. 5 and also to FIG. 6, portion 32 of masking nitride layer 22 in region 34 is removed by, for example, an RIE process. Subsequently, portion 40 of pad oxide 20 and substantially all of sacrificial layer 44 are removed with an oxide etch selective to silicon, such as a hydrofluoric acid etch. The removal of sacrificial layer 44 exposes thinned first region 41 of strained silicon surface layer 18 with initial thickness T9 disposed over first substrate region 30. Thinned strained silicon surface layer first region 41 is proximate a second (unthinned) region 47 of strained silicon surface layer 18 in second substrate region 34. Initial thickness T9 of thinned surface layer first region 41 is less than starting thickness T4 of surface layer second region 47, which remains substantially unmodified. Initial thickness T9 is selected to be relatively thin so as to, in a PMOS transistor, facilitate control by a gate voltage of hole transport in SiGe layer 16 and possibly in strained silicon surface layer 18 (see, e.g., PMOS transistor 59 in FIG. 8). Referring still to FIGS. 5 and 6 and also to FIG. 7, initial thickness T9 of strained silicon surface layer 18 also has a minimum limit. Strained silicon surface layer 18, including both thinned first region 41 and unthinned second region 47, must be thick enough to enable subsequent growth of a gate dielectric 48, such as a gate oxide, having satisfactory integrity. For purposes hereof, a gate oxide with satisfactory integrity is one that has, for example, a relatively low interface state density, e.g., less than 1×1011 eV−1 cm−2, and/or a relatively low leakage current, e.g., <10 nanoamperes/square micrometer (nA/μm2) to 1 microampere/square micron (μA/μm ) or even 10 μA/μm2, preferably approximately 10-100 nA/μm2 at 100° C. In some preferred embodiments, the leakage current may range from approximately 10-100 nA/μm2. Thermal oxidation of SiGe or deposition of oxide films on SiGe results in high interface state density (>1×1011−1×1012 eV−1 cm−2). High interface state density at the semiconductor-insulator interface leads to undesirable shifts in threshold voltage and in extreme cases unacceptably large subthreshold slope. It is preferable, therefore, to grow gate oxide layers on silicon, rather than on SiGe. A thin gate oxide layer with satisfactory integrity can be grown on strained silicon surface layer 18 having a thickness T9 of approximately 10-20 Å. In an embodiment, strained silicon surface layer 18 thickness T9 is approximately 15 Å. Gate oxide, when grown on silicon, consumes a silicon thickness equal to approximately one-half of the thickness of the gate oxide grown. Leaving a margin for error, initial thickness T9 of thinned strained silicon surface layer 41 can therefore be approximately 15 Å when the desired gate dielectric thickness is approximately 15 Å. Alternatively, T9 can be selected as the final desired thickness, and gate dielectric layer 48 can be deposited rather than grown.
  • A gate dielectric layer 48 is formed on a top surface 50 of strained silicon surface layer 18. Gate dielectric layer 48 is, for example, a gate oxide with satisfactory integrity having a thickness T10 of approximately 10-100 Å. In an embodiment, gate dielectric layer 48 thickness T10 is approximately 15 Å. If the initial thickness T9 of thinned strained silicon surface layer first region 41 is 15 Å after removal of sacrificial layer 44 (see FIGS. 5 and 6), thinned strained silicon surface layer 41 has a lower final thickness T11 after growth of dielectric layer 48; once again, an oxide layer grown on strained silicon surface layer 18 typically builds up to a thickness of slightly more than twice a thickness of strained silicon surface layer 18 that is removed in region 30 by the growth of the oxide layer. Thinned strained silicon surface layer first region 41 final thickness T11 is, for example, less than 10 Å when gate dielectric layer 48 has a thickness T10 of approximately 15 Å and thinned strained silicon surface layer first region 41 initial thickness T9 is 15 Å. Second strained silicon surface layer region 47 is also thinner after gate dielectric layer 48 growth. If strained silicon surface layer region 47 initial thickness T4 is 200 Å (see FIG. 1), after growth of gate dielectric layer 48 with thickness T10 of, e.g., 15 Å, strained silicon surface layer region 47 final thickness T12 is approximately 192 Å.
  • Referring to FIG. 8, a conducting layer, such as doped polysilicon, is deposited over gate dielectric layer 48. The conducting layer is patterned by, for example, photolithography and etching, to define a first gate 52 in first region 30 and a second gate 54 in second region 34. First gate 52 is, for example, a gate for a PMOS transistor 59 and second gate 54 is, for example, a gate for an NMOS transistor 64. A first source 56 and a first drain 58 (defined for purposes of illustration, by the interior boundaries) are formed in first region 30, proximate first gate 52. First source 56 and first drain 58 can be formed by the implantation of p-type ions, such as boron. PMOS transistor 59 includes first source 56, first drain 58, first gate 52 and a first dielectric layer portion 48 a. A second source 60 and a second drain 62 are formed in second region 34, proximate second gate 54. Second source 60 and second drain 62 can be formed by the implantation of n-type ions, such as phosphorus. NMOS transistor 64 includes second source 60, second drain 62, second gate 54, and a second dielectric layer portion 48 b.
  • During operation of PMOS transistor 59, an operating voltage bias 52 v is applied to first gate 52. The operating voltage 52 v modulates the movement of charge carriers in PMOS transistor 59. More specifically, charge carriers 67, e.g., holes travel through a compressed channel 66 in compressed SiGe layer 16 from first source 56 to first drain 58. The compressive strain of compressed SiGe layer 16 enhances the mobility of holes. Final thickness T11 of strained silicon surface layer first region 41 is sufficiently small so that the operating voltage 52 v applied to first gate 52 can modulate the movement of charge carriers 67 within compressed SiGe layer 16, and without drawing a majority of the charge carriers into tensilely strained silicon surface layer first region 41 between first source 56 and first drain 58. The majority of carriers 67 remain in compressed channel 66 in compressed SiGe layer 16, thereby retaining the benefits of enhanced performance resulting from greater carrier mobilities.
  • During operation of NMOS transistor 64, an operating voltage 54 v is applied to second gate 54. Charge carriers 67, e.g., electrons travel through a strained channel 68 in strained silicon surface layer second region 47 from second source 60 to second drain 62. The strain of surface layer 18 enhances the mobility of electrons, and final thickness T12 of strained silicon surface layer second region 47 is sufficiently high to confine the electrons in channel 68.
  • A dual-channel CMOS device 70 includes PMOS transistor 59 and NMOS transistor 64. In PMOS transistor 58, thinner thickness T11 of strained silicon surface layer first region 41 allows modulation of carriers 67, e.g., holes, in compressed channel 66 by bias 52 v applied to first gate 52. In adjacent NMOS transistor 64, thicker thickness T12 of strained silicon surface layer second region 47 provides an adequate volume for confinement of carriers 67, e.g. electrons, in strained channel 68.
  • Referring to FIG. 9, in an embodiment, an alternative layer structure 111 is provided on a substrate 100. Substrate 100 is a semiconductor, such as silicon. An insulator layer 120 is disposed over substrate 100. Insulator layer 120 is made of an insulating material such as glass or silicon dioxide, and has a thickness T13 of, e.g., 500-1500 Å. A relaxed SiGe layer 140 is disposed over insulator layer 120. Relaxed SiGe layer 140 contains, for example, 30% Ge and has a thickness T14 of, e.g., 500 Å. A compressed SiGe layer 160 is disposed over relaxed SiGe layer 140. Compressed SiGe layer 160 contains, for example, 60% Ge and has a thickness T15 within a range of, e.g., 20-200 Å. In an embodiment, compressed SiGe layer 160 thickness T15 is 100 Å. A strained silicon surface layer 180 is disposed over compressed SiGe layer 160. Strained silicon surface layer 180 has a thickness T16 within a range of, for example, 50-200 Å. In an embodiment, strained silicon surface layer 180 thickness T16 is 150 Å. A suitable substrate 100 with layers 111, also called a SiGe-on-insulator (SGOI) substrate, can be produced using a combination of wafer bonding and ultrahigh vacuum chemical vapor deposition, as described, for example, by Cheng, et al., PCT Application No. PCT/JUS01/41680, International Publication Number WO 02/15244 A2, 2002, incorporated herein by reference, and Cheng et al., Journal of Electronic Materials, Vol. 30, No. 12, 2001, incorporated herein by reference. Relaxed SiGe layer 140 is optional. In an alternative embodiment, compressed SiGe layer 160 and strained silicon surface layer 180 can be provided directly onto insulator layer 120 by, e.g., wafer bonding.
  • Referring to FIG. 10, strained silicon surface layer 180 is selectively thinned by, e.g., formation of a sacrificial oxide (not shown), as described above with reference to FIGS. 2-6. Strained silicon surface layer 180 has a first region 200 with a thickness T17, that is less than a thickness T18 of a second region 210 of strained silicon surface layer 180. Substrate 100 with layers 111 is subsequently processed, as described above with reference to FIGS. 7-8, to form, for example, a PMOS transistor (not shown) in first region 220 with thinned strained silicon surface layer 200 and an NMOS transistor (not shown) in second region 230.
  • While the invention has been particularly shown and described with reference to specific embodiments, it should be understood by those skilled in the art that various changes in form and detail may be made therein without departing from the spirit and scope of the invention as defined by the following claims. For example, the described semiconductor structures can be fabricated on a substrate without a graded SiGe layer. PMOS well formation can be performed either before or after patterning of pad oxide and masking nitride layers, either before or after the formation of the sacrificial oxide, and either before or after the removal of the sacrificial oxide. Masking nitride can be removed by a wet etch, such as by a heated phosphoric acid bath. Strained silicon layer can be selectively thinned by methods other than growth of a sacrificial oxide, such as by etching.
  • It is noted that various processing sequences such as cleaning steps can remove a thickness of exposed strained silicon. The final thickness of thinned strained silicon surface layer first region and the final thickness of strained silicon surface layer region may, therefore, be affected by these additional process steps. These steps can be taken into consideration when calculating appropriate initial and final strained silicon thicknesses to obtain desired final thicknesses after the gate dielectric layer is formed.
  • Gate dielectric can be a material that is deposited, e.g., a high-k dielectric. In this embodiment, the exposed strained silicon layer will not be consumed during the gate dielectric formation process.
  • An NMOS device can be formed in a region having a thinner strained silicon layer than the strained silicon layer thickness in a region where a PMOS device is formed. First source and first drain can be n-type, and second source and second drain can be p-type. PMOS and NMOS devices can be fabricated on various alternative substrates, using methods described above.
  • The invention may be embodied in other specific forms without departing from the spirit or essential characteristics thereof. The foregoing embodiments are therefore to be considered in all respects illustrative rather than limiting on the invention described herein. Scope of the invention is thus indicated by the appended claims rather than by the foregoing description, and all changes which come within the meaning and range of equivalency of the claims are intended to be embraced therein.

Claims (20)

1.-24. (canceled)
25. A method for forming a semiconductor structure, the method comprising the steps of:
providing a substrate having a surface layer disposed thereon, the surface layer comprising strained silicon;
selectively forming a sacrificial layer in a portion of the surface layer; and
substantially removing the sacrificial layer to define a first region of the surface layer having a first thickness and a second region of the surface layer having a second thickness,
wherein the first thickness is less than the second thickness.
26. The method of claim 25 further comprising:
prior to forming the sacrificial layer, forming a masking layer over the surface layer; and
removing a portion of the masking layer to expose the portion of the surface layer,
wherein the sacrificial layer is subsequently selectively formed in the portion of the surface layer exposed by the masking layer.
27. The method of claim 26, wherein forming the masking layer comprises forming a masking silicon nitride layer.
28. The method of claim 27, wherein forming the masking layer comprises forming a pad silicon dioxide layer prior to forming the masking silicon nitride layer.
29. The method of claim 25 further comprising:
forming a first source and a first drain in the first region of the surface layer, the first source and the first drain including a first type of dopant; and
forming a second source and a second drain in the second region of the surface layer, the second source and the second drain including a second type of dopant.
30. The method of claim 29, wherein the first type of dopant is n-type and the second type of dopant is p-type.
31. The method of claim 29, wherein the first type of dopant is p-type and the second type of dopant is n-type.
32. The method of claim 25, wherein the surface layer is disposed over a relaxed layer.
33. The method of claim 32, wherein the relaxed layer comprises germanium.
34. The method of claim 32, wherein the relaxed layer comprises silicon.
35. The method of claim 25, wherein the surface layer is disposed over an insulator layer.
36. The method of claim 25, wherein the surface layer is disposed over a compressively strained layer.
37. The method of claim 36, wherein the compressively strained layer comprises SiGe.
38. The method of claim 36, wherein the compressively strained layer is disposed over an insulator layer.
39. The method of claim 25 further comprising forming a gate dielectric over the first and second regions of the surface layer.
40. The method of claim 39, wherein forming the gate dielectric comprises oxidation.
41. The method of claim 39, wherein forming the gate dielectric comprises deposition.
42. The method of claim 41, wherein the gate dielectric comprises a high-k dielectric.
43. The method of claim 25, wherein providing the substrate comprises wafer bonding.
US11/498,521 2001-08-09 2006-08-03 Methods for forming semiconductor structures with differential surface layer thicknesses Abandoned US20060266997A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/498,521 US20060266997A1 (en) 2001-08-09 2006-08-03 Methods for forming semiconductor structures with differential surface layer thicknesses

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US31118801P 2001-08-09 2001-08-09
US10/164,665 US7138649B2 (en) 2001-08-09 2002-06-07 Dual-channel CMOS transistors with differentially strained channels
US11/498,521 US20060266997A1 (en) 2001-08-09 2006-08-03 Methods for forming semiconductor structures with differential surface layer thicknesses

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/164,665 Continuation US7138649B2 (en) 2001-08-09 2002-06-07 Dual-channel CMOS transistors with differentially strained channels

Publications (1)

Publication Number Publication Date
US20060266997A1 true US20060266997A1 (en) 2006-11-30

Family

ID=29710253

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/164,665 Expired - Lifetime US7138649B2 (en) 2001-08-09 2002-06-07 Dual-channel CMOS transistors with differentially strained channels
US11/498,521 Abandoned US20060266997A1 (en) 2001-08-09 2006-08-03 Methods for forming semiconductor structures with differential surface layer thicknesses

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/164,665 Expired - Lifetime US7138649B2 (en) 2001-08-09 2002-06-07 Dual-channel CMOS transistors with differentially strained channels

Country Status (3)

Country Link
US (2) US7138649B2 (en)
AU (1) AU2003237322A1 (en)
WO (1) WO2003105221A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050151164A1 (en) * 2001-06-21 2005-07-14 Amberwave Systems Corporation Enhancement of p-type metal-oxide-semiconductor field effect transistors
US20080128747A1 (en) * 2001-06-18 2008-06-05 Lee Minjoo L STRUCTURE AND METHOD FOR A HIGH-SPEED SEMICONDUCTOR DEVICE HAVING A Ge CHANNEL LAYER

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6730551B2 (en) * 2001-08-06 2004-05-04 Massachusetts Institute Of Technology Formation of planar strained layers
US6974735B2 (en) * 2001-08-09 2005-12-13 Amberwave Systems Corporation Dual layer Semiconductor Devices
WO2003105204A2 (en) * 2002-06-07 2003-12-18 Amberwave Systems Corporation Semiconductor devices having strained dual channel layers
US6936506B1 (en) 2003-05-22 2005-08-30 Advanced Micro Devices, Inc. Strained-silicon devices with different silicon thicknesses
US7223679B2 (en) 2003-12-24 2007-05-29 Intel Corporation Transistor gate electrode having conductor material layer
US20050186722A1 (en) * 2004-02-25 2005-08-25 Kuan-Lun Cheng Method and structure for CMOS device with stress relaxed by ion implantation of carbon or oxygen containing ions
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050266632A1 (en) * 2004-05-26 2005-12-01 Yun-Hsiu Chen Integrated circuit with strained and non-strained transistors, and method of forming thereof
WO2005119762A1 (en) * 2004-05-27 2005-12-15 Massachusetts Institute Of Technology Single metal gate material cmos using strained si-silicon germanium heterojunction layered substrate
US7172930B2 (en) * 2004-07-02 2007-02-06 International Business Machines Corporation Strained silicon-on-insulator by anodization of a buried p+ silicon germanium layer
US7582947B2 (en) * 2005-10-05 2009-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. High performance device design
US7608489B2 (en) * 2006-04-28 2009-10-27 International Business Machines Corporation High performance stress-enhance MOSFET and method of manufacture
US8558278B2 (en) 2007-01-16 2013-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Strained transistor with optimized drive current and method of forming
US20090191468A1 (en) * 2008-01-29 2009-07-30 International Business Machines Corporation Contact Level Mask Layouts By Introducing Anisotropic Sub-Resolution Assist Features
US8211786B2 (en) * 2008-02-28 2012-07-03 International Business Machines Corporation CMOS structure including non-planar hybrid orientation substrate with planar gate electrodes and method for fabrication
US7943961B2 (en) 2008-03-13 2011-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strain bars in stressed layers of MOS devices
US20090250760A1 (en) * 2008-04-02 2009-10-08 International Business Machines Corporation Methods of forming high-k/metal gates for nfets and pfets
US7964487B2 (en) * 2008-06-04 2011-06-21 International Business Machines Corporation Carrier mobility enhanced channel devices and method of manufacture
US7975246B2 (en) * 2008-08-14 2011-07-05 International Business Machines Corporation MEEF reduction by elongation of square shapes
US7808051B2 (en) 2008-09-29 2010-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell without OD space effect in Y-direction
US7994002B2 (en) 2008-11-24 2011-08-09 Applied Materials, Inc. Method and apparatus for trench and via profile modification
US20130237046A1 (en) * 2012-03-09 2013-09-12 Chien-Ting Lin Semiconductor process
US20130285117A1 (en) * 2012-04-27 2013-10-31 International Business Machines Corporation CMOS WITH SiGe CHANNEL PFETs AND METHOD OF FABRICATION
US8680576B2 (en) * 2012-05-16 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device and method of forming the same
KR102021765B1 (en) 2013-06-17 2019-09-17 삼성전자 주식회사 Semiconductor Device
KR20160067640A (en) * 2014-12-04 2016-06-14 삼성전자주식회사 Semiconductor device having heterostructure and method of forming the same
US10529738B2 (en) * 2016-04-28 2020-01-07 Globalfoundries Singapore Pte. Ltd. Integrated circuits with selectively strained device regions and methods for fabricating same
US9865681B1 (en) * 2017-03-08 2018-01-09 Globalfoundries Inc. Nanowire transistors having multiple threshold voltages
US11670637B2 (en) * 2019-02-19 2023-06-06 Intel Corporation Logic circuit with indium nitride quantum well
US11183429B2 (en) * 2019-03-25 2021-11-23 Samsung Electronics Co., Ltd. Method of manufacturing a semiconductor device including forming a gate insulating material layer on a protection layer and removing the gate insulation material layer and the protection layer on the first region

Citations (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4497683A (en) * 1982-05-03 1985-02-05 At&T Bell Laboratories Process for producing dielectrically isolated silicon devices
US4692992A (en) * 1986-06-25 1987-09-15 Rca Corporation Method of forming isolation regions in a semiconductor device
US4920076A (en) * 1988-04-15 1990-04-24 The United States Of America As Represented By The United States Department Of Energy Method for enhancing growth of SiO2 in Si by the implantation of germanium
US4990979A (en) * 1988-05-13 1991-02-05 Eurosil Electronic Gmbh Non-volatile memory cell
US5079447A (en) * 1990-03-20 1992-01-07 Integrated Device Technology BiCMOS gates with improved driver stages
US5089872A (en) * 1990-04-27 1992-02-18 North Carolina State University Selective germanium deposition on silicon and resulting structures
US5241197A (en) * 1989-01-25 1993-08-31 Hitachi, Ltd. Transistor provided with strained germanium layer
US5242847A (en) * 1992-07-27 1993-09-07 North Carolina State University At Raleigh Selective deposition of doped silion-germanium alloy on semiconductor substrate
US5291439A (en) * 1991-09-12 1994-03-01 International Business Machines Corporation Semiconductor memory cell and memory array with inversion layer
US5312766A (en) * 1991-03-06 1994-05-17 National Semiconductor Corporation Method of providing lower contact resistance in MOS transistors
US5327375A (en) * 1988-07-08 1994-07-05 Eliyahou Harari DRAM cell utilizing novel capacitor
US5442205A (en) * 1991-04-24 1995-08-15 At&T Corp. Semiconductor heterostructure devices with strained semiconductor layers
US5523592A (en) * 1993-02-03 1996-06-04 Hitachi, Ltd. Semiconductor optical device, manufacturing method for the same, and opto-electronic integrated circuit using the same
US5534713A (en) * 1994-05-20 1996-07-09 International Business Machines Corporation Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers
US5596527A (en) * 1992-12-07 1997-01-21 Nippon Steel Corporation Electrically alterable n-bit per cell non-volatile memory with reference cells
US5617351A (en) * 1992-03-12 1997-04-01 International Business Machines Corporation Three-dimensional direct-write EEPROM arrays and fabrication methods
US5739567A (en) * 1992-11-02 1998-04-14 Wong; Chun Chiu D. Highly compact memory device with nonvolatile vertical transistor memory cell
US5777347A (en) * 1995-03-07 1998-07-07 Hewlett-Packard Company Vertical CMOS digital multi-valued restoring logic device
US5780922A (en) * 1996-11-27 1998-07-14 The Regents Of The University Of California Ultra-low phase noise GE MOSFETs
US5786612A (en) * 1995-10-25 1998-07-28 Mitsubishi Denki Kabushiki Kaisha Semiconductor device comprising trench EEPROM
US5792679A (en) * 1993-08-30 1998-08-11 Sharp Microelectronics Technology, Inc. Method for forming silicon-germanium/Si/silicon dioxide heterostructure using germanium implant
US5808344A (en) * 1996-12-13 1998-09-15 International Business Machines Corporation Single-transistor logic and CMOS inverters
US5891769A (en) * 1997-04-07 1999-04-06 Motorola, Inc. Method for forming a semiconductor device having a heteroepitaxial layer
US5906951A (en) * 1997-04-30 1999-05-25 International Business Machines Corporation Strained Si/SiGe layers on insulator
US5951757A (en) * 1997-05-06 1999-09-14 The United States Of America As Represented By The Secretary Of The Navy Method for making silicon germanium alloy and electric device structures
US6013134A (en) * 1998-02-18 2000-01-11 International Business Machines Corporation Advance integrated chemical vapor deposition (AICVD) for semiconductor devices
US6058044A (en) * 1997-12-10 2000-05-02 Kabushiki Kaisha Toshiba Shielded bit line sensing scheme for nonvolatile semiconductor memory
US6096590A (en) * 1996-07-18 2000-08-01 International Business Machines Corporation Scalable MOS field effect transistor
US6107653A (en) * 1997-06-24 2000-08-22 Massachusetts Institute Of Technology Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization
US6111267A (en) * 1997-05-13 2000-08-29 Siemens Aktiengesellschaft CMOS integrated circuit including forming doped wells, a layer of intrinsic silicon, a stressed silicon germanium layer where germanium is between 25 and 50%, and another intrinsic silicon layer
US6117750A (en) * 1997-12-29 2000-09-12 France Telecom Process for obtaining a layer of single-crystal germanium or silicon on a substrate of single-crystal silicon or germanium, respectively
US6204529B1 (en) * 1999-08-27 2001-03-20 Hsing Lan Lung 8 bit per cell non-volatile semiconductor memory structure utilizing trench technology and dielectric floating gate
US6207977B1 (en) * 1995-06-16 2001-03-27 Interuniversitaire Microelektronica Vertical MISFET devices
US6228694B1 (en) * 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
US6235568B1 (en) * 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
US20010003364A1 (en) * 1998-05-27 2001-06-14 Sony Corporation Semiconductor and fabrication method thereof
US6249022B1 (en) * 1999-10-22 2001-06-19 United Microelectronics Corp. Trench flash memory with nitride spacers for electron trapping
US6251755B1 (en) * 1999-04-22 2001-06-26 International Business Machines Corporation High resolution dopant/impurity incorporation in semiconductors via a scanned atomic force probe
US6266278B1 (en) * 1999-06-30 2001-07-24 Sandisk Corporation Dual floating gate EEPROM cell array with steering gates shared adjacent cells
US6281532B1 (en) * 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6339232B1 (en) * 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
US6350993B1 (en) * 1999-03-12 2002-02-26 International Business Machines Corporation High speed composite p-channel Si/SiGe heterostructure for field effect devices
US20020063292A1 (en) * 2000-11-29 2002-05-30 Mark Armstrong CMOS fabrication process utilizing special transistor orientation
US6399970B2 (en) * 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US6407406B1 (en) * 1998-06-30 2002-06-18 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6413636B1 (en) * 1996-06-27 2002-07-02 Mark A. Andrews Protective yarn
US20020100942A1 (en) * 2000-12-04 2002-08-01 Fitzgerald Eugene A. CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6437375B1 (en) * 2000-06-05 2002-08-20 Micron Technology, Inc. PD-SOI substrate with suppressed floating body effect and method for its fabrication
US20020125497A1 (en) * 2001-03-02 2002-09-12 Fitzgerald Eugene A. Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US20020125471A1 (en) * 2000-12-04 2002-09-12 Fitzgerald Eugene A. CMOS inverter circuits utilizing strained silicon surface channel MOSFETS
US20030013323A1 (en) * 2001-06-14 2003-01-16 Richard Hammond Method of selective removal of SiGe alloys
US20030052334A1 (en) * 2001-06-18 2003-03-20 Lee Minjoo L. Structure and method for a high-speed semiconductor device
US20030057439A1 (en) * 2001-08-09 2003-03-27 Fitzgerald Eugene A. Dual layer CMOS devices
US6555839B2 (en) * 2000-05-26 2003-04-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US6563152B2 (en) * 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US6583437B2 (en) * 2000-03-17 2003-06-24 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6593625B2 (en) * 2001-06-12 2003-07-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
US6593641B1 (en) * 2001-03-02 2003-07-15 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6600170B1 (en) * 2001-12-17 2003-07-29 Advanced Micro Devices, Inc. CMOS with strained silicon channel NMOS and silicon germanium channel PMOS
US6603156B2 (en) * 2001-03-31 2003-08-05 International Business Machines Corporation Strained silicon on insulator structures
US6605498B1 (en) * 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material
US6620664B2 (en) * 2002-02-07 2003-09-16 Sharp Laboratories Of America, Inc. Silicon-germanium MOSFET with deposited gate dielectric and metal gate electrode and method for making the same
US6677192B1 (en) * 2001-03-02 2004-01-13 Amberwave Systems Corporation Method of fabricating a relaxed silicon germanium platform having planarizing for high speed CMOS electronics and high speed analog circuits
US20040007724A1 (en) * 2002-07-12 2004-01-15 Anand Murthy Process for ultra-thin body SOI devices that incorporate EPI silicon tips and article made thereby
US20040014276A1 (en) * 2002-07-16 2004-01-22 Murthy Anand S. Method of making a semiconductor transistor
US20040026765A1 (en) * 2002-06-07 2004-02-12 Amberwave Systems Corporation Semiconductor devices having strained dual channel layers
US6693641B1 (en) * 2000-05-25 2004-02-17 Intel Corporation Calculating display mode values
US6703648B1 (en) * 2002-10-29 2004-03-09 Advanced Micro Devices, Inc. Strained silicon PMOS having silicon germanium source/drain extensions and method for its fabrication
US6703688B1 (en) * 2001-03-02 2004-03-09 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6723661B2 (en) * 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6730551B2 (en) * 2001-08-06 2004-05-04 Massachusetts Institute Of Technology Formation of planar strained layers
US6737670B2 (en) * 2000-08-16 2004-05-18 Massachusetts Institute Of Technology Semiconductor substrate structure
US6743684B2 (en) * 2002-10-11 2004-06-01 Texas Instruments Incorporated Method to produce localized halo for MOS transistor
US20040119101A1 (en) * 2002-12-23 2004-06-24 Gerhard Schrom Contact layout for MOSFETs under tensile strain
US20050017236A1 (en) * 1999-03-30 2005-01-27 Hitachi, Ltd. Semiconductor device and semiconductor substrate
US6861318B2 (en) * 2001-11-01 2005-03-01 Intel Corporation Semiconductor transistor having a stressed channel
US6916694B2 (en) * 2003-08-28 2005-07-12 International Business Machines Corporation Strained silicon-channel MOSFET using a damascene gate process
US6916727B2 (en) * 2001-06-21 2005-07-12 Massachusetts Institute Of Technology Enhancement of P-type metal-oxide-semiconductor field effect transistors
US6921913B2 (en) * 2003-03-04 2005-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel transistor structure with lattice-mismatched zone
US7001837B2 (en) * 2003-01-17 2006-02-21 Advanced Micro Devices, Inc. Semiconductor with tensile strained substrate and method of making the same
US20060071285A1 (en) * 2004-09-29 2006-04-06 Suman Datta Inducing strain in the channels of metal gate transistors
US7033869B1 (en) * 2004-01-13 2006-04-25 Advanced Micro Devices Strained silicon semiconductor on insulator MOSFET
US7083998B2 (en) * 1998-03-02 2006-08-01 International Business Machines Corporation Si/SiGe optoelectronic integrated circuits
US7161206B2 (en) * 2004-04-12 2007-01-09 Samsung Electronics Co., Ltd. Non-volatile memory devices
US7163853B2 (en) * 2005-02-09 2007-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a capacitor and a metal gate on a semiconductor device
US7176537B2 (en) * 2005-05-23 2007-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. High performance CMOS with metal-gate and Schottky source/drain
US20070080409A1 (en) * 2005-10-12 2007-04-12 Seliskar John J Mixed-signal semiconductor platform incorporating fully-depleted castellated-gate MOSFET device and method of manufacture thereof
US7238989B2 (en) * 2003-03-07 2007-07-03 Taiwan Semiconductor Manufacturing Company, Ltd. Strain balanced structure with a tensile strained silicon channel and a compressive strained silicon-germanium channel for CMOS performance enhancement

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3542482A1 (en) 1985-11-30 1987-06-04 Licentia Gmbh MODULATION-Doped FIELD EFFECT TRANSISTOR
JPS63122176A (en) 1986-11-11 1988-05-26 Nippon Telegr & Teleph Corp <Ntt> Semiconductor device and its manufacture
DE4101167A1 (en) 1991-01-17 1992-07-23 Daimler Benz Ag CMOS FET circuit layout - has common gate and drain electrodes in vertical or lateral configuration
JPH04307974A (en) 1991-04-05 1992-10-30 Sharp Corp Electrically erasable nonvolatile semiconductor storage device
JP3494458B2 (en) 1993-10-05 2004-02-09 沖電気工業株式会社 Semiconductor nonvolatile memory device and method of manufacturing the same
US5461243A (en) * 1993-10-29 1995-10-24 International Business Machines Corporation Substrate for tensilely strained semiconductor
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
DE19533313A1 (en) 1995-09-08 1997-03-13 Max Planck Gesellschaft Semiconductor transistor device structure for e.g. CMOS FET
US5847419A (en) 1996-09-17 1998-12-08 Kabushiki Kaisha Toshiba Si-SiGe semiconductor device and method of fabricating the same
DE59707274D1 (en) 1996-09-27 2002-06-20 Infineon Technologies Ag Integrated CMOS circuit arrangement and method for its production
EP0844651A1 (en) 1996-11-26 1998-05-27 Xerox Corporation Method of controlling oxidation in multilayer semiconductor structure comprising Group III elements
US5936274A (en) 1997-07-08 1999-08-10 Micron Technology, Inc. High density flash memory
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
JP3059145B2 (en) 1997-12-12 2000-07-04 松下電子工業株式会社 Nonvolatile semiconductor memory device and driving method thereof
JP3762221B2 (en) 1998-04-10 2006-04-05 マサチューセッツ・インスティテュート・オブ・テクノロジー Silicon germanium etch stop layer system
US6130453A (en) 1999-01-04 2000-10-10 International Business Machines Corporation Flash memory structure with floating gate in vertical trench
JP3592981B2 (en) 1999-01-14 2004-11-24 松下電器産業株式会社 Semiconductor device and manufacturing method thereof
ATE394794T1 (en) 1999-03-12 2008-05-15 Ibm HIGH VELOCITY GE CHANNEL HETEROSTRUCTURE FOR FIELD EFFECT ARRANGEMENTS
JP2001160594A (en) 1999-09-20 2001-06-12 Toshiba Corp Semiconductor device
US20020030227A1 (en) 2000-01-20 2002-03-14 Bulsara Mayank T. Strained-silicon diffused metal oxide semiconductor field effect transistors
JP3603747B2 (en) 2000-05-11 2004-12-22 三菱住友シリコン株式会社 Method for forming SiGe film, method for manufacturing heterojunction transistor, and heterojunction bipolar transistor
DE10025264A1 (en) 2000-05-22 2001-11-29 Max Planck Gesellschaft Field effect transistor based on embedded cluster structures and method for its production
JP2003536273A (en) 2000-06-22 2003-12-02 マサチューセッツ インスティテュート オブ テクノロジー Etch stop layer system
US6461945B1 (en) 2000-06-22 2002-10-08 Advanced Micro Devices, Inc. Solid phase epitaxy process for manufacturing transistors having silicon/germanium channel regions
US6583015B2 (en) 2000-08-07 2003-06-24 Amberwave Systems Corporation Gate technology for strained surface channel and strained buried channel MOSFET devices
US6649480B2 (en) 2000-12-04 2003-11-18 Amberwave Systems Corporation Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
JP2002241195A (en) 2001-02-15 2002-08-28 Mitsubishi Materials Silicon Corp Method for producing epitaxial multilayer film and epitaxial multilayer film
WO2002071488A1 (en) 2001-03-02 2002-09-12 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed cmos electronics and high speed analog circuits
WO2002071491A1 (en) 2001-03-02 2002-09-12 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed cmos electronics and high speed analog circuits
JP2004531054A (en) 2001-03-02 2004-10-07 アンバーウェーブ システムズ コーポレイション Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits

Patent Citations (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4497683A (en) * 1982-05-03 1985-02-05 At&T Bell Laboratories Process for producing dielectrically isolated silicon devices
US4692992A (en) * 1986-06-25 1987-09-15 Rca Corporation Method of forming isolation regions in a semiconductor device
US4920076A (en) * 1988-04-15 1990-04-24 The United States Of America As Represented By The United States Department Of Energy Method for enhancing growth of SiO2 in Si by the implantation of germanium
US4990979A (en) * 1988-05-13 1991-02-05 Eurosil Electronic Gmbh Non-volatile memory cell
US5327375A (en) * 1988-07-08 1994-07-05 Eliyahou Harari DRAM cell utilizing novel capacitor
US5327375B1 (en) * 1988-07-08 1999-03-16 Eliyahou Harari Dram cellutilizing novel capacitor
US5241197A (en) * 1989-01-25 1993-08-31 Hitachi, Ltd. Transistor provided with strained germanium layer
US5079447A (en) * 1990-03-20 1992-01-07 Integrated Device Technology BiCMOS gates with improved driver stages
US5089872A (en) * 1990-04-27 1992-02-18 North Carolina State University Selective germanium deposition on silicon and resulting structures
US5312766A (en) * 1991-03-06 1994-05-17 National Semiconductor Corporation Method of providing lower contact resistance in MOS transistors
US5442205A (en) * 1991-04-24 1995-08-15 At&T Corp. Semiconductor heterostructure devices with strained semiconductor layers
US5291439A (en) * 1991-09-12 1994-03-01 International Business Machines Corporation Semiconductor memory cell and memory array with inversion layer
US5617351A (en) * 1992-03-12 1997-04-01 International Business Machines Corporation Three-dimensional direct-write EEPROM arrays and fabrication methods
US5242847A (en) * 1992-07-27 1993-09-07 North Carolina State University At Raleigh Selective deposition of doped silion-germanium alloy on semiconductor substrate
US5739567A (en) * 1992-11-02 1998-04-14 Wong; Chun Chiu D. Highly compact memory device with nonvolatile vertical transistor memory cell
US5596527A (en) * 1992-12-07 1997-01-21 Nippon Steel Corporation Electrically alterable n-bit per cell non-volatile memory with reference cells
US5523592A (en) * 1993-02-03 1996-06-04 Hitachi, Ltd. Semiconductor optical device, manufacturing method for the same, and opto-electronic integrated circuit using the same
US5792679A (en) * 1993-08-30 1998-08-11 Sharp Microelectronics Technology, Inc. Method for forming silicon-germanium/Si/silicon dioxide heterostructure using germanium implant
US5534713A (en) * 1994-05-20 1996-07-09 International Business Machines Corporation Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers
US5777347A (en) * 1995-03-07 1998-07-07 Hewlett-Packard Company Vertical CMOS digital multi-valued restoring logic device
US6207977B1 (en) * 1995-06-16 2001-03-27 Interuniversitaire Microelektronica Vertical MISFET devices
US5786612A (en) * 1995-10-25 1998-07-28 Mitsubishi Denki Kabushiki Kaisha Semiconductor device comprising trench EEPROM
US6413636B1 (en) * 1996-06-27 2002-07-02 Mark A. Andrews Protective yarn
US6096590A (en) * 1996-07-18 2000-08-01 International Business Machines Corporation Scalable MOS field effect transistor
US6399970B2 (en) * 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US5780922A (en) * 1996-11-27 1998-07-14 The Regents Of The University Of California Ultra-low phase noise GE MOSFETs
US5808344A (en) * 1996-12-13 1998-09-15 International Business Machines Corporation Single-transistor logic and CMOS inverters
US5891769A (en) * 1997-04-07 1999-04-06 Motorola, Inc. Method for forming a semiconductor device having a heteroepitaxial layer
US6059895A (en) * 1997-04-30 2000-05-09 International Business Machines Corporation Strained Si/SiGe layers on insulator
US5906951A (en) * 1997-04-30 1999-05-25 International Business Machines Corporation Strained Si/SiGe layers on insulator
US5951757A (en) * 1997-05-06 1999-09-14 The United States Of America As Represented By The Secretary Of The Navy Method for making silicon germanium alloy and electric device structures
US6111267A (en) * 1997-05-13 2000-08-29 Siemens Aktiengesellschaft CMOS integrated circuit including forming doped wells, a layer of intrinsic silicon, a stressed silicon germanium layer where germanium is between 25 and 50%, and another intrinsic silicon layer
US6107653A (en) * 1997-06-24 2000-08-22 Massachusetts Institute Of Technology Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization
US6058044A (en) * 1997-12-10 2000-05-02 Kabushiki Kaisha Toshiba Shielded bit line sensing scheme for nonvolatile semiconductor memory
US6117750A (en) * 1997-12-29 2000-09-12 France Telecom Process for obtaining a layer of single-crystal germanium or silicon on a substrate of single-crystal silicon or germanium, respectively
US6013134A (en) * 1998-02-18 2000-01-11 International Business Machines Corporation Advance integrated chemical vapor deposition (AICVD) for semiconductor devices
US7083998B2 (en) * 1998-03-02 2006-08-01 International Business Machines Corporation Si/SiGe optoelectronic integrated circuits
US20010003364A1 (en) * 1998-05-27 2001-06-14 Sony Corporation Semiconductor and fabrication method thereof
US6407406B1 (en) * 1998-06-30 2002-06-18 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6235568B1 (en) * 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
US6350993B1 (en) * 1999-03-12 2002-02-26 International Business Machines Corporation High speed composite p-channel Si/SiGe heterostructure for field effect devices
US20050017236A1 (en) * 1999-03-30 2005-01-27 Hitachi, Ltd. Semiconductor device and semiconductor substrate
US20080206961A1 (en) * 1999-03-30 2008-08-28 Hitachi, Ltd. Semiconductor device and semiconductor substrate
US6251755B1 (en) * 1999-04-22 2001-06-26 International Business Machines Corporation High resolution dopant/impurity incorporation in semiconductors via a scanned atomic force probe
US6228694B1 (en) * 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
US6281532B1 (en) * 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6266278B1 (en) * 1999-06-30 2001-07-24 Sandisk Corporation Dual floating gate EEPROM cell array with steering gates shared adjacent cells
US6204529B1 (en) * 1999-08-27 2001-03-20 Hsing Lan Lung 8 bit per cell non-volatile semiconductor memory structure utilizing trench technology and dielectric floating gate
US6339232B1 (en) * 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
US6249022B1 (en) * 1999-10-22 2001-06-19 United Microelectronics Corp. Trench flash memory with nitride spacers for electron trapping
US6583437B2 (en) * 2000-03-17 2003-06-24 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6693641B1 (en) * 2000-05-25 2004-02-17 Intel Corporation Calculating display mode values
US6555839B2 (en) * 2000-05-26 2003-04-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US6593191B2 (en) * 2000-05-26 2003-07-15 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US6437375B1 (en) * 2000-06-05 2002-08-20 Micron Technology, Inc. PD-SOI substrate with suppressed floating body effect and method for its fabrication
US6737670B2 (en) * 2000-08-16 2004-05-18 Massachusetts Institute Of Technology Semiconductor substrate structure
US20020063292A1 (en) * 2000-11-29 2002-05-30 Mark Armstrong CMOS fabrication process utilizing special transistor orientation
US20020125471A1 (en) * 2000-12-04 2002-09-12 Fitzgerald Eugene A. CMOS inverter circuits utilizing strained silicon surface channel MOSFETS
US20020100942A1 (en) * 2000-12-04 2002-08-01 Fitzgerald Eugene A. CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6563152B2 (en) * 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US6593641B1 (en) * 2001-03-02 2003-07-15 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6723661B2 (en) * 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6724008B2 (en) * 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US20020125497A1 (en) * 2001-03-02 2002-09-12 Fitzgerald Eugene A. Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6677192B1 (en) * 2001-03-02 2004-01-13 Amberwave Systems Corporation Method of fabricating a relaxed silicon germanium platform having planarizing for high speed CMOS electronics and high speed analog circuits
US6703688B1 (en) * 2001-03-02 2004-03-09 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6603156B2 (en) * 2001-03-31 2003-08-05 International Business Machines Corporation Strained silicon on insulator structures
US6593625B2 (en) * 2001-06-12 2003-07-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
US20030013323A1 (en) * 2001-06-14 2003-01-16 Richard Hammond Method of selective removal of SiGe alloys
US20030052334A1 (en) * 2001-06-18 2003-03-20 Lee Minjoo L. Structure and method for a high-speed semiconductor device
US20080128747A1 (en) * 2001-06-18 2008-06-05 Lee Minjoo L STRUCTURE AND METHOD FOR A HIGH-SPEED SEMICONDUCTOR DEVICE HAVING A Ge CHANNEL LAYER
US6916727B2 (en) * 2001-06-21 2005-07-12 Massachusetts Institute Of Technology Enhancement of P-type metal-oxide-semiconductor field effect transistors
US20050151164A1 (en) * 2001-06-21 2005-07-14 Amberwave Systems Corporation Enhancement of p-type metal-oxide-semiconductor field effect transistors
US20070072354A1 (en) * 2001-08-06 2007-03-29 Massachusetts Institute Of Technology Structures with planar strained layers
US6730551B2 (en) * 2001-08-06 2004-05-04 Massachusetts Institute Of Technology Formation of planar strained layers
US20030057439A1 (en) * 2001-08-09 2003-03-27 Fitzgerald Eugene A. Dual layer CMOS devices
US6861318B2 (en) * 2001-11-01 2005-03-01 Intel Corporation Semiconductor transistor having a stressed channel
US6885084B2 (en) * 2001-11-01 2005-04-26 Intel Corporation Semiconductor transistor having a stressed channel
US6600170B1 (en) * 2001-12-17 2003-07-29 Advanced Micro Devices, Inc. CMOS with strained silicon channel NMOS and silicon germanium channel PMOS
US6620664B2 (en) * 2002-02-07 2003-09-16 Sharp Laboratories Of America, Inc. Silicon-germanium MOSFET with deposited gate dielectric and metal gate electrode and method for making the same
US6759695B2 (en) * 2002-02-07 2004-07-06 Sharp Laboratories Of America, Inc. Integrated circuit metal oxide semiconductor transistor
US6605498B1 (en) * 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material
US20040026765A1 (en) * 2002-06-07 2004-02-12 Amberwave Systems Corporation Semiconductor devices having strained dual channel layers
US20070032009A1 (en) * 2002-06-07 2007-02-08 Amberwave Systems Corporation Semiconductor devices having strained dual channel layers
US20040007724A1 (en) * 2002-07-12 2004-01-15 Anand Murthy Process for ultra-thin body SOI devices that incorporate EPI silicon tips and article made thereby
US20040014276A1 (en) * 2002-07-16 2004-01-22 Murthy Anand S. Method of making a semiconductor transistor
US6743684B2 (en) * 2002-10-11 2004-06-01 Texas Instruments Incorporated Method to produce localized halo for MOS transistor
US6703648B1 (en) * 2002-10-29 2004-03-09 Advanced Micro Devices, Inc. Strained silicon PMOS having silicon germanium source/drain extensions and method for its fabrication
US20040119101A1 (en) * 2002-12-23 2004-06-24 Gerhard Schrom Contact layout for MOSFETs under tensile strain
US7001837B2 (en) * 2003-01-17 2006-02-21 Advanced Micro Devices, Inc. Semiconductor with tensile strained substrate and method of making the same
US6921913B2 (en) * 2003-03-04 2005-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel transistor structure with lattice-mismatched zone
US7238989B2 (en) * 2003-03-07 2007-07-03 Taiwan Semiconductor Manufacturing Company, Ltd. Strain balanced structure with a tensile strained silicon channel and a compressive strained silicon-germanium channel for CMOS performance enhancement
US6916694B2 (en) * 2003-08-28 2005-07-12 International Business Machines Corporation Strained silicon-channel MOSFET using a damascene gate process
US7033869B1 (en) * 2004-01-13 2006-04-25 Advanced Micro Devices Strained silicon semiconductor on insulator MOSFET
US7161206B2 (en) * 2004-04-12 2007-01-09 Samsung Electronics Co., Ltd. Non-volatile memory devices
US7402493B2 (en) * 2004-04-12 2008-07-22 Samsung Electronics Co., Ltd. Method for forming non-volatile memory devices
US20060071285A1 (en) * 2004-09-29 2006-04-06 Suman Datta Inducing strain in the channels of metal gate transistors
US7163853B2 (en) * 2005-02-09 2007-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a capacitor and a metal gate on a semiconductor device
US7176537B2 (en) * 2005-05-23 2007-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. High performance CMOS with metal-gate and Schottky source/drain
US20070080409A1 (en) * 2005-10-12 2007-04-12 Seliskar John J Mixed-signal semiconductor platform incorporating fully-depleted castellated-gate MOSFET device and method of manufacture thereof

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080128747A1 (en) * 2001-06-18 2008-06-05 Lee Minjoo L STRUCTURE AND METHOD FOR A HIGH-SPEED SEMICONDUCTOR DEVICE HAVING A Ge CHANNEL LAYER
US8436336B2 (en) 2001-06-18 2013-05-07 Massachusetts Institute Of Technology Structure and method for a high-speed semiconductor device having a Ge channel layer
US20050151164A1 (en) * 2001-06-21 2005-07-14 Amberwave Systems Corporation Enhancement of p-type metal-oxide-semiconductor field effect transistors

Also Published As

Publication number Publication date
US7138649B2 (en) 2006-11-21
WO2003105221A1 (en) 2003-12-18
AU2003237322A1 (en) 2003-12-22
US20030227013A1 (en) 2003-12-11

Similar Documents

Publication Publication Date Title
US7138649B2 (en) Dual-channel CMOS transistors with differentially strained channels
US7244972B2 (en) Semiconductor devices and method for manufacturing the same
US8211761B2 (en) Semiconductor system using germanium condensation
US7425483B2 (en) Structure and method of fabricating a hybrid substrate for high-performance hybrid-orientation silicon-on-insulator CMOS devices
US6916727B2 (en) Enhancement of P-type metal-oxide-semiconductor field effect transistors
US7198995B2 (en) Strained finFETs and method of manufacture
US6583000B1 (en) Process integration of Si1-xGex CMOS with Si1-xGex relaxation after STI formation
US9711413B2 (en) High performance CMOS device design
US20080179636A1 (en) N-fets with tensilely strained semiconductor channels, and method for fabricating same using buried pseudomorphic layers
EP1178532A2 (en) NMOS and PMOS with strained channel layer
US20080217686A1 (en) Ultra-thin soi cmos with raised epitaxial source and drain and embedded sige pfet extension
US8067291B2 (en) MOS field-effect transistor and manufacturing method thereof
US20120171820A1 (en) Strained mos device and methods for its fabrication
WO2000060671A1 (en) Semiconductor device and semiconductor substrate
US20080064162A1 (en) Vertical soi transistor memory cell and method of forming the same
JP2780670B2 (en) Manufacturing method of epitaxial channel MOS transistor
JP2007067118A (en) Semiconductor device and manufacturing method thereof
US7755089B2 (en) Semiconductor device including complementary MOS transistor having a strained Si channel
US20060131699A1 (en) Technique for forming a substrate having crystalline semiconductor regions of different characteristics located above a buried insulating layer
JP2002270834A (en) Semiconductor device and method of manufacturing the same
US6657261B2 (en) Ground-plane device with back oxide topography
JP3200231B2 (en) Method for manufacturing semiconductor device
US20070018251A1 (en) Semiconductor device and method for fabricating the same
JP2004214457A (en) Semiconductor device and manufacturing method thereof
JPH1168096A (en) Semiconductor device and manufacture thereof

Legal Events

Date Code Title Description
AS Assignment

Owner name: AMBERWAVE SYSTEMS CORPORATION, NEW HAMPSHIRE

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CURRIE, MATTHEW T.;LOCHTEFELD, ANTHONY J.;FITZGERALD, EUGENE A.;REEL/FRAME:018732/0405

Effective date: 20020913

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:AMBERWAVE SYSTEMS CORPORATION;REEL/FRAME:023775/0111

Effective date: 20091122

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,T

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:AMBERWAVE SYSTEMS CORPORATION;REEL/FRAME:023775/0111

Effective date: 20091122