US20060246714A1 - Method of forming a conductive contact - Google Patents
Method of forming a conductive contact Download PDFInfo
- Publication number
- US20060246714A1 US20060246714A1 US11/471,209 US47120906A US2006246714A1 US 20060246714 A1 US20060246714 A1 US 20060246714A1 US 47120906 A US47120906 A US 47120906A US 2006246714 A1 US2006246714 A1 US 2006246714A1
- Authority
- US
- United States
- Prior art keywords
- layer
- fill
- chlorine
- titanium
- substrate
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Abandoned
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/48—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
- H01L23/482—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
- H01L23/485—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
Definitions
- the present invention relates to the field of semiconductor device fabrication, and more particularly to methods for making conductive contacts in the formation of a semiconductor device.
- tungsten or other electrically conductive plug material is then deposited from tungsten hexafluoride (WF 6 ) by chemical vapor deposition (CVD) to fill the contact hole.
- WF 6 tungsten hexafluoride
- CVD chemical vapor deposition
- Titanium nitride (TiN) films have attractive properties that may overcome the limitations of tungsten plugs as integrated circuit (IC) devices continue to shrink below 0.15 micron dimension.
- TiN films have been deposited by low pressure chemical vapor deposition (LPCVD) using tetrakisdimethyl-amidotitanium (TDMAT) and ammonia as precursor gases.
- LPCVD low pressure chemical vapor deposition
- TDMAT tetrakisdimethyl-amidotitanium
- ammonia precursor gases.
- TDMAT films have a high carbon content and when subjected to high temperatures in the presence of oxygen, become porous and, therefore, are unusable as a conductive contact.
- Thin TiN films and liners have also been deposited from titanium tetrachloride (TiCl 4 ) and ammonia (NH 3 ) by CVD onto a titanium (Ti) liner overlying the insulative layer.
- TiCl 4 titanium tetrachloride
- NH 3 ammonia
- Ti titanium liner
- the material does not adhere well to the Ti thin layer, particularly when the TiN layer becomes greater than about 150 to about 200 angstroms thick.
- a conductive contact e.g., contact plug
- a conductive material is blanket deposited over the surface of the substrate including into the contact hole, thus forming a continuous film. If an anneal is needed, the continuous film layer is typically subjected to a high temperature anneal, and then excess material is removed from the surface of the substrate by a chemical mechanical polishing (CMP) process, leaving the contact plug within the hole.
- CMP chemical mechanical polishing
- the present invention provides methods for forming conductive contacts in the construction of semiconductive devices, and the conductive components formed by those methods.
- the method is useful for fabricating contacts to electrical components beneath an insulation layer in an integrated circuit such as memory devices.
- the present TiCl 4 -based titanium nitride films are particularly useful as conductive contacts to replace tungsten (W) plugs in high aspect ratio features, particularly openings and other features having an aspect ratio of 3:1 or greater.
- the films also overcome inadequacies of pure TiCl 4 -based titanium nitride films that are used as fill material for forming conductive contacts or interconnects within contact openings formed through an insulative layer of a semiconductor structure.
- TiCl 4 -based titanium nitride fills do not adhere well to the surface of insulative sidewalls of a contact opening, and can also cause the insulative layer to crack due, at least in part, to the pressure exerted when the thickness of the fill within the contact opening is about 200 angstroms or greater.
- the present invention overcomes the problems of a pure TiCl 4 -based titanium nitride plugs or barrier film by incorporating diborane (B 2 H 6 ) into the gas mixture to dope the TiCl 4 -based titanium nitride film during the deposition process.
- B 2 H 6 diborane
- the addition of B 2 H 6 to the precursor gas used to form the TiCl 4 -based titanium nitride film has been found to improve the mechanical properties of the resulting titanium nitride film with substantially no impact on its conductive properties.
- the gaseous mixture used to form the boron-doped, titanium nitride contacts comprises diborane (B 2 H 6 ) in an amount effective to provide a contact having an amount of boron to provide a level of adhesion of the conductive contact to the insulative sidewalls of the contact opening to substantially eliminate peeling of the contact from the sidewalls and cracking of the body of the insulative layer.
- the mixture further includes an amount of ammonia (NH 3 ) to provide the contact with a level of nitrogen effective to maintain the conductivity of the contact at a predetermined level for an effective electrical contact with a conductive or active area within the substrate to/from an active area within a semiconductor device and/or a memory or logic array.
- titanium nitride films formed from TiCl 4 including the boron-doped films described herein, is that the chlorine (Cl 2 ) within the formed contact can diffuse into an overlying material, for example, an overlying interconnect of aluminum, and corrode and ruin the device.
- an overlying material for example, an overlying interconnect of aluminum
- a high temperature anneal of the TiCl 4 -based titanium nitride film in a nitrogen-containing atmosphere preferably ammonia (NH 3 ) removes excess Cl 2 from the contact material to overcome the diffusion problem.
- conducting a CMP process to remove excess material from the substrate prior to the anneal step avoids undesirable problems with cracking of the film layer and the wafer substrate.
- the invention provides methods for forming a contact in a via or other contact opening of a semiconductor structure.
- the opening is formed through an insulative layer to a conductive or active area, such as a source/drain region, in an underlying silicon substrate.
- the method is particularly useful for forming contacts within vias and other openings having an aspect ratio of about 3:1 or greater, and a width dimension of about 0.25 ⁇ m or less.
- a conductive material is blanket deposited over the substrate to fill the opening, and excess material is removed from the surface, preferably by chemical-mechanical polishing (CMP), with the conductive material remaining in the opening to form the contact.
- CMP chemical-mechanical polishing
- the contact is then heated to a high temperature, preferably by use of a rapid thermal anneal process, in a reactive gas to remove an undesirable component from the contact material.
- a titanium nitride contact can be formed by first depositing a seed layer comprising titanium silicide (TiSi x ) over the silicon substrate at the bottom of the contact opening, preferably to a thickness of about 250 to about 300 angstroms, for example, from a plasma source gas comprising titanium tetrachloride (TiCl 4 ) and hydrogen (H 2 ) by plasma-enhanced chemical vapor deposition (PECVD).
- a seed layer comprising titanium silicide (TiSi x ) over the silicon substrate at the bottom of the contact opening, preferably to a thickness of about 250 to about 300 angstroms, for example, from a plasma source gas comprising titanium tetrachloride (TiCl 4 ) and hydrogen (H 2 ) by plasma-enhanced chemical vapor deposition (PECVD).
- PECVD plasma-enhanced chemical vapor deposition
- a titanium nitride or boron-doped titanium nitride film (i.e., titanium boronitride, TiB x N y ) can then be deposited onto the seed layer to fill the contact opening, typically to a thickness of about 1000 to about 3000 angstroms.
- the film layer can be deposited from a source gas mixture of TiCl 4 , NH 3 , and one or more carrier gases, with the addition of B 2 H 6 to form the boron-doped layer, by thermal CVD at a pressure of about 1 to about 15 Torr and a temperature of about 550 to about 700° C.
- the substrate is then processed, preferably by CMP, to remove excess material from the substrate while leaving the titanium nitride material in the contact opening.
- the contact is then subjected to a high temperature in a nitrogen-containing gas, preferably ammonia (NH 3 ) at above 700° C. with a rapid thermal anneal, to remove a high percentage of the chlorine (Cl 2 ) content from the contact material, preferably up to about 99% by wt.
- a nitrogen-containing gas preferably ammonia (NH 3 ) at above 700° C. with a rapid thermal anneal
- a multi-layered titanium nitride contact is formed within a contact opening of a semiconductive structure.
- a titanium silicide seed layer is first formed over the silicon substrate at the bottom of the contact opening.
- alternating layers of titanium nitride and boron-doped titanium nitride can then be deposited over the seed layer.
- a layer comprising titanium nitride (undoped) can be deposited from a first gaseous mixture comprising TiCl 4 and NH 3 , to form a layer typically about 100 to about 500 angstroms thick.
- Diborane B 2 H 6
- Diborane B 2 H 6
- the flow of diborane into the gas mixture can then be stopped to deposit a next layer of titanium nitride layer that is not doped to a typical thickness of about 100 to about 500 angstroms.
- Additional alternating layers of doped and undoped titanium nitride can be deposited to fill the opening, with the uppermost layer being undoped titanium nitride.
- Excess material is then removed from the substrate by CMP, and the contact is subjected to a heat treatment, preferably by a rapid thermal anneal, preferably in ammonia at greater than 700° C., to decrease the chlorine (Cl 2 ) content of the contact.
- a heat treatment preferably by a rapid thermal anneal, preferably in ammonia at greater than 700° C., to decrease the chlorine (Cl 2 ) content of the contact.
- the semiconductor structure comprises a silicon substrate, an overlying insulative layer, a contact opening formed through the insulative layer to expose the underlying silicon substrate, and the conductive contact formed within the opening.
- the contact comprises a thermally annealed layer of titanium nitride and/or boron-doped titanium nitride overlying a titanium silicide layer formed over the substrate at the bottom of the opening, the contact having a low chlorine (Cl 2 ) content, preferably less than about 1% by wt.
- the conductive contact comprises multiple layers of thermally annealed titanium nitride overlying a titanium silicide layer deposited onto the silicon substrate at the bottom of the contact opening, the contact having a low chlorine (Cl 2 ) content, preferably less than about 1% by wt.
- the contact comprises alternating, overlying layers of undoped and boron-doped titanium nitride that fill the contact opening.
- An undoped titanium nitride layer overlies the titanium silicide layer, and also forms the uppermost layer of the conductive contact.
- the thickness of each of the individual layers is typically about 100 to about 500 angstroms.
- Another aspect of the invention is an integrated circuit (IC) device that includes the foregoing conductive contacts comprising titanium nitride and/or boron-doped titanium nitride.
- the IC device comprises an array of memory or logic cells, internal circuitry, and at least one generally vertical conductive contact coupled to the cell array and internal circuitry.
- the IC device comprises a conductive contact comprising a thermally annealed titanium nitride and/or boron-doped titanium nitride fill that is formed within an insulative contact opening over a thin layer of titanium silicide deposited onto the exposed substrate at the bottom of a contact opening, and has a low chlorine (Cl 2 ) content, preferably less than about 1% by wt.
- the conductive contact comprises thermally annealed material having a reduced chlorine (Cl 2 ) content, preferably less than about 1% by wt., that is multi-layered, comprising alternating layers of titanium nitride (undoped) and boron-doped titanium nitride deposited onto a titanium silicide layer overlying the substrate at the bottom of a contact opening.
- the contact is in electrical contact with an active area such as a source/drain region of a transistor or a memory or logic cell array, or other semiconductor device.
- the present film overcomes limitations of tungsten plug fills in high aspect ratio devices, with parametric data showing superior results compared to that of tungsten.
- the films also have a decreased level of undesirably components such as chlorine that become incorporated into the film upon deposition of precursor gases to form the film.
- the present method provides a process of removing undesirable components such as chlorine and the like, from a contact which overcomes problems in the art with cracking from anneal processing steps, and without adversely effecting other structures and devices formed on the substrate.
- the present invention provides processes for forming conductive contacts that are fast, simple and inexpensive to implement in semiconductor manufacturing.
- FIG. 1A is a diagrammatic cross-sectional view of a semiconductor wafer fragment at a preliminary step of a processing sequence.
- FIGS. 1B through 1D are views of the wafer fragment of FIG. 1A at subsequent and sequential processing steps, showing fabrication of a conductive contact according to an embodiment of the method of the invention.
- FIGS. 2A through 2F are views of the wafer fragment of FIG. 1A at subsequent and sequential processing steps, showing fabrication of a conductive contact according to another embodiment of the method of the invention.
- FIGS. 3A and 3B are graphical depictions showing the amount of thermal stress (Gdynes/cm 2 ) versus diborane (B 2 H 6 ) flow over a range of 200 to 600 sccm at reactor temperatures of 600° C. and 650° C.
- the present invention encompasses methods of making integrated circuits, particularly methods for forming conductive contacts for providing electrical connection between conductive or active areas of discrete semiconductor devices or portions of such devices.
- the invention relates to methods of forming a conductive contact having a reduced concentration of unwanted constituents such as chlorine that become incorporated into the contact, for example, from precursors, during formation.
- the invention further relates to a contact structure incorporating a titanium nitride and/or a boron-doped titanium nitride film having a low chlorine content.
- the present invention is particularly useful in providing a conductive contact in openings and other features having a high aspect ratio of 3:1 or greater.
- Integrated circuits include a large number of electronic semiconductor devices that are formed on varying levels of a semiconductor substrate.
- Exemplary semiconductor devices include capacitors, resistors, transistors, diodes, and the like.
- the discrete semiconductor devices that are located on nonadjacent structural levels are electrically connected, for example with an interconnect or conductive contact structure.
- the conductive contact generally comprises a region of conducting material that is formed between the semiconductor devices or portions of the semiconductor devices that are being placed in electrical communication.
- the conductive contact serves as a conduit for delivering electrical current between the semiconductor devices.
- Specific types of conductive contact structures include local interconnects, contacts, buried contacts, vias, plugs, and filled trenches. The present invention particularly deals with the method of making conductive contacts that are used in the fabrication of semiconductor devices.
- the terms “semiconductive wafer fragment” or “wafer fragment” or “wafer” will be understood to mean any construction comprising semiconductor material, including but not limited to bulk semiconductive materials such as a semiconductor wafer (either alone or in assemblies comprising other materials thereon), and semiconductive material layers (either alone or in assemblies comprising other materials).
- substrate refers to any supporting structure including, but not limited to, the semiconductive wafer fragments or wafers described above.
- the term “undesirable component” refers to any element or compound contained within the contact material that will adversely affect a semiconductor device, for example, a high concentration of chlorine that can corrode an overlying aluminum interconnect and adversely affect the device.
- a first embodiment of a method of the present invention is described with reference to FIGS. 1A-1D , in a method of forming a conductive contact 34 .
- the contact 34 comprises titanium nitride or boron-doped titanium nitride.
- the contact is illustrated and will be described as being coupled to a diffusion region.
- the contacts of the present invention can be used wherever required within the structure of a semiconductor circuit.
- a semiconductive wafer fragment 10 is shown at a preliminary processing step.
- the wafer fragment 10 in progress can comprise a semiconductor wafer substrate or the wafer along with various process layers formed thereon, including one or more semiconductor layers or other formations, and active or operable portions of semiconductor devices.
- the wafer fragment 10 is shown as including a silicon-comprising base layer or substrate 12 .
- An exemplary substrate 12 is monocrystalline silicon that is typically lightly doped with a conductivity enhancing material.
- Formed at the surface 14 of the substrate 12 are a transistor structure 16 and an overlying insulative layer 18 .
- the transistor 16 comprising a gate 20 and adjacent source/drain diffusion regions 22 a , 22 b , can be formed by conventional methods known and used in the art.
- the insulative layer 18 comprises an oxide, for example, silicon dioxide (SiO 2 ), phosphosilicate glass (PSG), borosilicate glass (BSG), and borophosphosilicate glass (BPSG), in a single layer or multiple layers, being BPSG in the illustrated embodiment.
- the BPSG insulative layer 18 has been etched using a known photolithography technique, for example, reactive ion etching (RIE), while masking with a patterned photoresist layer (not shown) to provide a via or other contact opening 24 defined by insulative sidewalls 26 and a bottom portion 28 .
- the contact opening extends to the diffusion region 22 a (i.e., source/drain region) in the underlying silicon substrate 12 to which electrical contact is to be made.
- a titanium silicide (TiSi x ) seed layer 30 is formed over the exposed surfaces 14 , 18 respectively, of the silicon substrate at the bottom 28 of the contact opening 24 and the insulative layer 18 .
- the seed layer is formed to a thickness of about 250 to about 300 angstroms.
- the resulting TiSi x seed layer 30 that forms at the interface with the diffusion region 22 a is useful to lower resistance in the contact region.
- Techniques and process systems for forming a titanium silicide layer are well known in the art, as described, for example, in U.S. Pat. No. 6,086,442 (Sandhu, et al.) and U.S. Pat. No. 5,976,976 (Doan, et al.), the disclosures of which are incorporated by reference herein.
- the TiSi x seed layer 30 is formed by a conventional plasma enhanced chemical vapor deposition (PECVD) process that comprises forming an RF plasma from source gases comprising titanium tetrachloride (TiCl 4 ), hydrogen (H 2 ), a silicon precursor such as silane (SiH 4 ) or dichlorosilane (SiH 2 Cl 2 ), and carrier gases such as argon (Ar) and/or helium (He) to deposit a layer of titanium silicide (TiSi x ) over the substrate (silicon) surface 14 and the surface 19 of the insulative layer 18 .
- PECVD plasma enhanced chemical vapor deposition
- Exemplary process conditions for achieving the formation of the TiSi x seed layer 30 include a temperature of about 650° C., a process pressure of about 0.5 to about 20 Torr, an rf power range of about 400 watts, and flow rates of about 150 to about 300 sccm TiCl 4 , about 1000 to about 8000 sccm hydrogen (H 2 ), about 1 to about 100 sccm silane (SiH 4 ), about 1000 sccm argon (Ar), and about 50 sccm nitrogen (N 2 ).
- the TiSi x seed layer 30 can also be formed by a depositing a thin layer of titanium by physical vapor deposition (PVD), i.e., sputtering, onto the surface 14 of the substrate 12 at the bottom of the contact opening, and then performing an anneal step (about 650° C.) in an ambient gas such as nitrogen, argon, ammonia, or hydrogen.
- PVD physical vapor deposition
- an anneal step about 650° C.
- an ambient gas such as nitrogen, argon, ammonia, or hydrogen.
- Such a process is said to be self-aligning, as the TiSi x is only formed where the titanium metal contacts the silicon active regions.
- LPCVD low pressure CVD
- Exemplary process conditions include a process temperature of about 650° C. to about 900° C., and a pressure of about 10 mTorr to about 1 Torr, using titanium tetrachloride (TiCl 4 ) plus a silicon precursor or source gas such as silane (SiH 4 ) or dichlorosilane (SiH 2 Cl 2 ) at a ratio of about 5:1, in a carrier gas such as helium.
- TiCl 4 titanium tetrachloride
- SiCl 4 silicon precursor or source gas
- SiH 4 silane
- SiH 2 Cl 2 dichlorosilane
- the invention utilizes a boron-doped, TiCl 4 -based titanium nitride fill (titanium boronitride) to form the conductive contact or plug.
- a boron-doped, TiCl 4 -based titanium nitride fill titanium boronitride
- the foregoing conductive contact is formed by a conventional thermal chemical vapor deposition (TCVD) process.
- TCVD thermal chemical vapor deposition
- TCVD systems include standard thermal reactors such as cold wall/hot substrate reactors and hot wall reactors, plasma-assisted reactors, radiation beam assisted reactors, and the like.
- the substrate is placed in a reaction chamber (not shown) in which the substrate and/or the gaseous precursor is heated.
- the substrate is heated to a temperature in excess of the decomposition temperature of the precursor gases.
- the gases decompose on the surface of the substrate to deposit the titanium boronitride film comprising the metal and elements of the precursor or reactant gases.
- the wafer fragment 10 is positioned in the TCVD reactor (not shown) and a source gas comprising titanium tetrachloride (TiCl 4 ), ammonia (NH 3 ), one or more inert carrier gases such as argon, helium and/or nitrogen, and diborane (B 2 H 6 ) to form a boron-doped TiN layer, is flowed into the reactor under conditions effective to chemical vapor deposit a layer 32 of TiCl 4 -based titanium (doped or undoped) nitride over the titanium silicide (TiSi x ) seed layer 30 within the contact opening 24 .
- a source gas comprising titanium tetrachloride (TiCl 4 ), ammonia (NH 3 ), one or more inert carrier gases such as argon, helium and/or nitrogen, and diborane (B 2 H 6 ) to form a boron-doped TiN layer, is flowed into the reactor under
- the gaseous material is blanket deposited to a thickness to completely fill the contact opening, resulting in the structure shown in FIG. 1C .
- Preferred flow rates of the precursors are about 100 to about 500 sccm TiCl 4 , about 100 to about 1000 sccm NH 3 , and about 100 to about 1000 sccm B 2 H 6 (for a boron-doped film).
- the preferred temperature within the reactor (hot wall) or of the susceptor (cold wall) is from about temperature of about 550 to about 700° C., preferably about 560 to about 650° C., with pressure conditions within the reactor being from about 1 Torr to about 15 Torr, preferably about 10 Torr.
- to fill a contact opening about 1000 to about 3000 angstroms of material is typically deposited.
- High-aspect-ratio contacts (aspect ratio of 3:1 or greater) that are made of TiCl 4 -based TiN without the inclusion of B 2 H 6 in the source gas, and have a thickness greater than about 150 to about 200 angstroms, possess a reduced level of adherence to the insulative sidewalls of a contact opening. This results in the contact peeling away from the sidewalls of the opening.
- the high thermal stress of the fill material can cause cracking of the insulative layer.
- the ammonia in the gas mixture is provided in an amount effective to maintain the conductivity of the formed contact 34 at a predetermined level for an effective electrical contact with the diffusion area 22 a or other semiconductor structure.
- TiCl 4 -based, boron doped titanium nitride conductive layer 32 having the general formula TiB x N y (titanium boronitride).
- Such films are particularly useful as a fill in high-aspect-ratio contact openings and vias, particularly those having an aspect ratio of 3:1 or greater.
- the amounts of the B 2 H 6 and the NH 3 gases that are flowed into the system are maintained so as to provide a fill having a level of adherence to the insulative sidewalls 26 of the contact opening 24 such that the formed contact 34 remains attached to and does not peel away from the sidewalls, and no substantial cracks develop in the body of the insulative layer 18 .
- excess material 32 is removed from the surface 19 of the insulative layer, leaving the fill in the opening 24 to form the conductive contact or plug 34 , as shown in FIG. 1D .
- the contact 34 provides electrical connection to/from the diffusion region (conductive area) 22 a to various parts of the semiconductor device.
- the excess material 32 can then be removed according to a conventional method known in the art, preferably, by chemical mechanical polishing (CMP).
- the contact 34 is then subjected to a heat treatment, preferably with a rapid thermal anneal process, to at least about 700° C., preferably about 700 to about 800° C., in a nitrogen-containing gas, preferably ammonia (NH 3 ), for a time of up to about 20 seconds, to drive out chlorine (Cl 2 ) incorporated into the contact from the TiCL 4 precursor during deposition.
- a heat treatment reduces the concentration of chlorine in the contact by at least about 50% by wt., preferably by at least about 75% by wt., more preferably by at least about 95% by wt.
- the present process of first removing the excess contact material by CMP, and then thermally annealing the titanium nitride fill material remaining as the contact 34 in a nitrogen-containing gas such as ammonia reduces the chlorine content in the fill material without significantly changing the other properties of the film stack, particularly the advantages provided by the incorporation of boron into the film layer.
- the resulting contact 34 comprises a titanium nitride layer (boron-doped or undoped) overlying a titanium silicide layer deposited onto the substrate at the bottom of the contact opening.
- the contact 34 possesses a reduced level of chlorine (Cl 2 ) as a result of the thermal anneal in ammonia (NH 3 ) or other nitrogen-containing gas.
- the chlorine content of the contact following the anneal is less than about 4% by wt., preferably less than 3% by wt., more preferably less than about 1% by wt.
- Boron-doped titanium nitride contacts also possess a high level of adhesion to the insulative sidewalls of the opening, have a sufficiently low thermal stress level, measured in force per unit area (i.e., Gdynes/cm 2 ), to substantially eliminate cracking of the insulative layer, and are highly conductive with low electrical resistivity.
- a passivation layer can then be formed over the device.
- other interconnects and contact structures (not shown) can be formed overlying the present structure.
- a multi-layered boron-doped and undoped titanium nitride contact can be fabricated in a wafer fragment, as depicted in FIGS. 2A-2F .
- wafer fragment 10 ′ includes a silicon-comprising substrate 12 ′, for example, monocrystalline silicon, with an active area 22 a ′ such as a source/drain region.
- An overlying insulative layer 18 ′ comprising, for example, BPSG, has an exposed surface 19 ′ and a contact opening 24 ′ having sidewalls 26 ′ and a bottom portion 28 ′.
- the contact opening 24 ′ extends to the active area 22 a′.
- a thin titanium silicide (TiSi x ) layer 30 ′ is formed over the active area 22 a ′ at the bottom 28 ′ of the opening 24 ′.
- the TiSi x layer 30 ′ preferably has a thickness of about 250 to about 300 angstroms.
- the TiSi x layer 30 ′ can be formed by conventional methods, as previously described, and preferably by PECVD using TiCl 4 , H 2 , and one or more carrier gases.
- a layered contact is formed by depositing alternating layers of TiCl 4 -based titanium nitride and a boron-doped TiCl 4 -based titanium nitride into the contact opening, such that a boron-doped titanium nitride layer is interposed between two layers of non-doped titanium nitride.
- the multi-layered contact can be formed by conventional thermal CVD processing at a temperature of about 550 to about 700° C., preferably about 560 to about 650° C., and a pressure of about 1 Torr to about 15 Torr, preferably about 10 Torr.
- a gas mixture comprising titanium tetrachloride (TiCl 4 ) and ammonia (NH 3 ) and one or more carrier gases can be flowed into the reactor to form a layer 36 a ′ of non-doped titanium nitride onto the TiSi x seed layer 30 ′ to a desired thickness, typically about 100 to about 500 angstroms, resulting in the structure shown in FIG. 2C .
- Preferred flow rates for the gas mixture are about 100 to about 500 sccm TiCl 4 and about 100 to about 1000 sccm NH 3 .
- diborane (B 2 H 6 ) is then flowed into the reactor, and a layer 32 ′ comprising boron-doped, titanium nitride is deposited onto the non-doped titanium nitride layer from a gas mixture comprising TiCl 4 , NH 3 , and B 2 H 6 .
- the boron-doped, titanium nitride layer 32 ′ is deposited to a desired thickness of about 100 to about 500 angstroms.
- Preferred flow rates for the gas mixture are about 100 to about 500 sccm TiCl 4 , about 100 to about 1000 sccm NH 3 , and about 100 to about 1000 sccm B 2 H 6 .
- the flow of NH 3 and B 2 H 6 can be controlled to modify the adhesiveness, thermal stress level, and conductivity of the resulting multi-layered contact.
- the flow of B 2 H 6 is then ceased, and the first source gas mixture (i.e., TiCl 4 , NH 3 ) is flowed into the reactor to form a layer 36 b ′ comprising undoped titanium nitride, as shown in FIG. 2E .
- the titanium nitride layer 36 b ′ is deposited to a desired thickness, typically about 100 to about 500 angstroms.
- the titanium nitride layer 36 b ′ can be deposited to fill the opening.
- additional layers of boron-doped titanium nitride can be deposited between two layers of non-doped titanium nitride as desired to fill the contact opening 24 ′, with the uppermost layer of the contact comprising non-doped titanium nitride.
- Excess fill material is then removed as depicted in FIG. 2F , for example, by CMP, to form the conductive contact 34 ′.
- the contact 34 ′ is then subjected to a thermal anneal at an elevated temperature, preferably greater than 700° C., preferably about 700° C. to about 800° C., in a nitrogen-containing atmosphere, preferably ammonia (NH 3 ), to drive the chlorine (Cl 2 ) deposited from the TiCl 4 precursor out of the contact material.
- a thermal anneal at an elevated temperature, preferably greater than 700° C., preferably about 700° C. to about 800° C., in a nitrogen-containing atmosphere, preferably ammonia (NH 3 ), to drive the chlorine (Cl 2 ) deposited from the TiCl 4 precursor out of the contact material.
- Sandwiching a layer of boron-doped titanium nitride 32 ′ between undoped titanium nitride substantially reduces the thermal stress in a TiCl 4 -based TiN fill material. This allows the fill to be used as a conductive contact to replace tungsten (W) plugs in high aspect ratio features.
- the combination of alternating layers achieves a TiCl 4 -based TiN contact having a level of adhesion that substantially eliminates peeling of the formed contact from the sidewalls of the contact opening. It also provides a lowered level of thermal stress that substantially reduces cracking of the body of the insulative layer, particularly when the thickness of the contact reaches about 500 angstroms or greater.
- the resulting contact has a high level of conductivity for an effective electrical contact to a diffusion region or other conductive structure.
- the removal or reduction of chlorine (or other component) from the contact material by a high temperature anneal in ammonia (or other reactive gas) provides a contact having increased compatibility with an adjacent or overlying conductive material, for example, an aluminum interconnect.
- conducting the thermal anneal step after removing excess conductive material from the surface of the substrate eliminates problems encountered with cracking of the film layer and/or the substrate with thermal anneals performed on a blanket material layer overlying the substrate.
- a boron-doped TiCl 4 -based titanium nitride (TiN) contact was formed in a high aspect ratio opening of a BPSG layer, without removal of chlorine by RTP anneal.
- the flow of diborane (B 2 H 6 ) was varied over a range to test the change in thermal stress (Gdynes/cm 2 ) of the boron-doped, TiCl 4 -based TiN contact on the BPSG insulative layer.
- a wafer fragment was provided that had a silicon substrate layer and an overlying layer of BPSG.
- a contact opening was formed through the BPSG layer.
- the aspect ratio of the opening was 10:1.
- the TiCl 4 -based TiN film was deposited by thermal CVD at a pressure of 10 Torr using a Centura system, available from Applied Materials company of Santa Clara, Calif.
- the precursor gases were flowed into the reactor as follows: 340 sccm TiCl 4 , 200 sccm NH 3 , 3000 sccm argon (Ar), and 2000 sccm gaseous nitrogen (N 2 ).
- the diborane (B 2 H 6 ) was flowed into the reactor at a rate ranging from 200 sccm to 600 sccm. Data was measured at two different temperatures: 600° C. and 650° C.
- the results are shown in a graphical form in FIGS. 3A and 3B .
- the amount of boron i.e., B 2 H 6
- the stress (Gdynes/cm 2 ) of the TiCl 4 -based TiN material decreased to a neutral or zero stress level and below.
- the thermal stress of the TiCl 4 -based TiN film can be adjusted such that the material does not cause the insulative layer (e.g., BPSG) to crack.
- a boron-doped TiCl 4 -based titanium nitride (TiN) contact was formed in a high aspect ratio opening of a BPSG layer according to the method of the invention.
- a boron-doped TiN film was formed in a contact opening (10:1 aspect ratio) in a BPSG layer overlying a silicon substrate, as described in Example 1.
Abstract
Conductive contacts in a semiconductor structure, and methods for forming the conductive components are provided. The method comprises depositing a conductive material over a substrate to fill a contact opening, removing excess material from the substrate leaving the contact within the opening, and then heating treating the contact at a high temperature, preferably with a rapid thermal anneal process, in a reactive gas to remove an undesirable component from the contact, for example, thermal annealing a TiCl4-based titanium nitride in ammonia to remove chlorine from the contact, which can be corrosive to an overlying aluminum interconnect at a high concentration. The contacts are useful for providing electrical connection to active components in integrated circuits such as memory devices. In an embodiment of the invention, the contacts comprise boron-doped and/or undoped TiCl4-based titanium nitride having a low concentration of chlorine. Boron-doped contacts further possess an increased level of adhesion to the insulative layer to eliminate peeling from the sidewalls of the contact opening and cracking of the insulative layer when formed to a thickness of greater than about 200 angstroms in a high-aspect-ratio opening.
Description
- This application is a continuation of U.S. Ser. No. 09/941,533, filed Aug. 29, 2001 (now U.S. Pat. No. 7,067,416).
- The present invention relates to the field of semiconductor device fabrication, and more particularly to methods for making conductive contacts in the formation of a semiconductor device.
- As semiconductor fabrication moves toward maximizing circuit density, electrical components are formed at a number of layers and different locations. This requires electrical connection between metal layers or other conductive layers at different elevations in the substrate. Such interconnections are typically provided by forming a contact opening through insulating layer to the underlying conductive feature. With increasing circuit density, the dimensions of openings for electrical contacts become narrower and deeper, posing a challenge to provide adequate conductive fill within high aspect ratio openings.
- Typically, in forming a contact plug, a thin layer of titanium is deposited over the top of a silicon base layer (substrate), and tungsten or other electrically conductive plug material is then deposited from tungsten hexafluoride (WF6) by chemical vapor deposition (CVD) to fill the contact hole. However, there are several limitations of tungsten (W) plugs. Tungsten does not provide an adequate fill for high aspect ratio features. In addition, the use of WF6 as a precursor gas in the formation of tungsten plugs, can result in the penetration of the fluoride component into the adjacent dielectric layer causing lateral encroachment and wormholes.
- Titanium nitride (TiN) films have attractive properties that may overcome the limitations of tungsten plugs as integrated circuit (IC) devices continue to shrink below 0.15 micron dimension. TiN films have been deposited by low pressure chemical vapor deposition (LPCVD) using tetrakisdimethyl-amidotitanium (TDMAT) and ammonia as precursor gases. However, TDMAT films have a high carbon content and when subjected to high temperatures in the presence of oxygen, become porous and, therefore, are unusable as a conductive contact.
- Thin TiN films and liners have also been deposited from titanium tetrachloride (TiCl4) and ammonia (NH3) by CVD onto a titanium (Ti) liner overlying the insulative layer. Although useful for forming a thin liner, when pure TiCl4-based TiN is deposited to fill a via or other contact opening, the material does not adhere well to the Ti thin layer, particularly when the TiN layer becomes greater than about 150 to about 200 angstroms thick.
- In addition, it has been found that chlorine (Cl2) within a contact fill material such as TiN, which has been deposited from a chlorine-containing precursor such as TiCl4, can diffuse into and corrode an overlying interconnect (e.g., aluminum), thus ruining the device.
- Another problem lies in the formation of a conductive contact (e.g., contact plug) in a contact hole or via. Typically, a conductive material is blanket deposited over the surface of the substrate including into the contact hole, thus forming a continuous film. If an anneal is needed, the continuous film layer is typically subjected to a high temperature anneal, and then excess material is removed from the surface of the substrate by a chemical mechanical polishing (CMP) process, leaving the contact plug within the hole. A problem arises, however, during the high temperature anneal with cracking of the blanket material layer.
- Therefore, it would be desirable to provide a conductive contact and a method of forming the contact that avoids such problems.
- The present invention provides methods for forming conductive contacts in the construction of semiconductive devices, and the conductive components formed by those methods. The method is useful for fabricating contacts to electrical components beneath an insulation layer in an integrated circuit such as memory devices.
- The present TiCl4-based titanium nitride films are particularly useful as conductive contacts to replace tungsten (W) plugs in high aspect ratio features, particularly openings and other features having an aspect ratio of 3:1 or greater. The films also overcome inadequacies of pure TiCl4-based titanium nitride films that are used as fill material for forming conductive contacts or interconnects within contact openings formed through an insulative layer of a semiconductor structure. Pure TiCl4-based titanium nitride fills do not adhere well to the surface of insulative sidewalls of a contact opening, and can also cause the insulative layer to crack due, at least in part, to the pressure exerted when the thickness of the fill within the contact opening is about 200 angstroms or greater.
- The present invention overcomes the problems of a pure TiCl4-based titanium nitride plugs or barrier film by incorporating diborane (B2H6) into the gas mixture to dope the TiCl4-based titanium nitride film during the deposition process. The addition of B2H6 to the precursor gas used to form the TiCl4-based titanium nitride film has been found to improve the mechanical properties of the resulting titanium nitride film with substantially no impact on its conductive properties. In particular, the gaseous mixture used to form the boron-doped, titanium nitride contacts comprises diborane (B2H6) in an amount effective to provide a contact having an amount of boron to provide a level of adhesion of the conductive contact to the insulative sidewalls of the contact opening to substantially eliminate peeling of the contact from the sidewalls and cracking of the body of the insulative layer. The mixture further includes an amount of ammonia (NH3) to provide the contact with a level of nitrogen effective to maintain the conductivity of the contact at a predetermined level for an effective electrical contact with a conductive or active area within the substrate to/from an active area within a semiconductor device and/or a memory or logic array.
- However, one drawback of titanium nitride films formed from TiCl4, including the boron-doped films described herein, is that the chlorine (Cl2) within the formed contact can diffuse into an overlying material, for example, an overlying interconnect of aluminum, and corrode and ruin the device. It has been found that a high temperature anneal of the TiCl4-based titanium nitride film in a nitrogen-containing atmosphere, preferably ammonia (NH3), removes excess Cl2 from the contact material to overcome the diffusion problem. It has also been found that conducting a CMP process to remove excess material from the substrate prior to the anneal step avoids undesirable problems with cracking of the film layer and the wafer substrate.
- In one aspect, the invention provides methods for forming a contact in a via or other contact opening of a semiconductor structure. The opening is formed through an insulative layer to a conductive or active area, such as a source/drain region, in an underlying silicon substrate. The method is particularly useful for forming contacts within vias and other openings having an aspect ratio of about 3:1 or greater, and a width dimension of about 0.25 μm or less.
- According to an embodiment of the method of the invention, a conductive material is blanket deposited over the substrate to fill the opening, and excess material is removed from the surface, preferably by chemical-mechanical polishing (CMP), with the conductive material remaining in the opening to form the contact. The contact is then heated to a high temperature, preferably by use of a rapid thermal anneal process, in a reactive gas to remove an undesirable component from the contact material.
- In an example of this embodiment of the method, a titanium nitride contact can be formed by first depositing a seed layer comprising titanium silicide (TiSix) over the silicon substrate at the bottom of the contact opening, preferably to a thickness of about 250 to about 300 angstroms, for example, from a plasma source gas comprising titanium tetrachloride (TiCl4) and hydrogen (H2) by plasma-enhanced chemical vapor deposition (PECVD). A titanium nitride or boron-doped titanium nitride film (i.e., titanium boronitride, TiBxNy) can then be deposited onto the seed layer to fill the contact opening, typically to a thickness of about 1000 to about 3000 angstroms. The film layer can be deposited from a source gas mixture of TiCl4, NH3, and one or more carrier gases, with the addition of B2H6 to form the boron-doped layer, by thermal CVD at a pressure of about 1 to about 15 Torr and a temperature of about 550 to about 700° C. The substrate is then processed, preferably by CMP, to remove excess material from the substrate while leaving the titanium nitride material in the contact opening. The contact is then subjected to a high temperature in a nitrogen-containing gas, preferably ammonia (NH3) at above 700° C. with a rapid thermal anneal, to remove a high percentage of the chlorine (Cl2) content from the contact material, preferably up to about 99% by wt.
- In another example of the method of the invention, a multi-layered titanium nitride contact is formed within a contact opening of a semiconductive structure. A titanium silicide seed layer is first formed over the silicon substrate at the bottom of the contact opening. To form the layered contact, alternating layers of titanium nitride and boron-doped titanium nitride can then be deposited over the seed layer. In forming the alternating layers, a layer comprising titanium nitride (undoped) can be deposited from a first gaseous mixture comprising TiCl4 and NH3, to form a layer typically about 100 to about 500 angstroms thick. Diborane (B2H6) can then be introduced into the gaseous mixture to deposit an intermediate layer of boron-doped titanium nitride to form a layer typically about 100 to about 500 angstroms thick. The flow of diborane into the gas mixture can then be stopped to deposit a next layer of titanium nitride layer that is not doped to a typical thickness of about 100 to about 500 angstroms. Additional alternating layers of doped and undoped titanium nitride can be deposited to fill the opening, with the uppermost layer being undoped titanium nitride. Excess material is then removed from the substrate by CMP, and the contact is subjected to a heat treatment, preferably by a rapid thermal anneal, preferably in ammonia at greater than 700° C., to decrease the chlorine (Cl2) content of the contact.
- Another aspect of the invention is a conductive contact formed in a semiconductor structure of a semiconductor circuit. The semiconductor structure comprises a silicon substrate, an overlying insulative layer, a contact opening formed through the insulative layer to expose the underlying silicon substrate, and the conductive contact formed within the opening.
- In one embodiment of a contact according to the invention, the contact comprises a thermally annealed layer of titanium nitride and/or boron-doped titanium nitride overlying a titanium silicide layer formed over the substrate at the bottom of the opening, the contact having a low chlorine (Cl2) content, preferably less than about 1% by wt.
- In another embodiment, the conductive contact comprises multiple layers of thermally annealed titanium nitride overlying a titanium silicide layer deposited onto the silicon substrate at the bottom of the contact opening, the contact having a low chlorine (Cl2) content, preferably less than about 1% by wt. The contact comprises alternating, overlying layers of undoped and boron-doped titanium nitride that fill the contact opening. An undoped titanium nitride layer overlies the titanium silicide layer, and also forms the uppermost layer of the conductive contact. The thickness of each of the individual layers is typically about 100 to about 500 angstroms.
- Another aspect of the invention is an integrated circuit (IC) device that includes the foregoing conductive contacts comprising titanium nitride and/or boron-doped titanium nitride. The IC device comprises an array of memory or logic cells, internal circuitry, and at least one generally vertical conductive contact coupled to the cell array and internal circuitry.
- In one embodiment of an integrated circuit device according to the invention, the IC device comprises a conductive contact comprising a thermally annealed titanium nitride and/or boron-doped titanium nitride fill that is formed within an insulative contact opening over a thin layer of titanium silicide deposited onto the exposed substrate at the bottom of a contact opening, and has a low chlorine (Cl2) content, preferably less than about 1% by wt. In another embodiment of an integrated circuit device, the conductive contact comprises thermally annealed material having a reduced chlorine (Cl2) content, preferably less than about 1% by wt., that is multi-layered, comprising alternating layers of titanium nitride (undoped) and boron-doped titanium nitride deposited onto a titanium silicide layer overlying the substrate at the bottom of a contact opening. The contact is in electrical contact with an active area such as a source/drain region of a transistor or a memory or logic cell array, or other semiconductor device.
- Advantageously, the present film overcomes limitations of tungsten plug fills in high aspect ratio devices, with parametric data showing superior results compared to that of tungsten. The films also have a decreased level of undesirably components such as chlorine that become incorporated into the film upon deposition of precursor gases to form the film. The present method provides a process of removing undesirable components such as chlorine and the like, from a contact which overcomes problems in the art with cracking from anneal processing steps, and without adversely effecting other structures and devices formed on the substrate. The present invention provides processes for forming conductive contacts that are fast, simple and inexpensive to implement in semiconductor manufacturing.
- Preferred embodiments of the invention are described below with reference to the following accompanying drawings, which are for illustrative purposes only. Throughout the following views, the reference numerals will be used in the drawings, and the same reference numerals will be used throughout the several views and in the description to indicate the same or like parts.
-
FIG. 1A is a diagrammatic cross-sectional view of a semiconductor wafer fragment at a preliminary step of a processing sequence. -
FIGS. 1B through 1D are views of the wafer fragment ofFIG. 1A at subsequent and sequential processing steps, showing fabrication of a conductive contact according to an embodiment of the method of the invention. -
FIGS. 2A through 2F are views of the wafer fragment ofFIG. 1A at subsequent and sequential processing steps, showing fabrication of a conductive contact according to another embodiment of the method of the invention. -
FIGS. 3A and 3B are graphical depictions showing the amount of thermal stress (Gdynes/cm2) versus diborane (B2H6) flow over a range of 200 to 600 sccm at reactor temperatures of 600° C. and 650° C. - The present invention encompasses methods of making integrated circuits, particularly methods for forming conductive contacts for providing electrical connection between conductive or active areas of discrete semiconductor devices or portions of such devices. In particular, the invention relates to methods of forming a conductive contact having a reduced concentration of unwanted constituents such as chlorine that become incorporated into the contact, for example, from precursors, during formation. The invention further relates to a contact structure incorporating a titanium nitride and/or a boron-doped titanium nitride film having a low chlorine content. The present invention is particularly useful in providing a conductive contact in openings and other features having a high aspect ratio of 3:1 or greater.
- The invention will be described generally with reference to the drawings for the purpose of illustrating the present preferred embodiments only and not for purposes of limiting the same. The figures illustrate processing steps for use in the fabrication of semiconductor devices in accordance with the present invention. It should be readily apparent that the processing steps are only a portion of the entire fabrication process.
- Integrated circuits include a large number of electronic semiconductor devices that are formed on varying levels of a semiconductor substrate. Exemplary semiconductor devices include capacitors, resistors, transistors, diodes, and the like. In manufacturing an integrated circuit, the discrete semiconductor devices that are located on nonadjacent structural levels are electrically connected, for example with an interconnect or conductive contact structure. The conductive contact generally comprises a region of conducting material that is formed between the semiconductor devices or portions of the semiconductor devices that are being placed in electrical communication. The conductive contact serves as a conduit for delivering electrical current between the semiconductor devices. Specific types of conductive contact structures include local interconnects, contacts, buried contacts, vias, plugs, and filled trenches. The present invention particularly deals with the method of making conductive contacts that are used in the fabrication of semiconductor devices.
- In the current application, the terms “semiconductive wafer fragment” or “wafer fragment” or “wafer” will be understood to mean any construction comprising semiconductor material, including but not limited to bulk semiconductive materials such as a semiconductor wafer (either alone or in assemblies comprising other materials thereon), and semiconductive material layers (either alone or in assemblies comprising other materials). The term “substrate” refers to any supporting structure including, but not limited to, the semiconductive wafer fragments or wafers described above. The term “undesirable component” refers to any element or compound contained within the contact material that will adversely affect a semiconductor device, for example, a high concentration of chlorine that can corrode an overlying aluminum interconnect and adversely affect the device.
- A first embodiment of a method of the present invention is described with reference to
FIGS. 1A-1D , in a method of forming aconductive contact 34. In the illustrated example, thecontact 34 comprises titanium nitride or boron-doped titanium nitride. The contact is illustrated and will be described as being coupled to a diffusion region. However, the contacts of the present invention can be used wherever required within the structure of a semiconductor circuit. - Referring to
FIG. 1A , asemiconductive wafer fragment 10 is shown at a preliminary processing step. Thewafer fragment 10 in progress can comprise a semiconductor wafer substrate or the wafer along with various process layers formed thereon, including one or more semiconductor layers or other formations, and active or operable portions of semiconductor devices. - The
wafer fragment 10 is shown as including a silicon-comprising base layer orsubstrate 12. Anexemplary substrate 12 is monocrystalline silicon that is typically lightly doped with a conductivity enhancing material. Formed at thesurface 14 of thesubstrate 12 are atransistor structure 16 and anoverlying insulative layer 18. Thetransistor 16, comprising agate 20 and adjacent source/drain diffusion regions - The
insulative layer 18 comprises an oxide, for example, silicon dioxide (SiO2), phosphosilicate glass (PSG), borosilicate glass (BSG), and borophosphosilicate glass (BPSG), in a single layer or multiple layers, being BPSG in the illustrated embodiment. TheBPSG insulative layer 18 has been etched using a known photolithography technique, for example, reactive ion etching (RIE), while masking with a patterned photoresist layer (not shown) to provide a via or other contact opening 24 defined byinsulative sidewalls 26 and abottom portion 28. The contact opening extends to thediffusion region 22 a (i.e., source/drain region) in theunderlying silicon substrate 12 to which electrical contact is to be made. - Referring to
FIG. 1B , a titanium silicide (TiSix)seed layer 30 is formed over the exposed surfaces 14, 18 respectively, of the silicon substrate at the bottom 28 of thecontact opening 24 and theinsulative layer 18. Typically, the seed layer is formed to a thickness of about 250 to about 300 angstroms. The resulting TiSix seed layer 30 that forms at the interface with thediffusion region 22 a is useful to lower resistance in the contact region. Techniques and process systems for forming a titanium silicide layer are well known in the art, as described, for example, in U.S. Pat. No. 6,086,442 (Sandhu, et al.) and U.S. Pat. No. 5,976,976 (Doan, et al.), the disclosures of which are incorporated by reference herein. - Preferably, the TiSix seed layer 30 is formed by a conventional plasma enhanced chemical vapor deposition (PECVD) process that comprises forming an RF plasma from source gases comprising titanium tetrachloride (TiCl4), hydrogen (H2), a silicon precursor such as silane (SiH4) or dichlorosilane (SiH2Cl2), and carrier gases such as argon (Ar) and/or helium (He) to deposit a layer of titanium silicide (TiSix) over the substrate (silicon)
surface 14 and thesurface 19 of theinsulative layer 18. Exemplary process conditions for achieving the formation of the TiSix seed layer 30 include a temperature of about 650° C., a process pressure of about 0.5 to about 20 Torr, an rf power range of about 400 watts, and flow rates of about 150 to about 300 sccm TiCl4, about 1000 to about 8000 sccm hydrogen (H2), about 1 to about 100 sccm silane (SiH4), about 1000 sccm argon (Ar), and about 50 sccm nitrogen (N2). - Although the preferred process for forming the TiSix seed layer is by PECVD technique, the TiSix seed layer 30 can also be formed by a depositing a thin layer of titanium by physical vapor deposition (PVD), i.e., sputtering, onto the
surface 14 of thesubstrate 12 at the bottom of the contact opening, and then performing an anneal step (about 650° C.) in an ambient gas such as nitrogen, argon, ammonia, or hydrogen. This causes the titanium to react with the silicon exposed on thesurface 14 of thediffusion region 22 a to form the TiSix seed layer 30. Such a process is said to be self-aligning, as the TiSix is only formed where the titanium metal contacts the silicon active regions. - Another example of a method to deposit the TiSix seed layer 30 is by a conventional low pressure CVD (LPCVD) process. Exemplary process conditions include a process temperature of about 650° C. to about 900° C., and a pressure of about 10 mTorr to about 1 Torr, using titanium tetrachloride (TiCl4) plus a silicon precursor or source gas such as silane (SiH4) or dichlorosilane (SiH2Cl2) at a ratio of about 5:1, in a carrier gas such as helium.
- To overcome the problems that occur in the use of a pure TiCl4-based titanium nitride plug or contact, such as peeling of the contact from the insulative sidewalls of the contact opening and cracking of the insulative layer, the invention utilizes a boron-doped, TiCl4-based titanium nitride fill (titanium boronitride) to form the conductive contact or plug. Preferably, the foregoing conductive contact is formed by a conventional thermal chemical vapor deposition (TCVD) process. Such TCVD techniques and process systems are well known in the art, as described, for example, in U.S. Pat. No. 6,037,252 (Hillman et al.), and U.S. Pat. No. 5,908,947 (Iyer and Sharan), the disclosures of which are incorporated by reference herein. TCVD systems include standard thermal reactors such as cold wall/hot substrate reactors and hot wall reactors, plasma-assisted reactors, radiation beam assisted reactors, and the like.
- Typically, in a TCVD process, the substrate is placed in a reaction chamber (not shown) in which the substrate and/or the gaseous precursor is heated. Preferably, the substrate is heated to a temperature in excess of the decomposition temperature of the precursor gases. When the gases are introduced into the reaction chamber and brought into contact with the substrate, the gases decompose on the surface of the substrate to deposit the titanium boronitride film comprising the metal and elements of the precursor or reactant gases.
- In an exemplary TCVD process to deposit a titanium nitride (TiN) or boron-doped TiN (TiBxNy) layer according to the invention using hot or cold wall thermal chemical vapor deposition, the
wafer fragment 10 is positioned in the TCVD reactor (not shown) and a source gas comprising titanium tetrachloride (TiCl4), ammonia (NH3), one or more inert carrier gases such as argon, helium and/or nitrogen, and diborane (B2H6) to form a boron-doped TiN layer, is flowed into the reactor under conditions effective to chemical vapor deposit alayer 32 of TiCl4-based titanium (doped or undoped) nitride over the titanium silicide (TiSix)seed layer 30 within thecontact opening 24. The gaseous material is blanket deposited to a thickness to completely fill the contact opening, resulting in the structure shown inFIG. 1C . Preferred flow rates of the precursors are about 100 to about 500 sccm TiCl4, about 100 to about 1000 sccm NH3, and about 100 to about 1000 sccm B2H6 (for a boron-doped film). The preferred temperature within the reactor (hot wall) or of the susceptor (cold wall) is from about temperature of about 550 to about 700° C., preferably about 560 to about 650° C., with pressure conditions within the reactor being from about 1 Torr to about 15 Torr, preferably about 10 Torr. Typically, to fill a contact opening, about 1000 to about 3000 angstroms of material is typically deposited. - High-aspect-ratio contacts (aspect ratio of 3:1 or greater) that are made of TiCl4-based TiN without the inclusion of B2H6 in the source gas, and have a thickness greater than about 150 to about 200 angstroms, possess a reduced level of adherence to the insulative sidewalls of a contact opening. This results in the contact peeling away from the sidewalls of the opening. In addition, when such contacts reach a thickness of about 200 angstroms or more, the high thermal stress of the fill material can cause cracking of the insulative layer. With the addition of increasing amounts of B2H6 to the TiCl4 and NH3 gaseous components, there is an increase in the adhesion of the fill material of the
contact 34 with the insulative sidewalls 26 of theopening 24, and a reduction in the thermal stress level, which substantially eliminates cracking of theinsulative layer 18. However, as the amount of boron increases, there is also a reduction in the level of conductivity (and increase in resistance) of thecontact 34. To counteract this effect, the ammonia in the gas mixture is provided in an amount effective to maintain the conductivity of the formedcontact 34 at a predetermined level for an effective electrical contact with thediffusion area 22 a or other semiconductor structure. - The inclusion of B2H6 in the source gas results in a TiCl4-based, boron doped titanium nitride
conductive layer 32 having the general formula TiBxNy (titanium boronitride). Such films are particularly useful as a fill in high-aspect-ratio contact openings and vias, particularly those having an aspect ratio of 3:1 or greater. The amounts of the B2H6 and the NH3 gases that are flowed into the system are maintained so as to provide a fill having a level of adherence to the insulative sidewalls 26 of thecontact opening 24 such that the formedcontact 34 remains attached to and does not peel away from the sidewalls, and no substantial cracks develop in the body of theinsulative layer 18. - After deposition of the titanium nitride (doped or undoped) fill material,
excess material 32 is removed from thesurface 19 of the insulative layer, leaving the fill in theopening 24 to form the conductive contact or plug 34, as shown inFIG. 1D . Thecontact 34 provides electrical connection to/from the diffusion region (conductive area) 22 a to various parts of the semiconductor device. Theexcess material 32 can then be removed according to a conventional method known in the art, preferably, by chemical mechanical polishing (CMP). - The
contact 34 is then subjected to a heat treatment, preferably with a rapid thermal anneal process, to at least about 700° C., preferably about 700 to about 800° C., in a nitrogen-containing gas, preferably ammonia (NH3), for a time of up to about 20 seconds, to drive out chlorine (Cl2) incorporated into the contact from the TiCL4 precursor during deposition. Preferably, the heat treatment reduces the concentration of chlorine in the contact by at least about 50% by wt., preferably by at least about 75% by wt., more preferably by at least about 95% by wt. - Advantageously, the present process of first removing the excess contact material by CMP, and then thermally annealing the titanium nitride fill material remaining as the
contact 34 in a nitrogen-containing gas such as ammonia, reduces the chlorine content in the fill material without significantly changing the other properties of the film stack, particularly the advantages provided by the incorporation of boron into the film layer. - The resulting
contact 34 comprises a titanium nitride layer (boron-doped or undoped) overlying a titanium silicide layer deposited onto the substrate at the bottom of the contact opening. Thecontact 34 possesses a reduced level of chlorine (Cl2) as a result of the thermal anneal in ammonia (NH3) or other nitrogen-containing gas. Preferably, the chlorine content of the contact following the anneal is less than about 4% by wt., preferably less than 3% by wt., more preferably less than about 1% by wt. Boron-doped titanium nitride contacts also possess a high level of adhesion to the insulative sidewalls of the opening, have a sufficiently low thermal stress level, measured in force per unit area (i.e., Gdynes/cm2), to substantially eliminate cracking of the insulative layer, and are highly conductive with low electrical resistivity. - Although not shown, a passivation layer can then be formed over the device. Optionally, other interconnects and contact structures (not shown) can be formed overlying the present structure.
- In another embodiment of the method of the invention, a multi-layered boron-doped and undoped titanium nitride contact can be fabricated in a wafer fragment, as depicted in
FIGS. 2A-2F . - Referring to
FIG. 2A , awafer fragment 10′ is shown before processing. Briefly,wafer fragment 10′ includes a silicon-comprisingsubstrate 12′, for example, monocrystalline silicon, with anactive area 22 a′ such as a source/drain region. Anoverlying insulative layer 18′ comprising, for example, BPSG, has an exposedsurface 19′ and acontact opening 24′ having sidewalls 26′ and abottom portion 28′. Thecontact opening 24′ extends to theactive area 22 a′. - Referring to
FIG. 2B , a thin titanium silicide (TiSix)layer 30′ is formed over theactive area 22 a′ at the bottom 28′ of theopening 24′. The TiSix layer 30′ preferably has a thickness of about 250 to about 300 angstroms. The TiSix layer 30′ can be formed by conventional methods, as previously described, and preferably by PECVD using TiCl4, H2, and one or more carrier gases. - A layered contact is formed by depositing alternating layers of TiCl4-based titanium nitride and a boron-doped TiCl4-based titanium nitride into the contact opening, such that a boron-doped titanium nitride layer is interposed between two layers of non-doped titanium nitride. The multi-layered contact can be formed by conventional thermal CVD processing at a temperature of about 550 to about 700° C., preferably about 560 to about 650° C., and a pressure of about 1 Torr to about 15 Torr, preferably about 10 Torr.
- A gas mixture comprising titanium tetrachloride (TiCl4) and ammonia (NH3) and one or more carrier gases can be flowed into the reactor to form a
layer 36 a′ of non-doped titanium nitride onto the TiSix seed layer 30′ to a desired thickness, typically about 100 to about 500 angstroms, resulting in the structure shown inFIG. 2C . Preferred flow rates for the gas mixture are about 100 to about 500 sccm TiCl4 and about 100 to about 1000 sccm NH3. - As shown in
FIG. 2D , diborane (B2H6) is then flowed into the reactor, and alayer 32′ comprising boron-doped, titanium nitride is deposited onto the non-doped titanium nitride layer from a gas mixture comprising TiCl4, NH3, and B2H6. The boron-doped,titanium nitride layer 32′ is deposited to a desired thickness of about 100 to about 500 angstroms. Preferred flow rates for the gas mixture are about 100 to about 500 sccm TiCl4, about 100 to about 1000 sccm NH3, and about 100 to about 1000 sccm B2H6. As previously discussed, the flow of NH3 and B2H6 can be controlled to modify the adhesiveness, thermal stress level, and conductivity of the resulting multi-layered contact. - The flow of B2H6 is then ceased, and the first source gas mixture (i.e., TiCl4, NH3) is flowed into the reactor to form a
layer 36 b′ comprising undoped titanium nitride, as shown inFIG. 2E . Thetitanium nitride layer 36 b′ is deposited to a desired thickness, typically about 100 to about 500 angstroms. Thetitanium nitride layer 36 b′ can be deposited to fill the opening. Alternatively, additional layers of boron-doped titanium nitride can be deposited between two layers of non-doped titanium nitride as desired to fill thecontact opening 24′, with the uppermost layer of the contact comprising non-doped titanium nitride. - Excess fill material is then removed as depicted in
FIG. 2F , for example, by CMP, to form theconductive contact 34′. - The
contact 34′ is then subjected to a thermal anneal at an elevated temperature, preferably greater than 700° C., preferably about 700° C. to about 800° C., in a nitrogen-containing atmosphere, preferably ammonia (NH3), to drive the chlorine (Cl2) deposited from the TiCl4 precursor out of the contact material. - Sandwiching a layer of boron-doped
titanium nitride 32′ between undoped titanium nitride substantially reduces the thermal stress in a TiCl4-based TiN fill material. This allows the fill to be used as a conductive contact to replace tungsten (W) plugs in high aspect ratio features. The combination of alternating layers achieves a TiCl4-based TiN contact having a level of adhesion that substantially eliminates peeling of the formed contact from the sidewalls of the contact opening. It also provides a lowered level of thermal stress that substantially reduces cracking of the body of the insulative layer, particularly when the thickness of the contact reaches about 500 angstroms or greater. In addition, the resulting contact has a high level of conductivity for an effective electrical contact to a diffusion region or other conductive structure. The removal or reduction of chlorine (or other component) from the contact material by a high temperature anneal in ammonia (or other reactive gas) provides a contact having increased compatibility with an adjacent or overlying conductive material, for example, an aluminum interconnect. In addition, conducting the thermal anneal step after removing excess conductive material from the surface of the substrate eliminates problems encountered with cracking of the film layer and/or the substrate with thermal anneals performed on a blanket material layer overlying the substrate. - A boron-doped TiCl4-based titanium nitride (TiN) contact was formed in a high aspect ratio opening of a BPSG layer, without removal of chlorine by RTP anneal. The flow of diborane (B2H6) was varied over a range to test the change in thermal stress (Gdynes/cm2) of the boron-doped, TiCl4-based TiN contact on the BPSG insulative layer.
- A wafer fragment was provided that had a silicon substrate layer and an overlying layer of BPSG. A contact opening was formed through the BPSG layer. The aspect ratio of the opening was 10:1.
- The TiCl4-based TiN film was deposited by thermal CVD at a pressure of 10 Torr using a Centura system, available from Applied Materials company of Santa Clara, Calif. The precursor gases were flowed into the reactor as follows: 340 sccm TiCl4, 200 sccm NH3, 3000 sccm argon (Ar), and 2000 sccm gaseous nitrogen (N2). The diborane (B2H6) was flowed into the reactor at a rate ranging from 200 sccm to 600 sccm. Data was measured at two different temperatures: 600° C. and 650° C.
- The results are shown in a graphical form in
FIGS. 3A and 3B . As indicated, as the amount of boron (i.e., B2H6) was increased, the stress (Gdynes/cm2) of the TiCl4-based TiN material decreased to a neutral or zero stress level and below. Thus, by varying the B2H6 flow, the thermal stress of the TiCl4-based TiN film can be adjusted such that the material does not cause the insulative layer (e.g., BPSG) to crack. - A boron-doped TiCl4-based titanium nitride (TiN) contact was formed in a high aspect ratio opening of a BPSG layer according to the method of the invention. A boron-doped TiN film was formed in a contact opening (10:1 aspect ratio) in a BPSG layer overlying a silicon substrate, as described in Example 1.
- Excess titanium nitride film material was removed from the surface of the BPSG layer by conventional CMP, leaving the film material within the contact opening. The wafer was then subjected to a high temperature anneal by rapid thermal processing (RTP) in an ammonia (NH3) atmosphere at 750° C. for 25 seconds. PEELS micrographs showed differences in the chlorine (Cl2) content of the boron-doped titanium nitride material before and after the high temperature anneal.
- In compliance with the statute, the invention has been described in language more or less specific as to structural and methodical features. It is to be understood, however, that the invention is not limited to the specific features shown and described, since the means herein disclosed comprise preferred forms of putting the invention into effect. The invention is, therefore, claimed in any of its forms or modifications within the proper scope of the appended claims appropriately interpreted in accordance with the doctrine of equivalents.
Claims (30)
1. A method of forming a material layer on a substrate, comprising the steps of:
forming the material layer on the substrate to a thickness of about 500 angstroms or greater, the material layer comprising a component capable of diffusing into and corroding an adjacent metal layer; and
heat treating the material layer using a reactive gas at a temperature of about 550° C. or greater to remove an effective amount of the component from the material layer to eliminate corrosion of said adjacent metal layer without forming substantial cracks within the material layer.
2. A method of forming a material layer on a substrate, comprising the steps of:
forming a metal nitride layer on the substrate to a thickness of about 500 angstroms or greater, the metal nitride layer comprising a component capable of diffusing into and corroding an adjacent metal layer; and
heat treating the metal nitride layer using a reactive gas at a temperature of about 550° C. or greater to remove an effective amount of the component from the metal nitride layer to eliminate corrosion of said adjacent metal layer by said component, without forming substantial cracks within the metal nitride layer.
3. A method of forming a conductive material layer on a substrate, comprising the steps of:
forming a layer of titanium nitride on the substrate to a thickness of about 500 angstroms or greater, the titanium nitride layer comprising chlorine; and
heat treating the titanium nitride layer using a reactive gas at a temperature of about 550° C. or greater to remove an effective amount of the chlorine from the titanium nitride layer to eliminate corrosion of an adjacent metal layer by said chlorine.
4. A method of forming a conductive material layer on a substrate, comprising the steps of:
forming a layer of titanium boronitride on the substrate to a thickness of about 500 angstroms or greater, the titanium boronitride layer comprising chlorine;
heat treating the titanium boronitride layer using a reactive gas at a temperature of about 550° C. or greater to remove an effective amount of the chlorine from the titanium boronitride layer to eliminate corrosion of an adjacent metal layer by said chlorine.
5. A method of forming a conductive material layer on a substrate, comprising the steps of:
forming a layer of titanium nitride on the substrate to a thickness of about 500 angstroms or greater, the titanium nitride layer comprising chlorine;
forming a layer of titanium boronitride on the titanium nitride layer to a thickness of about 500 angstroms or greater, the titanium boronitride layer comprising chlorine;
repeating the steps of forming the titanium nitride layer and the titanium boronitride layer to form the material layer to a thickness of about 500 angstroms or greater, the material layer comprising sequential layers of titanium nitride and titanium boronitride; and
heat treating the material layer in a reactive gas at a temperature of about 550° C. or greater to remove an effective amount of the chlorine from the material layer to reduce corrosion of an adjacent metal layer by said chlorine.
6. A method of forming a conductive material layer on a substrate, comprising the steps of:
depositing a gas comprising titanium tetrachloride and ammonia onto a substrate to form a layer of titanium nitride to a thickness of about 500 angstroms or greater, the titanium nitride layer comprising chlorine; and
heat treating the titanium nitride layer using a reactive gas at a temperature of about 550° C. or greater to remove an effective amount of the chlorine from the titanium nitride layer to reduce corrosion of an adjacent metal layer by said chlorine.
7. A method of forming a conductive material layer on a substrate, comprising the steps of:
depositing a gas comprising titanium tetrachloride, ammonia, and diborane onto a substrate to form a layer of titanium boronitride to a thickness of about 500 angstroms or greater, the titanium boronitride layer comprising chlorine; and
heat treating the titanium boronitride layer in a reactive gas at a temperature of about 550° C. or greater to remove an effective amount of the chlorine from the titanium boronitride layer to reduce corrosion of an adjacent metal layer by said chlorine.
8. A method of forming a conductive material layer on a substrate, comprising the steps of:
depositing a first gas comprising titanium tetrachloride and ammonia onto a substrate to form a layer of titanium nitride to a thickness of about 100-500 angstroms, the titanium nitride layer comprising chlorine;
depositing a second gas comprising titanium tetrachloride, ammonia, and diborane onto the titanium nitride layer to form a layer of titanium boronitride to a thickness of about 100-500 angstroms, the titanium boronitride layer comprising chlorine;
repeating the steps of depositing the first and second gases to form the material layer to a thickness of about 500 angstroms or greater, the material layer comprising sequential layers of titanium nitride and titanium boronitride; and
heat treating the material layer in a reactive gas at a temperature of about 550° C. or greater to remove an effective amount of the chlorine from the material layer to reduce corrosion of an adjacent metal layer by said chlorine.
9. A method of forming a material layer on a substrate, comprising the steps of:
forming a metal nitride layer on the substrate to a thickness of about 500 angstroms or greater, the metal nitride layer comprising a component capable of diffusing into and corroding an adjacent metal layer; and
heat treating the metal nitride layer using a reactive gas at a temperature of about 700° C. or greater to remove an effective amount of the component from the metal nitride layer to eliminate corrosion of said adjacent metal layer by said component, without forming substantial cracks within the metal nitride layer.
10. A method of forming a fill, comprising the steps of:
forming a fill of about 500 angstroms or greater within an opening in a substrate, the fill comprising a component capable of diffusing into and corroding an adjacent metal layer; and
heat treating the fill using a reactive gas at a temperature of about 550° C. or greater to remove an effective amount of the component from the fill to eliminate corrosion of said adjacent metal layer by said component, without forming substantial cracks within the fill.
11. The method of claim 10 , wherein the opening has an aspect ratio of at least about 3:1.
12. A method of forming a fill, comprising the steps of:
forming a fill of about 500 angstroms or greater within an opening in a substrate, the fill comprising metal nitride and a component capable of diffusing into and corroding an adjacent metal layer; and
heat treating the fill using a reactive gas at a temperature of about 550° C. or greater to remove an effective amount of the component from the fill to eliminate corrosion of said adjacent metal layer by said component.
13. The method of claim 12 , wherein the component comprises chlorine.
14. A method of forming a fill, comprising the steps of:
forming a fill of about 500 angstroms or greater within an opening in a substrate, the fill comprising titanium nitride and chlorine; and
heat treating the fill using a reactive gas at a temperature of about 550° C. or greater to remove an effective amount of the chlorine from the fill to eliminate corrosion of an adjacent metal layer by said chlorine.
15. A method of forming a fill, comprising the steps of:
forming a fill of about 500 angstroms or greater within an opening in a substrate, the fill comprising titanium boronitride and chlorine; and
heat treating the fill using a reactive gas at a temperature of about 550° C. or greater to remove an effective amount of the chlorine from the fill to eliminate corrosion of an adjacent metal layer by said chlorine.
16. A method of forming a fill, comprising the steps of:
forming a layer of titanium nitride within an opening in a substrate to a thickness of about 500 angstroms or greater, the titanium nitride layer comprising chlorine;
forming a layer of titanium boronitride on the titanium nitride layer to a thickness of about 500 angstroms or greater, the titanium boronitride layer comprising chlorine;
repeating the steps of forming the titanium nitride layer and the titanium boronitride layer to form the fill to a thickness of about 500 angstroms or greater, the fill comprising sequential layers of titanium nitride and titanium boronitride; and
heat treating the fill in a reactive gas at a temperature of about 550° C. or greater to remove an effective amount of the chlorine from the fill to reduce corrosion of an adjacent metal layer by said chlorine.
17. A method of forming a fill, comprising the steps of:
depositing a gas comprising titanium tetrachloride and ammonia onto a substrate within an opening to form a fill comprising titanium nitride and chlorine, the fill having a thickness of about 500 angstroms or greater; and
heat treating the fill in a reactive gas at a temperature of about 550° C. or greater to remove an effective amount of the chlorine from the fill to reduce corrosion of an adjacent metal layer by said chlorine.
18. A method of forming a fill, comprising the steps of:
depositing a gas comprising titanium tetrachloride, ammonia, and diborane onto a substrate within an opening to form a fill comprising titanium boronitride and chlorine, the fill having a thickness of about 500 angstroms or greater; and
heat treating the fill in a reactive gas at a temperature of about 550° C. or greater to remove an effective amount of the chlorine from the fill to reduce corrosion of an adjacent metal layer by said chlorine.
19. A method of forming a fill, comprising the steps of:
depositing a first gas comprising titanium tetrachloride and ammonia onto a substrate within an opening to form a first layer comprising titanium nitride and chlorine, the first layer having a thickness of about 100-500 angstroms;
depositing a second gas comprising titanium tetrachloride, ammonia, and diborane into the opening to form a second layer comprising titanium boronitride and chlorine, the second layer having a thickness of about 500 angstroms or greater; and
repeating the steps of depositing the first and second gases to form the fill to a thickness of about 500 angstroms or greater, the fill comprising sequential layers of titanium nitride and titanium boronitride; and
heat treating the fill in a reactive gas at a temperature of about 550° C. or greater to remove an effective amount of the chlorine from the fill to reduce corrosion of an adjacent metal layer by said chlorine.
20. A conductive contact, comprising a metal nitride fill within an opening in a substrate, the fill having a thickness of about 500 angstroms or greater, and formed using a gas comprising a metal chloride gas and a nitrogen-based gas, and heat treated at a temperature of about 550° C. or greater to remove an effective amount of the chlorine from the fill to reduce corrosion of an adjacent metal layer by said chlorine.
21. A conductive contact, comprising a titanium nitride fill within an opening in a substrate, the fill having a thickness of about 500 angstroms or greater, and formed using a gas comprising titanium tetrachloride and a nitrogen-based gas, and heat treated at a temperature of about 550° C. or greater to remove an effective amount of chlorine from the fill to reduce corrosion of an adjacent metal layer by said chlorine.
22. A conductive contact, comprising a titanium boronitride fill within an opening in a substrate, the fill having a thickness of about 500 angstroms or greater, and formed using a gas comprising titanium tetrachloride, a nitrogen-based gas, and diborane, and heat treated at a temperature of about 550° C. or greater to remove an effective amount of chlorine from the fill to reduce corrosion of an adjacent metal layer by said chlorine.
23. A conductive contact, comprising a metal nitride fill within an opening in a substrate, the fill comprising alternating layers of titanium nitride and titanium boronitride, and a thickness of about 500 angstroms or greater, the fill formed using a first gas comprising titanium tetrachloride and a nitrogen-based gas and a second gas comprising titanium tetrachloride, a nitrogen-based gas and diborane, and heat treated at a temperature of about 550° C. or greater to remove an effective amount of chlorine from the fill to reduce corrosion of an adjacent metal layer by said chlorine.
24. A semiconductor device, comprising a conductive contact according to claim 20 .
25. A memory device, comprising:
an array of memory cells;
internal circuitry; and
a conductive contact according to claim 20 , coupled to the memory array and the internal circuitry.
26. An integrated circuit supported by a substrate, and comprising a conductive contact according to claim 20 .
27. A semiconductor device, comprising a metal nitride layer on a substrate, the metal nitride layer having a thickness of about 500 angstroms or greater, and formed using a gas comprising a metal chloride gas and a nitrogen-based gas, and heat treated at a temperature of about 550° C. or greater to remove an effective amount of the chlorine from the metal nitride layer to reduce corrosion of an adjacent metal layer by said chlorine.
28. The device of claim 27 , wherein the metal nitride layer comprises titanium nitride.
29. The device of claim 27 , wherein the metal nitride layer comprises titanium boronitride.
30. The device of claim 27 , wherein the metal nitride layer comprises alternating layers of titanium nitride and titanium boronitride, each layer about 100-500 angstroms thick.
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US11/471,209 US20060246714A1 (en) | 2001-08-29 | 2006-06-20 | Method of forming a conductive contact |
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US09/941,533 US7067416B2 (en) | 2001-08-29 | 2001-08-29 | Method of forming a conductive contact |
US11/471,209 US20060246714A1 (en) | 2001-08-29 | 2006-06-20 | Method of forming a conductive contact |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US09/941,533 Continuation US7067416B2 (en) | 2001-08-29 | 2001-08-29 | Method of forming a conductive contact |
Publications (1)
Publication Number | Publication Date |
---|---|
US20060246714A1 true US20060246714A1 (en) | 2006-11-02 |
Family
ID=25476650
Family Applications (3)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US09/941,533 Expired - Fee Related US7067416B2 (en) | 2001-08-29 | 2001-08-29 | Method of forming a conductive contact |
US10/431,061 Expired - Lifetime US6888252B2 (en) | 2001-08-29 | 2003-05-07 | Method of forming a conductive contact |
US11/471,209 Abandoned US20060246714A1 (en) | 2001-08-29 | 2006-06-20 | Method of forming a conductive contact |
Family Applications Before (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US09/941,533 Expired - Fee Related US7067416B2 (en) | 2001-08-29 | 2001-08-29 | Method of forming a conductive contact |
US10/431,061 Expired - Lifetime US6888252B2 (en) | 2001-08-29 | 2003-05-07 | Method of forming a conductive contact |
Country Status (1)
Country | Link |
---|---|
US (3) | US7067416B2 (en) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20050145902A1 (en) * | 2003-09-03 | 2005-07-07 | Chandra Mouli | Supression of dark current in a photosensor for imaging |
Families Citing this family (15)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6696368B2 (en) * | 2001-07-31 | 2004-02-24 | Micron Technology, Inc. | Titanium boronitride layer for high aspect ratio semiconductor devices |
US6746952B2 (en) | 2001-08-29 | 2004-06-08 | Micron Technology, Inc. | Diffusion barrier layer for semiconductor wafer fabrication |
US7659209B2 (en) * | 2001-11-14 | 2010-02-09 | Canon Anelva Corporation | Barrier metal film production method |
TWI253478B (en) * | 2001-11-14 | 2006-04-21 | Mitsubishi Heavy Ind Ltd | Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus |
US7311942B2 (en) | 2002-08-29 | 2007-12-25 | Micron Technology, Inc. | Method for binding halide-based contaminants during formation of a titanium-based film |
US7105894B2 (en) * | 2003-02-27 | 2006-09-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contacts to semiconductor fin devices |
US7074656B2 (en) | 2003-04-29 | 2006-07-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Doping of semiconductor fin devices |
KR100487563B1 (en) * | 2003-04-30 | 2005-05-03 | 삼성전자주식회사 | Semiconductor device and method of forming the same |
KR100630695B1 (en) * | 2004-08-04 | 2006-10-02 | 삼성전자주식회사 | Method of manufacturing semiconductor memory device |
US7150516B2 (en) * | 2004-09-28 | 2006-12-19 | Hewlett-Packard Development Company, L.P. | Integrated circuit and method for manufacturing |
US7360996B2 (en) * | 2005-12-07 | 2008-04-22 | General Electric Company | Wind blade assembly and method for damping load or strain |
US7400054B2 (en) * | 2006-01-10 | 2008-07-15 | General Electric Company | Method and assembly for detecting blade status in a wind turbine |
US20080050871A1 (en) * | 2006-08-25 | 2008-02-28 | Stocks Richard L | Methods for removing material from one layer of a semiconductor device structure while protecting another material layer and corresponding semiconductor device structures |
US7910994B2 (en) * | 2007-10-15 | 2011-03-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | System and method for source/drain contact processing |
JP5710529B2 (en) * | 2011-09-22 | 2015-04-30 | 株式会社東芝 | Semiconductor device and manufacturing method thereof |
Citations (30)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4793896A (en) * | 1988-02-22 | 1988-12-27 | Texas Instruments Incorporated | Method for forming local interconnects using chlorine bearing agents |
US4897709A (en) * | 1988-04-15 | 1990-01-30 | Hitachi, Ltd. | Titanium nitride film in contact hole with large aspect ratio |
US4987709A (en) * | 1989-03-08 | 1991-01-29 | Repla Limited | Frame construction system |
US5279857A (en) * | 1991-08-16 | 1994-01-18 | Materials Research Corporation | Process for forming low resistivity titanium nitride films |
US5608232A (en) * | 1993-02-15 | 1997-03-04 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor, semiconductor device, and method for fabricating the same |
US5700716A (en) * | 1996-02-23 | 1997-12-23 | Micron Technology, Inc. | Method for forming low contact resistance contacts, vias, and plugs with diffusion barriers |
US5747116A (en) * | 1994-11-08 | 1998-05-05 | Micron Technology, Inc. | Method of forming an electrical contact to a silicon substrate |
US5851680A (en) * | 1996-02-01 | 1998-12-22 | Tecmachine | Boron-doped titanium nitride coatings and coated parts |
US5908947A (en) * | 1996-02-09 | 1999-06-01 | Micron Technology, Inc. | Difunctional amino precursors for the deposition of films comprising metals |
US5946594A (en) * | 1996-01-02 | 1999-08-31 | Micron Technology, Inc. | Chemical vapor deposition of titanium from titanium tetrachloride and hydrocarbon reactants |
US5976976A (en) * | 1997-08-21 | 1999-11-02 | Micron Technology, Inc. | Method of forming titanium silicide and titanium by chemical vapor deposition |
US5977636A (en) * | 1997-01-17 | 1999-11-02 | Micron Technology, Inc. | Method of forming an electrically conductive contact plug, method of forming a reactive or diffusion barrier layer over a substrate, integrated circuitry, and method of forming a layer of titanium boride |
US5990021A (en) * | 1997-12-19 | 1999-11-23 | Micron Technology, Inc. | Integrated circuit having self-aligned CVD-tungsten/titanium contact plugs strapped with metal interconnect and method of manufacture |
US6010940A (en) * | 1996-11-29 | 2000-01-04 | Samsung Electronics Co., Ltd. | Methods for fabricating CVD TiN barrier layers for capacitor structures |
US6037252A (en) * | 1997-11-05 | 2000-03-14 | Tokyo Electron Limited | Method of titanium nitride contact plug formation |
US6086442A (en) * | 1999-03-01 | 2000-07-11 | Micron Technology, Inc. | Method of forming field emission devices |
US6156638A (en) * | 1998-04-10 | 2000-12-05 | Micron Technology, Inc. | Integrated circuitry and method of restricting diffusion from one material to another |
US6184135B1 (en) * | 1997-10-20 | 2001-02-06 | Industrial Technology Research Institute | Insitu formation of TiSi2/TiN bi-layer structures using self-aligned nitridation treatment on underlying CVD-TiSi2 layer |
US6200649B1 (en) * | 1999-07-21 | 2001-03-13 | Southwest Research Institute | Method of making titanium boronitride coatings using ion beam assisted deposition |
US6207557B1 (en) * | 1998-07-22 | 2001-03-27 | Samsung Electronics Co., Inc. | Method of forming multilayer titanium nitride film by multiple step chemical vapor deposition process and method of manufacturing semiconductor device using the same |
US20010002071A1 (en) * | 1999-08-24 | 2001-05-31 | Agarwal Vishnu K. | Boron incorporated diffusion barrier material |
US6284646B1 (en) * | 1997-08-19 | 2001-09-04 | Samsung Electronics Co., Ltd | Methods of forming smooth conductive layers for integrated circuit devices |
US20010025972A1 (en) * | 2000-04-03 | 2001-10-04 | Masaru Moriwaki | Semiconductor device and method for fabricating the same |
US20010030552A1 (en) * | 1999-04-06 | 2001-10-18 | Hu Yungjun Jeff | Conductive material for integrated circuit fabrication |
US20010030235A1 (en) * | 2000-04-12 | 2001-10-18 | Atecs Mannesmann Ag | Procedure for blocking certain international mobile subscriber identity ranges of prepaid and postpaid smart cards |
US6335277B2 (en) * | 1998-05-27 | 2002-01-01 | Nec Corporation | Method for forming metal nitride film |
US6436820B1 (en) * | 2000-02-03 | 2002-08-20 | Applied Materials, Inc | Method for the CVD deposition of a low residual halogen content multi-layered titanium nitride film having a combined thickness greater than 1000 Å |
US20020155219A1 (en) * | 1999-06-11 | 2002-10-24 | Shulin Wang | Plasma treatment of a titanium nitride film formed by chemical vapor deposition |
US20030025206A1 (en) * | 2001-07-31 | 2003-02-06 | Ammar Derraa | Boron-doped titanium nitride layer for high aspect ratio semiconductor devices |
US20030042607A1 (en) * | 2001-08-29 | 2003-03-06 | Ammar Derraa | Diffusion barrier layer for semiconductor wafer fabrication |
Family Cites Families (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH05267220A (en) | 1992-03-19 | 1993-10-15 | Sony Corp | Method of forming sealing layer and metal plug in semiconductor device |
JPH09306870A (en) | 1996-05-15 | 1997-11-28 | Nec Corp | Forming barrier film |
JP2904165B2 (en) | 1996-12-13 | 1999-06-14 | 日本電気株式会社 | Method for manufacturing semiconductor device |
JPH10223563A (en) | 1997-02-07 | 1998-08-21 | Nec Corp | Manufacturing method for semiconductor device |
-
2001
- 2001-08-29 US US09/941,533 patent/US7067416B2/en not_active Expired - Fee Related
-
2003
- 2003-05-07 US US10/431,061 patent/US6888252B2/en not_active Expired - Lifetime
-
2006
- 2006-06-20 US US11/471,209 patent/US20060246714A1/en not_active Abandoned
Patent Citations (47)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4793896C1 (en) * | 1988-02-22 | 2001-10-23 | Texas Instruments Inc | Method for forming local interconnects using chlorine bearing agents |
US4793896A (en) * | 1988-02-22 | 1988-12-27 | Texas Instruments Incorporated | Method for forming local interconnects using chlorine bearing agents |
US4897709A (en) * | 1988-04-15 | 1990-01-30 | Hitachi, Ltd. | Titanium nitride film in contact hole with large aspect ratio |
US4987709A (en) * | 1989-03-08 | 1991-01-29 | Repla Limited | Frame construction system |
US5279857A (en) * | 1991-08-16 | 1994-01-18 | Materials Research Corporation | Process for forming low resistivity titanium nitride films |
US5608232A (en) * | 1993-02-15 | 1997-03-04 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor, semiconductor device, and method for fabricating the same |
US5747116A (en) * | 1994-11-08 | 1998-05-05 | Micron Technology, Inc. | Method of forming an electrical contact to a silicon substrate |
US6054191A (en) * | 1994-11-08 | 2000-04-25 | Micron Technology, Inc. | Method of forming an electrical contact to a silicon substrate |
US5946594A (en) * | 1996-01-02 | 1999-08-31 | Micron Technology, Inc. | Chemical vapor deposition of titanium from titanium tetrachloride and hydrocarbon reactants |
US5851680A (en) * | 1996-02-01 | 1998-12-22 | Tecmachine | Boron-doped titanium nitride coatings and coated parts |
US5908947A (en) * | 1996-02-09 | 1999-06-01 | Micron Technology, Inc. | Difunctional amino precursors for the deposition of films comprising metals |
US5700716A (en) * | 1996-02-23 | 1997-12-23 | Micron Technology, Inc. | Method for forming low contact resistance contacts, vias, and plugs with diffusion barriers |
US6010940A (en) * | 1996-11-29 | 2000-01-04 | Samsung Electronics Co., Ltd. | Methods for fabricating CVD TiN barrier layers for capacitor structures |
US5977636A (en) * | 1997-01-17 | 1999-11-02 | Micron Technology, Inc. | Method of forming an electrically conductive contact plug, method of forming a reactive or diffusion barrier layer over a substrate, integrated circuitry, and method of forming a layer of titanium boride |
US6284646B1 (en) * | 1997-08-19 | 2001-09-04 | Samsung Electronics Co., Ltd | Methods of forming smooth conductive layers for integrated circuit devices |
US20010006240A1 (en) * | 1997-08-21 | 2001-07-05 | Micron Technology Inc. | Method of forming titanium silicide and titanium by chemical vapor deposition and resulting apparatus |
US6472756B2 (en) * | 1997-08-21 | 2002-10-29 | Micron Technology, Inc. | Method of forming titanium silicide and titanium by chemical vapor deposition and resulting apparatus |
US5976976A (en) * | 1997-08-21 | 1999-11-02 | Micron Technology, Inc. | Method of forming titanium silicide and titanium by chemical vapor deposition |
US6184135B1 (en) * | 1997-10-20 | 2001-02-06 | Industrial Technology Research Institute | Insitu formation of TiSi2/TiN bi-layer structures using self-aligned nitridation treatment on underlying CVD-TiSi2 layer |
US6037252A (en) * | 1997-11-05 | 2000-03-14 | Tokyo Electron Limited | Method of titanium nitride contact plug formation |
US5990021A (en) * | 1997-12-19 | 1999-11-23 | Micron Technology, Inc. | Integrated circuit having self-aligned CVD-tungsten/titanium contact plugs strapped with metal interconnect and method of manufacture |
US6156638A (en) * | 1998-04-10 | 2000-12-05 | Micron Technology, Inc. | Integrated circuitry and method of restricting diffusion from one material to another |
US6335277B2 (en) * | 1998-05-27 | 2002-01-01 | Nec Corporation | Method for forming metal nitride film |
US6207557B1 (en) * | 1998-07-22 | 2001-03-27 | Samsung Electronics Co., Inc. | Method of forming multilayer titanium nitride film by multiple step chemical vapor deposition process and method of manufacturing semiconductor device using the same |
US6086442A (en) * | 1999-03-01 | 2000-07-11 | Micron Technology, Inc. | Method of forming field emission devices |
US20010030552A1 (en) * | 1999-04-06 | 2001-10-18 | Hu Yungjun Jeff | Conductive material for integrated circuit fabrication |
US6329670B1 (en) * | 1999-04-06 | 2001-12-11 | Micron Technology, Inc. | Conductive material for integrated circuit fabrication |
US6646456B2 (en) * | 1999-04-06 | 2003-11-11 | Micron Technology Inc. | Conductive material for integrated circuit fabrication |
US20020155219A1 (en) * | 1999-06-11 | 2002-10-24 | Shulin Wang | Plasma treatment of a titanium nitride film formed by chemical vapor deposition |
US6555183B2 (en) * | 1999-06-11 | 2003-04-29 | Applied Materials, Inc. | Plasma treatment of a titanium nitride film formed by chemical vapor deposition |
US6200649B1 (en) * | 1999-07-21 | 2001-03-13 | Southwest Research Institute | Method of making titanium boronitride coatings using ion beam assisted deposition |
US20020001908A1 (en) * | 1999-08-24 | 2002-01-03 | Agarwal Vishnu K. | Boron incorporated diffusion barrier material |
US6511900B2 (en) * | 1999-08-24 | 2003-01-28 | Micron Technology, Inc. | Boron incorporated diffusion barrier material |
US20010002071A1 (en) * | 1999-08-24 | 2001-05-31 | Agarwal Vishnu K. | Boron incorporated diffusion barrier material |
US6630391B2 (en) * | 1999-08-24 | 2003-10-07 | Micron Technology, Inc. | Boron incorporated diffusion barrier material |
US6436820B1 (en) * | 2000-02-03 | 2002-08-20 | Applied Materials, Inc | Method for the CVD deposition of a low residual halogen content multi-layered titanium nitride film having a combined thickness greater than 1000 Å |
US20010025972A1 (en) * | 2000-04-03 | 2001-10-04 | Masaru Moriwaki | Semiconductor device and method for fabricating the same |
US6548389B2 (en) * | 2000-04-03 | 2003-04-15 | Matsushita Electric Industrial Co., Ltd. | Semiconductor device and method for fabricating the same |
US20010030235A1 (en) * | 2000-04-12 | 2001-10-18 | Atecs Mannesmann Ag | Procedure for blocking certain international mobile subscriber identity ranges of prepaid and postpaid smart cards |
US20030025206A1 (en) * | 2001-07-31 | 2003-02-06 | Ammar Derraa | Boron-doped titanium nitride layer for high aspect ratio semiconductor devices |
US20030075802A1 (en) * | 2001-07-31 | 2003-04-24 | Ammar Derraa | Boron-doped titanium nitride layer for high aspect ratio semiconductor devices |
US6696368B2 (en) * | 2001-07-31 | 2004-02-24 | Micron Technology, Inc. | Titanium boronitride layer for high aspect ratio semiconductor devices |
US6822299B2 (en) * | 2001-07-31 | 2004-11-23 | Micron Technology Inc. | Boron-doped titanium nitride layer for high aspect ratio semiconductor devices |
US20030077895A1 (en) * | 2001-08-29 | 2003-04-24 | Ammar Derraa | Diffusion barrier layer for semiconductor wafer fabrication |
US20030042607A1 (en) * | 2001-08-29 | 2003-03-06 | Ammar Derraa | Diffusion barrier layer for semiconductor wafer fabrication |
US6746952B2 (en) * | 2001-08-29 | 2004-06-08 | Micron Technology, Inc. | Diffusion barrier layer for semiconductor wafer fabrication |
US6791149B2 (en) * | 2001-08-29 | 2004-09-14 | Micron Technology, Inc. | Diffusion barrier layer for semiconductor wafer fabrication |
Cited By (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20050145902A1 (en) * | 2003-09-03 | 2005-07-07 | Chandra Mouli | Supression of dark current in a photosensor for imaging |
US7279395B2 (en) * | 2003-09-03 | 2007-10-09 | Micron Technology, Inc. | Suppression of dark current in a photosensor for imaging |
US20070296004A1 (en) * | 2003-09-03 | 2007-12-27 | Chandra Mouli | Suppression of dark current in a photosensor for imaging |
US7576376B2 (en) | 2003-09-03 | 2009-08-18 | Aptina Imaging Corporation | Suppression of dark current in a photosensor for imaging |
US20090286348A1 (en) * | 2003-09-03 | 2009-11-19 | Chandra Mouli | Suppression of dark current in a photosensor for imaging |
US7776639B2 (en) | 2003-09-03 | 2010-08-17 | Aptina Imaging Corporation | Suppression of dark current in a photosensor for imaging |
Also Published As
Publication number | Publication date |
---|---|
US20030199152A1 (en) | 2003-10-23 |
US20030042606A1 (en) | 2003-03-06 |
US6888252B2 (en) | 2005-05-03 |
US7067416B2 (en) | 2006-06-27 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US20060246714A1 (en) | Method of forming a conductive contact | |
US6787461B2 (en) | Method for forming a plug metal layer | |
KR100546943B1 (en) | Semiconductor Device Formation Method | |
US6127256A (en) | Semiconductor device and method of manufacturing the same | |
US5447887A (en) | Method for capping copper in semiconductor devices | |
US5760475A (en) | Refractory metal-titanium nitride conductive structures | |
US6940172B2 (en) | Chemical vapor deposition of titanium | |
US6284651B1 (en) | Method for forming a contact having a diffusion barrier | |
US6696368B2 (en) | Titanium boronitride layer for high aspect ratio semiconductor devices | |
US7402512B2 (en) | High aspect ratio contact structure with reduced silicon consumption | |
US6790778B1 (en) | Method for capping over a copper layer | |
US6746952B2 (en) | Diffusion barrier layer for semiconductor wafer fabrication | |
US5977636A (en) | Method of forming an electrically conductive contact plug, method of forming a reactive or diffusion barrier layer over a substrate, integrated circuitry, and method of forming a layer of titanium boride | |
US20060202283A1 (en) | Metal silicide adhesion layer for contact structures | |
US6433434B1 (en) | Apparatus having a titanium alloy layer | |
US6395614B2 (en) | Methods of forming materials comprising tungsten and nitrogen, and methods of forming capacitors | |
KR100607756B1 (en) | Method for manufacturing a tungsten contact electrode of semiconductor device | |
JPH05218030A (en) | Manufacture of semiconductor device |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
STCB | Information on status: application discontinuation |
Free format text: EXPRESSLY ABANDONED -- DURING EXAMINATION |